KR20190047632A - Composite particles, method of refining and use thereof - Google Patents

Composite particles, method of refining and use thereof Download PDF

Info

Publication number
KR20190047632A
KR20190047632A KR1020180129125A KR20180129125A KR20190047632A KR 20190047632 A KR20190047632 A KR 20190047632A KR 1020180129125 A KR1020180129125 A KR 1020180129125A KR 20180129125 A KR20180129125 A KR 20180129125A KR 20190047632 A KR20190047632 A KR 20190047632A
Authority
KR
South Korea
Prior art keywords
cmp
group
particles
particle size
salts
Prior art date
Application number
KR1020180129125A
Other languages
Korean (ko)
Other versions
KR102301462B1 (en
Inventor
조셉 디. 로즈
크리쉬나 피. 무렐라
홍준 조
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20190047632A publication Critical patent/KR20190047632A/en
Priority to KR1020210118195A priority Critical patent/KR102493753B1/en
Application granted granted Critical
Publication of KR102301462B1 publication Critical patent/KR102301462B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • C09K3/1445Composite particles, e.g. coated particles the coating consisting exclusively of metals
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Abstract

The present invention relates to a composite particle, which provides improved planarity, and to a purification method, and a use thereof. The composite particle of the present invention comprises a silica core particle and a ceria nanoparticle, and is a ceria-coated silica particle.

Description

복합 입자, 정제 방법 및 이의 용도{COMPOSITE PARTICLES, METHOD OF REFINING AND USE THEREOF}COMPOSITE PARTICLES, METHOD OF REFINING AND USE THEREOF FIELD OF THE INVENTION [0001]

관련 출원의 상호 참조Cross reference of related application

본 출원은 미국 가출원 일련 번호 62/577,978(2017년 10월 27일 출원)의 우선권을 주장하며, 그 전문이 본원에 참고 인용된다.This application claims priority to U.S. Provisional Serial No. 62 / 577,978, filed October 27, 2017, the entire contents of which are incorporated herein by reference.

본 발명의 배경BACKGROUND OF THE INVENTION

화학적 기계적 평탄화("CMP") 연마 조성물(CMP 슬러리, CMP 조성물 또는 CMP 제제가 상호 혼용됨)이 반도체 디바이스의 제조에 사용된다. 본 발명은 산화규소 재료를 포함하는 패턴화된 반도체 웨이퍼를 연마하기에 특히 적당한 정제된 복합 입자(연마 입자로서 사용됨)를 포함하는 연마 조성물에 관한 것이다.Chemical mechanical planarization (" CMP ") polishing compositions (CMP slurries, CMP compositions or CMP formulations are intermixed) are used in the manufacture of semiconductor devices. SUMMARY OF THE INVENTION The present invention is directed to a polishing composition comprising purified multiparticulates (used as abrasive particles) that are particularly suitable for polishing patterned semiconductor wafers comprising a silicon oxide material.

산화규소는 반도체 산업에서 유전 재료로서 광범위하게 사용된다. 얕은 트랜치 격리(STI), 층간 유전체(ILD) CMP 및 게이트 폴리 CMP 등의 집적 회로(IC) 제조 공정에서 몇몇의 CMP 단계가 존재한다. 전형적 산화물 CMP 슬러리는 다른 화학물질 유무 하에 연마제를 포함한다. 다른 화학물질은 슬러리 안정성을 향상시키는 분산제, 제거율을 증가시키는 부스터, 또는 제거율을 감소시키고 다른 필름, 예컨대 STI 적용예 경우, SiN 상에서 중지시키는 억제제일 수 있다.Silicon oxide is widely used as a dielectric material in the semiconductor industry. There are several CMP stages in integrated circuit (IC) manufacturing processes such as shallow trench isolation (STI), interlayer dielectric (ILD) CMP and gate poly CMP. Typical oxide CMP slurries contain abrasives in the presence of other chemicals. Other chemicals may be dispersants that improve slurry stability, boosters that increase the removal rate, or inhibitors that reduce the removal rate and stop other films, such as STI applications, on SiN.

진보된 반도체 기술 노드에서 CMP 슬러리의 바람직한 특징은 감소된 결함, 높은 제거율, 제거율에 대한 매우 낮은 웨이퍼 비균일성(WWNU) 및 낮은 토포그래피이다. 제거율에 대한 매우 낮은 WWNU를 갖는 것은 특히 중요하다. 제거율에 대한 더 높은 비균일성은 웨이퍼 상 영역에서 과도한 연마를 초래하게 되고, 최소의 재료가 제거되는 경우에는 연마가 덜 되게 된다. 이는 반도체 제조에 바람직하지 않은 웨이퍼 표면 상에 불균일한 토포그래피를 형성한다. 따라서, 바람직한 균일한 제거율 프로파일을 형성하기 위해 패드, 컨디셔닝, 연마 구역 압력 조정의 측면에서 충분한 CMP 공정 개발이 요구된다.A preferred feature of the CMP slurry in advanced semiconductor technology nodes is reduced wafer defects, high removal rates, very low wafer non-uniformity (WWNU) and low topography for removal rates. Having a very low WWNU for the removal rate is particularly important. The higher non-uniformity of the removal rate results in excessive polishing on the wafer area and less polishing when the minimum material is removed. This creates non-uniform topography on the wafer surface which is undesirable for semiconductor fabrication. Therefore, sufficient CMP process development in terms of pad, conditioning, and polishing zone pressure adjustment is required to form a desirable uniform removal rate profile.

실리카, 알루미나, 지르코니아, 티타니아 등의 CMP 슬러리 중 사용되는 일반 연마제 중에서, 세리아는 실리카 산화물에 대한 높은 반응성으로 잘 공지되어 있고, 실리카에 대한 세리아의 높은 반응성으로 인한 가장 높은 산화물 제거율(RR)로 STI CMP 슬러리에 광범위하게 사용된다.Of the general abrasives used in CMP slurries such as silica, alumina, zirconia, titania and the like, ceria is well known for its high reactivity to silica oxides and has the highest oxide removal rate (RR) due to the high reactivity of ceria to silica, It is widely used in CMP slurries.

Cook 등(Lee M. Cook, Journal of Non-Crystalline Solids 120 (1990) 152-171)은 세리아의 특별한 성질을 설명하기 위해 '케미칼 투쓰(chemical tooth)' 메카니즘을 제안하였다. 이 메카니즘에 따르면, 세리아 입자를 산화규소 필름 상에 가압하는 경우, 세리아는 실리카 결합을 파괴하고, Ce-O-Si 구조를 형성하고, 이에 따라 표면으로부터 실리카를 분해한다.Cook et al. (Lee M. Cook, Journal of Non-Crystalline Solids 120 (1990) 152-171) proposed a 'chemical tooth' mechanism to explain the special properties of ceria. According to this mechanism, when ceria particles are pressed onto a silicon oxide film, the ceria breaks down the silica bond and forms a Ce-O-Si structure, thereby decomposing the silica from the surface.

CMP 산업에 사용되는 대부분의 세리아는 하소-습윤 밀링 공정으로부터 제조된다. 생성된 세리아는 날카로운 엣지와 매우 광범위한 크기 분포를 갖는다. 또한, 매우 큰 "대형 입자 계수"(LPC)를 갖는다. 이들 모두는 결함 및 낮은 수율, 특히 웨이퍼를 연마한 후의 스크래치에 대한 원인으로 여겨진다. 콜로이드성 세리아 또는 세리아 코팅된 실리카 입자 등의 다양한 형태의 세리아 함유 입자가 또한 이러한 도전 이슈를 해결하기 위해 고려되고 있다.Most of the ceria used in the CMP industry is manufactured from a calc-wet milling process. The resulting ceria has a sharp edge and a very wide size distribution. It also has a very large " large particle count " (LPC). All of these are believed to be responsible for defects and low yields, especially scratches after polishing the wafer. Various types of ceria-containing particles, such as colloidal ceria or ceria coated silica particles, are also being considered to address this challenge.

세리아 코팅된 실리카 입자는 결함률이 더 낮으면서 산화 규소 필름의 높은 제거율을 실현하기에 특히 유용한 것으로 밝혀졌다(PCT/US16/12993, US2016358790, US2017133236, US201783673). 하지만, 추가로 제거율을 향상시키고, 웨이퍼 내 비균일성(WWNU)을 조절하고, 연마 결함을 감소시키는 것이 여전히 필요하다.Ceria coated silica particles have been found to be particularly useful for achieving high removal rates of silicon oxide films while having a lower defect rate (PCT / US16 / 12993, US2016358790, US2017133236, US201783673). However, it is still necessary to further improve the removal rate, to adjust the wafer nonuniformity (WWNU), and to reduce abrasive defects.

본 발명은 성능 요건을 실현시킬 수 있는 연마 용도의 세리아 코팅된 복합 입자에 관한 것이다.The present invention relates to ceria-coated composite particles for abrasive applications capable of realizing performance requirements.

따라서, 제거율에 대한 탁월한 웨이퍼 내 비균일성 및 더 높은 제거율 및 낮은 결함을 제공할 수 있는 CMP 조성물, 방법, 및 시스템에 대한 상당한 요구가 있는 실정이다.Accordingly, there is a significant need for CMP compositions, methods, and systems that can provide excellent wafer uniformity, higher removal rates and lower defects for removal rates.

본원에는 향상된 평면성을 제공하는 반도체 웨이퍼를 연마하기 위한 CMP 연마 조성물, 슬러리 또는 제제를 제조하는데 유용한 특정 입자 크기 분포를 가진 복합 입자가 기술된다.Composite particles having a specific particle size distribution useful for making CMP polishing compositions, slurries, or formulations for polishing semiconductor wafers that provide improved planarity are described herein.

일 양태에서, 본 발명은, 화학적 기계적 평탄화(CMP) 연마 조성물로서,In one aspect, the present invention provides a chemical mechanical planarization (CMP) polishing composition comprising:

세리아 코팅된 실리카 입자를 포함하는 복합 입자로서, D50/(D99-D50)을 특징으로 하는 입자 크기 분포 폭이 ≤ 1.85, 바람직하게는 ≤ 1.50, 더욱 바람직하게는 ≤ 1.30, 가장 바람직하게는 1.25 미만이고, D99는 복합 입자의 99 중량%가 속하는 입자 크기이며, D50은 복합 입자의 50 중량%가 속하는 입자 크기인 복합 입자 0.01 중량% 내지 20 중량%;A composite particle comprising ceria-coated silica particles, wherein the particle size distribution width characterized by D50 / (D99-D50) is ≤ 1.85, preferably ≤ 1.50, more preferably ≤ 1.30, and most preferably less than 1.25 D99 is the particle size to which 99% by weight of the composite particles belong, D50 is from 0.01 to 20% by weight of the composite particles having a particle size to which 50% by weight of the composite particles belong;

물, 극성 용매 및 물과 극성 용매의 혼합물로 이루어진 군에서 선택되는 수용성 용매로서, 극성 용매는 알콜, 에테르, 케톤 또는 다른 극성 시약으로 이루어진 군에서 선택되는 수용성 용매;A water-soluble solvent selected from the group consisting of water, a polar solvent, and a mixture of water and a polar solvent, wherein the polar solvent is selected from the group consisting of alcohol, ether, ketone or other polar reagent;

약 2 내지 약 12의 범위인 CMP 조성물의 pHThe pH of the CMP composition ranging from about 2 to about 12

를 포함하고, 경우에 따라,And, optionally,

수산화나트륨, 수산화세슘, 수산화칼륨, 수산화세슘, 수산화암모늄, 4차 유기 수산화암모늄 및 이의 조합으로 이루어진 군에서 선택되는 pH 조정제 0.0001 중량% 내지 약 5 중량%; From 0.0001 wt.% To about 5 wt.% Of a pH adjusting agent selected from the group consisting of sodium hydroxide, cesium hydroxide, potassium hydroxide, cesium hydroxide, ammonium hydroxide, quaternary organic ammonium hydroxide, and combinations thereof;

유기 카르복실산, 아미노산, 아미노카르복실산, N-아실아미노산 및 이의 염; 유기 설폰산 및 이의 염; 유기 포스폰산 및 이의 염; 중합체 카르복실산 및 이의 염; 중합체 설폰산 및 이의 염; 중합체 포스폰산 및 이의 염; 아릴아민, 아미노 알콜, 지방족 아민, 헤테로시클릭 아민, 히드록삼산, 치환된 페놀, 설폰아미드, 티올, 히드록실기를 갖는 폴리올 및 이의 조합으로 이루어진 군에서 선택된 작용기를 갖는 화합물로 이루어진 군에서 선택되는 화학적 첨가제 0.000001 중량% 내지 5 중량%;Organic carboxylic acids, amino acids, aminocarboxylic acids, N-acylamino acids and salts thereof; Organic sulfonic acids and their salts; Organic phosphonic acids and their salts; Polymeric carboxylic acids and their salts; Polymeric sulfonic acids and their salts; Polymeric phosphonic acids and their salts; Selected from the group consisting of compounds having functional groups selected from the group consisting of arylamine, aminoalcohol, aliphatic amine, heterocyclic amine, hydroxamic acid, substituted phenol, sulfonamide, thiol, polyol having hydroxyl group and combinations thereof 0.000001 wt% to 5 wt% of a chemical additive;

a). 비이온성 표면 습윤제, b). 음이온성 표면 습윤제, c). 양이온성 표면 습윤제, d). 양쪽성 표면 습윤제, 및 이의 혼합물로 이루어진 군에서 선택되는 계면활성제 0.0001 중량% 내지 약 10 중량%;a). Nonionic surface wetting agents, b). Anionic surface wetting agent, c). Cationic surface wetting agents, d). From about 0.0001 wt.% To about 10 wt.% Of a surfactant selected from the group consisting of amphoteric surface wetting agents, and mixtures thereof;

킬레이터 0.01 중량% 내지 3.0 중량%;From 0.01% to 3.0% by weight of chelator;

부식 억제제;Corrosion inhibitors;

산화제; 및Oxidant; And

생물학적 성장 억제제Biological growth inhibitor

를 포함하는 화학적 기계적 평탄화(CMP) 연마 조성물이다.(CMP) < / RTI > polishing composition.

또다른 양태에서, 본 발명은 개시된 화학적 기계적 평탄화(CMP) 연마 조성물을 사용하는 반도체 디바이스의 화학적 기계적 평탄화 방법이다.In another aspect, the present invention is a method of chemical mechanical planarization of a semiconductor device using the disclosed chemical mechanical planarization (CMP) polishing composition.

또다른 양태에서, 본 발명은 개시된 화학적 기계적 평탄화(CMP) 연마 조성물을 포함하는 반도체 디바이스의 화학적 기계적 평탄화를 위한 시스템이다.In another aspect, the present invention is a system for chemical mechanical planarization of a semiconductor device comprising the disclosed chemical mechanical planarization (CMP) polishing composition.

코어 입자의 평균 입자 크기(MPS)는 10 nm 내지 500 nm, 바람직하게는 20 nm 내지 200nm, 더욱 바람직하게는 50 nm 내지 150 nm의 범위일 수 있다. 코어 입자는 나노입자보다 크다. 복합 입자내 코어 입자 크기의 MPS는 적당한 이미징 기법, 예컨대 투과 전자 현미경 이미징에 의해 측정될 수 있다.The average particle size (MPS) of the core particles may range from 10 nm to 500 nm, preferably from 20 nm to 200 nm, more preferably from 50 nm to 150 nm. The core particles are larger than the nanoparticles. The MPS of the core particle size in the multiparticulates can be measured by suitable imaging techniques, such as transmission electron microscopy imaging.

입자 크기 분포는 이미징, 동적 광산란, 수력학적 유체 분별, 디스크 원심분리 등의 임의의 적당한 기법에 의해 측정될 수 있다. 디스크 원심분리법에 의한 입자 크기 분석이 바람직한 분석이다. 디스크 원심분리는 더 큰 입자가 더 작은 입자에 비해 더 빠르게 원심분리되기 때문에 원심분리 침강을 사용하여 크기에 기반하여 입자의 구배를 생성한다. 원심분리 시간의 함수로서 원심분리 디스크의 엣지에서 광 강도를 측정하고 광 신호를 입자 크기 분포로 전환한다.Particle size distribution can be measured by any suitable technique, such as imaging, dynamic light scattering, hydrodynamic fluid fractionation, disk centrifugation, and the like. Particle size analysis by disk centrifugation is the preferred assay. Disc centrifugation uses centrifugal sedimentation to generate a gradient of particles based on size, since larger particles are centrifuged faster than smaller particles. Measure light intensity at the edge of the centrifuge disc as a function of centrifugation time and convert the optical signal into a particle size distribution.

입자 크기 분포는 특정 크기보다 작은 크기를 갖는 입자의 중량 백분율로서 정량화될 수 있다. 예를 들면, 파라미터 D99는 모든 슬러리 입자의 99 중량%가 D99 이하의 입경을 갖는 입경을 나타낸다. D50은 모든 슬러리 입자의 50 중량%가 D50 이하의 입경을 갖는 입경을 나타낸다. 유사하게, D5, D10, D75, D90, D95 등의 파라미터도 또한 정의될 수 있다.The particle size distribution can be quantified as the weight percentage of particles having a size less than a certain size. For example, the parameter D99 represents a particle diameter of 99% by weight of all the slurry particles having a particle diameter of D99 or less. D50 represents a particle diameter of 50% by weight of all the slurry particles having a particle diameter of D50 or less. Similarly, parameters such as D5, D10, D75, D90, D95, etc. may also be defined.

세리아 코팅된 실리카 입자의 D50은 10 nm 내지 500 nm, 바람직하게는 15 nm 내지 250 nm, 더욱 바람직하게는 20 nm 내지 200 nm의 범위일 수 있다.The D50 of the ceria coated silica particles may range from 10 nm to 500 nm, preferably from 15 nm to 250 nm, more preferably from 20 nm to 200 nm.

바람직한 일부 실시양태에서, 광범위한 입자 크기 분포를 갖는 것이 바람직하다. 입자 크기 분포 폭은, 예를 들면 입자 크기의 적당한 하이 엔드와 입자 크기 분포의 중간 사이의 차이, 예컨대 D99-D50을 계산함으로써 특징화될 수 있다. 이 값은 또한 D50/(D99-D50) 등의 입자 크기를 정규화하는 것에 사용될 수도 있다. 또는, 대안적으로 슬러리의 다분산도를 측정하기 위해 더욱 복잡한 계산이 수행될 수 있다.In some preferred embodiments, it is desirable to have a broad particle size distribution. The particle size distribution width can be characterized, for example, by calculating the difference between the appropriate high end of the particle size and the middle of the particle size distribution, e.g., D99-D50. This value may also be used to normalize the particle size, such as D50 / (D99-D50). Alternatively, more complex calculations may be performed to measure the polydispersity of the slurry.

바람직한 일부 실시양태에서, D50/(D99-D50)을 특징으로 하는 입자 크기 분포 폭은 ≤ 1.85, 바람직하게는 ≤ 1.50, 더욱 바람직하게는 ≤ 1.30, 가장 바람직하게는 1.25 미만이다.In some preferred embodiments, the particle size distribution width characterized by D50 / (D99-D50) is ≤ 1.85, preferably ≤ 1.50, more preferably ≤ 1.30, and most preferably less than 1.25.

특정 실시양태에서, 더 광범위한 입자 크기 분포를 생성하기 위해 상이한 코어 입자 크기를 가진 세리아 코팅된 실리카 입자를 혼합시킬 수 있다.In certain embodiments, ceria coated silica particles with different core particle sizes may be mixed to produce a wider particle size distribution.

CMP 제제는 또한 추가적으로 상이한 필름 간 필름 제거율 선택성을 변화시키기 위한 첨가제, 계면활성제, 분산제, pH 조정제 및 생물학적 성장 억제제를 포함할 수 있다.CMP formulations may also include additives to vary the film-to-film selectivity of the different films, surfactants, dispersants, pH adjusting agents, and biological growth inhibitors.

pH 조정제는, 비제한적으로, 수산화나트륨, 수산화세슘, 수산화칼륨, 수산화세슘, 수산화암모늄, 4차 유기 수산화암모늄 및 이의 조합을 포함한다.The pH adjusting agent includes, but is not limited to, sodium hydroxide, cesium hydroxide, potassium hydroxide, cesium hydroxide, ammonium hydroxide, quaternary organic ammonium hydroxide, and combinations thereof.

화학적 첨가제는, 비제한적으로, 유기 카르복실산, 아미노산, 아미노카르복실산, N-아실아미노산 및 이의 염; 유기 설폰산 및 이의 염; 유기 포스폰산 및 이의 염; 중합체 카르복실산 및 이의 염; 중합체 설폰산 및 이의 염; 중합체 포스폰산 및 이의 염; 아릴아민, 아미노알콜, 지방족 아민, 헤테로시클릭 아민, 히드록삼산, 치환된 페놀, 설폰아미드, 티올, 히드록실기를 갖는 폴리올 및 이의 조합으로 이루어진 군에서 선택된 작용기를 갖는 화합물을 포함한다.Chemical additives include, but are not limited to, organic carboxylic acids, amino acids, aminocarboxylic acids, N-acylamino acids and salts thereof; Organic sulfonic acids and their salts; Organic phosphonic acids and their salts; Polymeric carboxylic acids and their salts; Polymeric sulfonic acids and their salts; Polymeric phosphonic acids and their salts; A compound having a functional group selected from the group consisting of an arylamine, an amino alcohol, an aliphatic amine, a heterocyclic amine, a hydroxamic acid, a substituted phenol, a sulfonamide, a thiol, a polyol having a hydroxyl group, and combinations thereof.

복합 입자는 단일 세리아 코팅된 실리카 입자 및 집합 세리아 코팅된 실리카 입자를 포함할 수 있고; 여기서 복합 입자의 99 중량%는 250 nm 미만, 바람직하게는 200 nm 미만, 더욱 바람직하게는 190 nm 미만의 평균 입자 크기를 갖는다.The composite particles may comprise single ceria coated silica particles and aggregated ceria coated silica particles; Wherein 99% by weight of the composite particles have an average particle size of less than 250 nm, preferably less than 200 nm, more preferably less than 190 nm.

세리아 코팅된 실리카 입자는 150 nm 미만, 바람직하게는 125 nm 미만, 또는 더욱 바람직하게는 110 nm 미만의 평균 입자 크기를 추가로 가질 수 있고; 여기서 평균 입자 크기는 입경의 가중 평균이다.The ceria coated silica particles may further have an average particle size of less than 150 nm, preferably less than 125 nm, or more preferably less than 110 nm; Where the average particle size is a weighted average of the particle sizes.

세리아 코팅된 실리카 입자는 단결정 세리아 나노입자로 피복된 표면을 갖는 비정질 실리카 세리아 입자이다.The ceria coated silica particles are amorphous silica ceria particles having a surface coated with single crystal ceria nanoparticles.

분해력(disintegrative force) 하에 복합 입자의 크기 분포의 변화는 10% 미만, 바람직하게는 5% 미만, 또는 더욱 바람직하게는 2% 미만이다.The change in the size distribution of the multiparticulates under a disintegrative force is less than 10%, preferably less than 5%, or more preferably less than 2%.

반도체 기판이 질화물 층을 추가로 포함하는 경우, CMP 연마는 질화물 층에 대한 적어도 하나의 산화물 층의 제거 선택성을 10 넘게 제공한다. 질화규소 층에 대한 TEOS의 제거 선택성은 20을 넘는다.When the semiconductor substrate further comprises a nitride layer, the CMP polishing provides more than ten removal selectivities of the at least one oxide layer to the nitride layer. The removal selectivity of TEOS to the silicon nitride layer exceeds 20.

도 1에는 디스크 원심분리 입자 크기 분석기에 의해 각종 세리아 코팅된 실리카 입자의 입자 크기 분포가 도시된다.
도 2에는 사용된 측정 개략도 및 패턴 웨이퍼 구조의 개략도가 도시된다.
도 3에는 상이한 입자를 가진 CMP 슬러리에 대한 D50/(D90-D50)의 함수로서 블랭킷 산화물 제거율에 대한 트랜치 산화물 손실률의 비율이 플롯팅된다.
Figure 1 shows the particle size distribution of various ceria coated silica particles by a disk centrifuge particle size analyzer.
Figure 2 shows a schematic diagram of the measurement schematics used and the patterned wafer structure used.
In FIG. 3, the ratio of the trench oxide loss rate to the blanket oxide removal rate is plotted as a function of D50 / (D90-D50) for the CMP slurry with different particles.

본 발명의 상세한 설명DETAILED DESCRIPTION OF THE INVENTION

조성물 입자Composition particle

복합 입자는 1차 (또는 단일) 입자 및 1차 (또는 단일) 집합 입자를 함유한다. 1차 입자는 코어 입자 및 코어 입자의 표면을 피복하는 수많은 나노입자를 갖는다.Composite particles contain primary (or single) particles and primary (or single) aggregate particles. The primary particles have a core particle and numerous nanoparticles covering the surface of the core particle.

코어 입자는 실리카, 알루미나, 티타니아, 지르코니아 및 중합체 입자로 이루어진 군에서 선택된다. 나노입자는 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란타늄 및 스트론튬 나노입자의 산화물로 이루어진 군에서 선택된다.The core particles are selected from the group consisting of silica, alumina, titania, zirconia, and polymer particles. The nanoparticles are selected from the group consisting of oxides of zirconium, titanium, iron, manganese, zinc, cerium, yttrium, calcium, magnesium, fluorine, lanthanum and strontium nanoparticles.

복합 입자의 예시 중 하나는 코어 입자로서의 실리카 및 나노입자로서의 세리아를 갖는 것이며; 각 실리카 코어 입자는 이의 쉘을 피복하는 세리아 나노입자를 갖는다. 각 실리카 입자의 표면은 세리아 나노입자에 의해 피복된다. 실리카 코어 입자를 피복하는 나노입자는 또한 표면을 부분적으로 또는 완전하게 피복하는 규소 함유 필름의 얇은 층을 가질 수 있다.One example of composite particles is silica with silica as core particles and ceria as nanoparticles; Each silica core particle has ceria nanoparticles covering its shell. The surface of each silica particle is covered with ceria nanoparticles. The nanoparticles covering the silica core particles may also have a thin layer of a silicon-containing film that partially or completely covers the surface.

CMP 적용예에 바람직한 연마 입자는 세리아 코팅된 실리카 입자이다.Preferred abrasive particles for CMP applications are ceria coated silica particles.

세리아 코팅된 실리카 입자는 임의의 적당한 방법을 사용하여 제조될 수 있다. 예를 들면, 상기 입자의 제조 방법은 PCT/JP2016/060535, JP6358899, JP2017043531, JP2017193692, JP2017206410, JP2017206411, WO18088088, WO18131508, JP2016127139, US6645265, US9447306, JP5979340, WO2005/035688 및 US2012/077419에 기술되어 있다.The ceria coated silica particles can be prepared using any suitable method. For example, the method for producing the particles is described in PCT / JP2016 / 060535, JP6358899, JP2017043531, JP2017193692, JP2017206410, JP2017206411, WO18088088, WO18131508, JP2016127139, US6645265, US9447306, JP5979340, WO2005 / 035688 and US2012 / 077419.

통상, 복합 입자, 예컨대 세리아 코팅된 실리카 입자의 형성 방법은 실리카 코어 입자 상에의 세륨 화합물의 침적 후, 하소 단계 및 밀링 단계를 수반한다. 하지만, 하소 단계는 입자의 응집을 초래한다. 집합 입자의 갯수를 감소시키는 예시 방법 중 일부는 더 낮은 하소 조건, 예컨대 더 낮은 온도 또는 하소 시간, 더 많은 적극적 밀링 조건의 사용, 밀링 동안 분산제의 사용, 원심분리 또는 여과 등의 밀링후 가공의 사용, 또는 집합 입자의 갯수를 감소시키는 임의의 다른 기법의 사용이다.Typically, the method of forming composite particles, such as ceria coated silica particles, involves the deposition of a cerium compound on silica core particles followed by a calcination step and a milling step. However, the calcination step results in particle agglomeration. Some of the exemplary methods of reducing the number of aggregate particles include the use of lower calcining conditions such as lower temperature or calcination time, the use of more aggressive milling conditions, the use of dispersants during milling, centrifugation or filtration, , Or the use of any other technique to reduce the number of aggregate particles.

코어 입자의 평균 입자 크기(MPS)는 10 nm 내지 500 nm, 바람직하게는 20 nm 내지 200 nm, 더욱 바람직하게는 50 nm 내지 150 nm의 범위일 수 있다. 코어 입자는 나노입자보다 크다. 복합 입자내 코어 입자 크기의 MPS는 투과 전자 현미경 이미징 등의 적당한 이미징 기법에 의해 측정될 수 있다.The average particle size (MPS) of the core particles may range from 10 nm to 500 nm, preferably from 20 nm to 200 nm, more preferably from 50 nm to 150 nm. The core particles are larger than the nanoparticles. The MPS of the core particle size in the multiparticulates can be measured by suitable imaging techniques such as transmission electron microscopy imaging.

입자 크기 분포는 이미징, 동적 광산란, 수력학적 유체 분별, 디스크 원심분리 등의 임의의 적당한 기법에 의해 측정될 수 있다. 디스크 원심분리법에 의한 입자 크기 분석은 바람직한 분석이다. 디스크 원심분리는 더 큰 입자가 더 작은 입자에 비해 더 빠르게 원심분리되기 때문에 원심분리 침강을 사용하여 크기에 기반하여 입자의 구배를 생성한다. 원심분리 시간의 함수로서 원심분리 디스크의 엣지에서 광 강도를 측정하고 광 신호를 입자 크기 분포로 전환한다.Particle size distribution can be measured by any suitable technique, such as imaging, dynamic light scattering, hydrodynamic fluid fractionation, disk centrifugation, and the like. Particle size analysis by disk centrifugation is the preferred assay. Disc centrifugation uses centrifugal sedimentation to generate a gradient of particles based on size, since larger particles are centrifuged faster than smaller particles. Measure light intensity at the edge of the centrifuge disc as a function of centrifugation time and convert the optical signal into a particle size distribution.

입자 크기 분포는 특정 크기보다 작은 크기를 갖는 입자의 중량 백분율로서 정량화될 수 있다. 예를 들면, 파라미터 D99는 모든 슬러리 입자의 99 중량%가 D99 이하의 입경을 갖는 입경을 나타낸다. D50은 모든 슬러리 입자의 50 중량%가 D50 이하의 입경을 갖는 입경을 나타낸다. 유사하게, D5, D10, D75, D90, D95 등의 파라미터도 또한 정의될 수 있다.The particle size distribution can be quantified as the weight percentage of particles having a size less than a certain size. For example, the parameter D99 represents a particle diameter of 99% by weight of all the slurry particles having a particle diameter of D99 or less. D50 represents a particle diameter of 50% by weight of all the slurry particles having a particle diameter of D50 or less. Similarly, parameters such as D5, D10, D75, D90, D95, etc. may also be defined.

바람직한 일부 실시양태에서, 광범위한 입자 크기 분포를 갖는 것이 바람직하다. 입자 크기 분포 폭은, 예를 들면 입자 크기의 적당한 하이 엔드와 입자 크기 분포의 중간 사이의 차이, 예컨대 D99-D50을 계산함으로써 특징화될 수 있다. 이 값은 또한 D50/(D99-D50) 등의 입자 크기를 정규화하는 것에 사용될 수도 있다. 또는, 대안적으로 슬러리의 다분산도를 측정하기 위해 더욱 복잡한 계산이 수행될 수 있다.In some preferred embodiments, it is desirable to have a broad particle size distribution. The particle size distribution width can be characterized, for example, by calculating the difference between the appropriate high end of the particle size and the middle of the particle size distribution, e.g., D99-D50. This value may also be used to normalize the particle size, such as D50 / (D99-D50). Alternatively, more complex calculations may be performed to measure the polydispersity of the slurry.

바람직한 일부 실시양태에서, D50은 10 nm 내지 500 nm, 바람직하게는 15 nm 내지 250 nm, 더욱 바람직하게는 20 nm 내지 200 nm의 범위일 수 있다.In some preferred embodiments, the D50 can range from 10 nm to 500 nm, preferably from 15 nm to 250 nm, more preferably from 20 nm to 200 nm.

바람직한 일부 실시양태에서, D50/(D99-D50)을 특징으로 하는 입자 크기 분포 폭은 ≤ 1.85, 바람직하게는 ≤ 1.50, 더욱 바람직하게는 ≤ 1.30, 가장 바람직하게는 1.25 미만이다.In some preferred embodiments, the particle size distribution width characterized by D50 / (D99-D50) is ≤ 1.85, preferably ≤ 1.50, more preferably ≤ 1.30, and most preferably less than 1.25.

특정 실시양태에서, 더 광범위한 입자 크기 분포를 생성하기 위해 상이한 평균 입자 크기를 가진 세리아 코팅된 실리카 입자를 서로 혼합시킬 수 있다.In certain embodiments, ceria-coated silica particles having different average particle sizes can be mixed with one another to produce a wider particle size distribution.

화학적 기계적 평탄화(CMP)Chemical mechanical planarization (CMP)

정제된 집합 입자는 CMP 조성물 (또는 CMP 슬러리, 또는 CMP 제제) 중 연마 입자로서 사용될 수 있다.The purified aggregate particles can be used as abrasive particles in a CMP composition (or CMP slurry, or CMP formulation).

예시는 산화물 필름, 예컨대 각종 금속 산화물 필름; 및 각종 질화물 필름을 연마하기 위한 STI(얕은 트랜치 격리) CMP 제제이다. STI 제제에서, 실리카 코팅된 세리아 복합 입자를 포함하는 제제는 산화규소 필름의 매우 높은 제거율 및 질화규소 연마 중지 필름의 매우 낮은 제거율을 제공할 수 있다. 이러한 슬러리 제제는, 비제한적으로 열적 산화물, 테트라 에틸 오르쏘 실리케이트(TEOS), 고밀도 플라즈마(HDP) 산화물, 고 종횡비 공정(HARP) 필름, 불화 산화물 필름, 도핑된 산화물 필름, 오가노실리케이트 유리(OSG) 저-K 유전 필름, 스핀-온 유리(SOG), 중합체 필름, 유동성 화학 증착(CVD) 필름, 광학 유리, 디스플레이 유리를 포함한 다양한 필름 및 재료를 연마하는 데 사용될 수 있다.Examples include oxide films, such as various metal oxide films; And an STI (shallow trench isolation) CMP formulation for polishing various nitride films. In STI formulations, formulations comprising silica coated ceria multiparticulates can provide a very high removal rate of the silicon oxide film and a very low removal rate of the silicon nitride polishing stop film. Such slurry formulations include, but are not limited to, thermal oxides, tetraethylorthosilicate (TEOS), high density plasma (HDP) oxide, high aspect ratio process (HARP) film, fluoride oxide film, doped oxide film, organosilicate glass ) Low-K dielectric films, spin-on glass (SOG), polymer films, flowable chemical vapor deposition (CVD) films, optical glass, and display glass.

제제는 또한 스톱-인-필름 적용예에 사용될 수도 있고, 여기서 연마는 일단 토포그래피가 제거되면 중단되고, 평탄 표면이 실현된다. 대안적으로, 이 제제는 벌크 필름을 연마하고 스토퍼 층에서 중단되는 적용예에서 사용될 수 있다. 이 제제는, 비제한적으로 얕은 트랜치 격리(STI), 층간 유전체(ILD) 연마, 금속간 유전체(IMD) 연마, 실리콘 관통 전극(TSV) 연마, 폴리-Si 또는 비정질-Si 필름 연마, SiGe 필름, Ge 필름 및 III-V 반도체 필름을 비롯한 다양한 적용예에 사용될 수 있다.The formulation may also be used in a stop-and-in-film application where the polishing is stopped once the topography is removed, and a flat surface is realized. Alternatively, the formulation may be used in applications where the bulk film is polished and suspended in the stopper layer. These formulations can be used in a variety of applications including but not limited to shallow trench isolation (STI), interlayer dielectric (ILD) polishing, intermetal dielectric (IMD) polishing, silicon penetrating electrode (TSV) polishing, poly- Si or amorphous- Ge films, and III-V semiconductor films.

제제는 또한 임의의 다른 적용예, 예컨대 유리 연마 또는 솔라 웨이퍼 가공 또는 높은 제거율이 바람직한 웨이퍼 그라인딩에 사용될 수 있다. The formulation may also be used in any other application, such as glass polishing or solar wafer processing, or wafer grinding where high removal rates are desired.

특정 실시양태에서, 연마 제제는 2000 Å/분 초과의 연마율에서 산화규소 필름을 연마하는 데 사용될 수 있다.In certain embodiments, the polishing agent may be used to polish a silicon oxide film at a polishing rate of greater than 2000 Angstroms per minute.

다른 일부 실시양태에서, 고밀도 플라즈마 산화규소 필름에 대한 TEOS 제거율의 비는 ≤ 1, 또는 더욱 바람직하게는 0.9 미만, 또는 가장 바람직하게는 0.8 미만이다.In some other embodiments, the ratio of the TEOS removal rate to the high density plasma silicon oxide film is? 1, or more preferably less than 0.9, or most preferably, less than 0.8.

세리아 코팅된 실리카 입자의 사용의 또다른 양태는 연마력 하에서 분해되지 않는다. 연마력(즉, 분해력)의 작용 하에 입자가 파괴되지 않고 원래의 입자 크기의 특성을 유지하는 경우, 제거율은 높게 유지될 것이라는 가설이 있다. 반면, 입자가 연마력 하에 분해되는 경우, 높은 제거율에 원인이 되는 표면 상의 세리아 나노입자가 벗겨질 수 있기 때문에 제거율은 감소된다. 입자의 파괴는 또한 스크래치 결함에 바람직하지 않은 효과를 가질 수 있는 불규칙한 형상의 입자를 형성할 수 있다.Another aspect of the use of ceria coated silica particles is that they do not degrade under an abrasive force. There is a hypothesis that if the particles retain their original particle size characteristics without breaking the particles under the action of abrasive forces (i.e., degradation forces), the removal rate will remain high. On the other hand, if the particles are degraded under the abrasive force, the removal rate is reduced because the ceria nanoparticles on the surface which cause the high removal rate can be peeled off. Destruction of the particles can also result in irregularly shaped particles which can have undesirable effects on scratch defects.

CMP 슬러리 제제 중 이러한 안정한 입자를 사용하는 것은 필름 재료 제거를 위한 연마력의 더욱 효과적인 이용을 허용하고, 또한 스크래치 결함에 기여하는 임의의 불규칙한 형상의 발생을 방지한다.The use of such stable particles in CMP slurry formulations allows for more effective use of abrasive forces for film material removal and also prevents the occurrence of any irregular shapes that contribute to scratch defects.

진보된 CMP 적용예는 연마 후 유전 표면 상에 나트륨 등의 매우 낮은 수준의 금속이 요구되기 때문에, 슬러리 제제 중 매우 낮은 미량 금속, 특히 나트륨을 갖는 것이 바람직하다. 바람직한 특정 실시양태에서, 제제는 중량 기준으로 제제 중 입자의 각 백분율에 대해 5 ppm 미만, 더욱 바람직하게는 1 ppm 미만, 가장 바람직하게는 0.5 ppm 미만의 나트륨 불순물 수준을 갖는 세리아 코팅된 실리카 입자를 포함한다.Since advanced CMP applications require very low levels of metal, such as sodium, on the dielectric surface after polishing, it is desirable to have very low trace metals, especially sodium, in the slurry formulation. In certain preferred embodiments, the formulation comprises ceria coated silica particles having a sodium impurity level of less than 5 ppm, more preferably less than 1 ppm, most preferably less than 0.5 ppm, relative to each percent of the particles in the formulation .

CMP 조성물은 연마 입자로서 정제된 복합 입자를 포함하고, 나머지는, 물, 극성 용매 및 물과 극성 용매의 혼합물로 이루어진 군에서 선택된 수용성 용매이며; 여기서, 극성 용매는 알콜, 에테르, 케톤 또는 다른 극성 시약으로 이루어진 군에서 선택되고; CMP 조성물의 pH는 약 2 내지 약 12의 범위이다.The CMP composition comprises purified multiparticulates as abrasive particles, the remainder being water, a polar solvent and a water-soluble solvent selected from the group consisting of a mixture of water and a polar solvent; Wherein the polar solvent is selected from the group consisting of alcohols, ethers, ketones or other polar reagents; The pH of the CMP composition ranges from about 2 to about 12.

연마제는 0.01 중량% 내지 20 중량%, 바람직하게는 0.05 중량% 내지 5 중량%, 더욱 바람직하게는 약 0.1 중량% 내지 약 1 중량%의 양으로 존재한다.The abrasive is present in an amount of 0.01 wt% to 20 wt%, preferably 0.05 wt% to 5 wt%, more preferably about 0.1 wt% to about 1 wt%.

화학적 첨가제는, 비제한적으로 유기 카르복실산, 아미노산, 아미노카르복실산, N-아실아미노산 및 이의 염; 유기 설폰산 및 이의 염; 유기 포스폰산 및 이의 염; 중합체 카르복실산 및 이의 염; 중합체 설폰산 및 이의 염; 중합체 포스폰산 및 이의 염; 아릴아민, 아미노알콜, 지방족 아민, 헤테로시클릭 아민, 히드록삼산, 치환된 페놀, 설폰아미드, 티올, 히드록실기를 갖는 폴리올 및 이의 조합으로 이루어진 군에서 선택된 작용기를 갖는 화합물을 포함한다.Chemical additives include, but are not limited to, organic carboxylic acids, amino acids, aminocarboxylic acids, N-acylamino acids and their salts; Organic sulfonic acids and their salts; Organic phosphonic acids and their salts; Polymeric carboxylic acids and their salts; Polymeric sulfonic acids and their salts; Polymeric phosphonic acids and their salts; A compound having a functional group selected from the group consisting of an arylamine, an amino alcohol, an aliphatic amine, a heterocyclic amine, a hydroxamic acid, a substituted phenol, a sulfonamide, a thiol, a polyol having a hydroxyl group, and combinations thereof.

화학적 첨가제의 양은 배리어 CMP 조성물의 총 중량에 비해 약 0.1 ppm (또는 0.000001 중량%) 내지 5 중량%의 범위이다. 바람직한 범위는 약 200 ppm (또는 0.02 중량%) 내지 1.0 중량%이고, 더욱 바람직한 범위는 약 500 ppm (또는 0.05 중량%) 내지 0.5 중량%이다.The amount of chemical additive ranges from about 0.1 ppm (or 0.000001 wt%) to 5 wt%, relative to the total weight of the barrier CMP composition. The preferred range is about 200 ppm (or 0.02 wt%) to 1.0 wt%, with a more preferred range being about 500 ppm (or 0.05 wt%) to 0.5 wt%.

pH-조정제는, 비제한적으로, 수산화나트륨, 수산화세슘, 수산화칼륨, 수산화세슘, 수산화암모늄, 4차 유기 수산화암모늄(예, 테트라메틸수산화암모늄) 및 이의 혼합물을 포함한다.The pH-adjusting agent includes, but is not limited to, sodium hydroxide, cesium hydroxide, potassium hydroxide, cesium hydroxide, ammonium hydroxide, quaternary ammonium hydroxides such as tetramethylammonium hydroxide and mixtures thereof.

pH-조정제의 양은 CMP 조성물의 총 중량에 비해 약 0.0001 중량% 내지 약 5 중량%의 범위이다. 바람직한 범위는 약 0.0005 중량% 내지 약 1 중량%이고, 더욱 바람직한 범위는 약 0.0005 중량% 내지 약 0.5 중량%이다.The amount of pH-adjusting agent ranges from about 0.0001% to about 5% by weight relative to the total weight of the CMP composition. A preferred range is about 0.0005 wt% to about 1 wt%, and a more preferred range is about 0.0005 wt% to about 0.5 wt%.

CMP 조성물의 pH는 2 내지 약 12; 바람직하게는 약 3.5 내지 약 10; 더욱 바람직하게는 약 4 내지 약 7의 범위이다.The pH of the CMP composition is from 2 to about 12; Preferably from about 3.5 to about 10; More preferably from about 4 to about 7.

특정 CMP 적용예, 예컨대 얕은 트랜치 격리(STI) 또는 3D-NAND 디바이스용 산화물 연마의 경우, 질화규소 중단 층의 손실 감소 뿐만 아니라 산화물 라인 특징에서의 디싱(dishing)을 감소시키기 위해 바람직하게는 3-8, 또는 가장 바람직하게는 4-7의 범위의 CMP 제제를 사용하여 연마하는 것이 바람직할 수 있다. 배리어 금속 연마 등의 특정 적용예의 경우, 바람직한 pH 범위는 5-12, 또는 더욱 바람직하게는 8-11일 수 있다. In the case of certain CMP applications, such as shallow trench isolation (STI) or oxide polishing for 3D-NAND devices, it is desirable to reduce the loss of silicon nitride stop layers as well as to reduce dishing in the oxide line features, , Or most preferably 4-7. ≪ RTI ID = 0.0 > [0031] < / RTI > For certain applications, such as barrier metal polishing, the preferred pH range may be 5-12, or more preferably 8-11.

CMP 조성물은 계면활성제 또는 계면활성제 혼합물을 포함할 수 있다. 계면활성제는 a). 비이온성 계면활성제, b). 음이온성 계면활성제, c). 양이온성 계면활성제, d). 양쪽성 계면활성제, 및 이의 혼합물을 포함하는 군에서 선택될 수 있다.The CMP composition may comprise a surfactant or a mixture of surfactants. Surfactants a). Nonionic surfactants, b). Anionic surfactants, c). Cationic surfactants, d). Amphoteric surfactants, and mixtures thereof.

비이온성 계면활성제는 비제한적으로 장쇄 알콜, 에톡시화된 알콜, 에톡시화된 아세틸렌 디올 계면활성제, 폴리에틸렌 글리콜 알킬 에테르, 프로필렌 글리콜 알킬 에테르, 글루코시드 알킬 에테르, 폴리에틸렌 글리콜 옥틸 페닐 에테르, 폴리에틸렌 글리콜 알킬 페닐 에테르, 글리세롤 알킬 에스테르, 폴리옥시에틸렌 글리콜 소르비톤 알킬 에스테르, 소르비톤 알킬 에스테르, 코카미드 모노에탄올 아민, 코카미드 디에탄올 아민 도데실 디메틸아민 산화물, 폴리에틸렌 글리콜과 폴리프로필렌 글리콜의 블록 공중합체, 폴리에톡시화된 탈로우 아민, 플루오로계면활성제를 포함하는 일정 범위의 화학적 유형에서 선택될 수 있다. 계면활성제의 분자량은 수백에서 백만이 넘는 범위일 수 있다. 이러한 재료의 점도는 또한 매우 광범위한 분포를 갖는다.Nonionic surfactants include, but are not limited to, long chain alcohols, ethoxylated alcohols, ethoxylated acetylenic diol surfactants, polyethylene glycol alkyl ethers, propylene glycol alkyl ethers, glucoside alkyl ethers, polyethylene glycol octylphenyl ethers, polyethylene glycol alkyl phenyl ethers , Glycerol alkyl esters, polyoxyethylene glycol sorbitone alkyl esters, sorbiton alkyl esters, cocamide monoethanolamine, cocamide diethanolamine dodecyldimethylamine oxide, block copolymers of polyethylene glycol and polypropylene glycol, Gt; < RTI ID = 0.0 > fluoro < / RTI > surfactants. The molecular weight of the surfactant may range from hundreds to millions. The viscosity of these materials also has a very wide distribution.

음이온성 계면활성제는, 비제한적으로, 적당한 소수성 테일을 가진 염, 예컨대 알킬 카르복실레이트, 알킬 폴리아크릴산 염, 알킬 설페이트, 알킬 포스페이트, 알킬 비카르복실레이트, 알킬 비설페이트, 알킬 비포스페이트, 예컨대 알콕시 카르복실레이트, 알콕시 설페이트, 알콕시 포스페이트, 알콕시 비카르복실레이트, 알콕시 비설페이트, 알콕시 비포스페이트, 예컨대 치환된 아릴 카르복실레이트, 치환된 아릴 설페이트, 치환된 아릴 포스페이트, 치환된 아릴 비카르복실레이트, 치환된 아릴 비설페이트, 치환된 아릴 비포스페이트 등을 포함한다. 이러한 유형의 표면 습윤제의 카운터 이온은, 비제한적으로 칼륨, 암모늄 및 다른 양이온을 포함한다. 상기 음이온성 표면 습윤제의 분자량은 수백에서 수십만의 범위이다.Anionic surfactants include, but are not limited to, salts with suitable hydrophobic tail, such as alkyl carboxylates, alkyl polyacrylates, alkyl sulfates, alkyl phosphates, alkyl bicarboxylates, alkyl bisphosphates, alkyl biphosphates such as alkoxy Substituted alkylsulfates, substituted arylsulfates, substituted arylsulfates, alkylsulfates, carboxylates, alkoxysulfates, alkoxyphosphates, alkoxybicarboxylates, alkoxybisulfates, alkoxybiphosphates such as substituted arylcarboxylates, Substituted aryl bisulfates, substituted aryl bisphosphates, and the like. Counter ions of this type of surface wetting agent include, but are not limited to, potassium, ammonium, and other cations. The molecular weight of the anionic surface wetting agent ranges from hundreds to hundreds of thousands.

양이온성 표면 습윤제는 분자 골격의 대부분에서 양의 순 전하를 보유한다. 양이온성 계면활성제는 통상 소수성 쇄 및 양이온성 전하 중심을 포함하는 분자의 할라이드, 예컨대 아민, 4차 암모늄, 벤질알코늄 및 알킬피리디늄 이온이다.The cationic surface wetting agent retains positive net charge in most of the molecular skeleton. Cationic surfactants are typically halides of molecules including hydrophobic chains and a cationic charge center, such as amines, quaternary ammonium, benzylic alkionium and alkylpyridinium ions.

하지만, 또다른 양태에서, 계면활성제는 주요 분자 쇄 상에 양(양이온성) 및 음(음이온성) 전하 및 이의 상대 카운터 이온을 모두 갖는 양쪽성 표면 습윤제일 수 있다. 양이온성 부분은 1차, 2차 또는 3차 아민, 또는 4차 암모늄 양이온을 기초로 한다. 음이온성 부분은 술타인 CHAPS (3-[(3-콜아미도프로필)디메틸암모니오]-1-프로판설포네이트) 및 코카미도프로필 히드록시술타인에서와 같이 더욱 가변적일 수 있고, 설포네이트를 포함할 수 있다. 베타인, 예컨대 코카미도프로필 베타인은 암모늄과의 카르복실레이트를 갖는다. 양쪽성 계면활성제 중 일부는 아민 또는 암모늄과의 포스페이트 음이온, 예컨대 인지질 포스파티딜세린, 포스파티딜에탄올아민, 포스파티딜콜린 및 스핑고마이엘린을 가질 수 있다.However, in another embodiment, the surfactant can be an amphoteric surface wetting agent having both positive (cationic) and negative (anionic) charges on the main molecular chain and counter counter ions thereof. The cationic moiety is based on a primary, secondary or tertiary amine, or quaternary ammonium cation. The anionic moiety may be more variable, as in the sultaine CHAPS (3 - [(3-cholamidopropyl) dimethylammonio] -1-propanesulfonate) and cocamidopropylhydroxysultain, . Betaines, such as cocamidopropyl betaine, have carboxylates with ammonium. Some amphoteric surfactants may have a phosphate anion with an amine or ammonium, such as phosphatidyl phosphatidylserine, phosphatidylethanolamine, phosphatidylcholine and sphingomyelin.

계면활성제의 예는, 또한 비제한적으로, 도데실 설페이트 나트륨 염, 나트륨 라우릴 설페이트, 도데실 설페이트 암모늄 염, 2차 알칸 설포네이트, 알콜 에톡실레이트, 아세틸렌 계면활성제 및 이의 임의의 조합을 포함한다. 적당한 구입 가능한 계면활성제의 예는 Dow Chemicals에서 제조된 계면활성제의 TRITONTM, TergitolTM, DOWFAXTM 패밀리 및 Air Products and Chemicals에서 제조된 SUIRFYNOLTM, DYNOLTM, ZetasperseTM, NonidetTM, 및 TomadolTM 계면활성제 패밀리의 다양한 계면활성제를 포함한다. 계면활성제 중 적당한 계면활성제는 또한 에틸렌 산화물(EO) 및 프로필렌 산화물(PO) 기를 포함하는 중합체를 포함할 수 있다. EO-PO 중합체의 예는 BASF Chemicals의 TetronicTM 90R4이다.Examples of surfactants include, but are not limited to, dodecylsulfate sodium salt, sodium lauryl sulfate, dodecylsulfate ammonium salt, secondary alkanesulfonate, alcohol ethoxylate, acetylenic surfactant, and any combination thereof . Examples of suitable commercially available surfactants include TRITON TM of a surfactant manufactured by Dow Chemicals, Tergitol TM, DOWFAX TM family and SUIRFYNOL TM manufactured by Air Products and Chemicals, DYNOL TM , Zetasperse TM , Nonidet TM , and Tomadol TM surfactant family. Suitable surfactants among the surfactants may also include polymers comprising ethylene oxide (EO) and propylene oxide (PO) groups. An example of an EO-PO polymer is Tetronic TM 90R4 from BASF Chemicals.

분산제 및/또는 습윤제의 작용을 갖는 다른 계면활성제는, 비제한적으로, 음이온성 또는 양이온성 또는 비이온성 또는 쯔비터이온성 특성을 가질 수 있는 중합체 화합물을 포함한다. 예시로는 작용기, 예컨대 아크릴산, 말레산, 설폰산, 비닐산, 에틸렌 산화물 등을 함유하는 중합체/공중합체가 있다.Other surfactants having the action of dispersants and / or wetting agents include, but are not limited to, polymeric compounds that can have anionic or cationic, or nonionic, or zwitterionic properties. Examples are polymers / copolymers containing functional groups such as acrylic acid, maleic acid, sulfonic acid, vinylic acid, ethylene oxide, and the like.

계면활성제의 양은 CMP 조성물의 총 중량에 비해 약 0.0001 중량% 내지 약 10 중량%의 범위이다. 바람직한 범위는 약 0.001 중량% 내지 약 1 중량%이고, 더욱 바람직한 범위는 약 0.005 중량% 내지 약 0.1 중량%이다.The amount of surfactant ranges from about 0.0001% to about 10% by weight relative to the total weight of the CMP composition. A preferred range is about 0.001 wt% to about 1 wt%, and a more preferred range is about 0.005 wt% to about 0.1 wt%.

제제는 또한 음이온성 또는 양이온성 또는 비이온성 또는 군의 조합을 포함할 수 있는 수용성 중합체를 포함할 수 있다. 중합체/공중합체는 1,000 초과, 바람직하게는 10,000-4,000,000, 더욱 바람직하게는 50,000-2,000,000 범위의 분자량을 갖는다. 중합체는, 비제한적으로 폴리(아크릴산), 폴리(메타크릴산), 폴리(2-아크릴아미도-2-메틸-1-프로판설폰산, 카르복시메틸 셀룰로스, 메틸 셀룰로스, 히드로시프로필 메틸 셀룰로스, 폴리-(1-비닐피롤리돈-코-2-디메틸아미노에틸 메타크릴레이트)를 포함한 중합체 군에서 선택될 수 있다. CMP 제제 중 중합체 농도는 0.001 중량% 내지 5 중량%, 또는 더욱 바람직하게는 0.005 중량% 내지 2 중량%, 또는 가장 바람직하게는 0.01 중량% 내지 1 중량% 범위 내일 수 있다.The formulations may also include water-soluble polymers which may include anionic or cationic or non-ionic or a combination of groups. The polymer / copolymer has a molecular weight in the range of more than 1,000, preferably 10,000-4,000,000, more preferably 50,000-2,000,000. Polymers include, but are not limited to, poly (acrylic acid), poly (methacrylic acid), poly (2-acrylamido-2-methyl-1-propanesulfonic acid, carboxymethylcellulose, methylcellulose, - (1-vinylpyrrolidone-co-2-dimethylaminoethyl methacrylate). The polymer concentration in the CMP formulation may be from 0.001% to 5% by weight, or more preferably from 0.005% To about 2 wt%, or most preferably from about 0.01 wt% to about 1 wt%.

킬레이터 또는 킬레이팅 리간드는 특히 금속성 필름의 연마를 비롯한 적용예에서 금속 양이온의 킬레이팅 리간드의 친화력을 향상시키는 데 사용될 수 있다. 킬레이트제는 또한 패드 염색 및 제거율의 불안정성을 야기하는 패드 상 금속 이온의 축적을 방지하는 데 사용될 수 있다. 적당한 킬레이터 또는 킬레이팅 리간드는, 비제한적 예로서, 벤젠설폰산, 4-톨릴 설폰산, 2,4-디아미노-벤조설폰산 등, 및 또한 비방향족 유기산, 예컨대 이타콘산, 말산, 말론산, 타르타르산, 시트르산, 옥살산, 글루콘산, 락트산, 만델산 또는 이의 염을 포함한다. 킬레이터 또는 킬레이팅 리간드의 양은 CMP 조성물의 총 중량에 비해 약 0.01 중량% 내지 약 3.0 중량%; 바람직하게는 약 0.4 중량% 내지 약 1.5 중량%의 범위이다.The chelator or chelating ligand may be used to enhance the affinity of the chelating ligand of the metal cation in applications including, in particular, polishing of metallic films. Chelating agents can also be used to prevent the accumulation of metal ions on the pad which leads to instability of pad dyeing and removal rates. Suitable chelating or chelating ligands include, but are not limited to, benzenesulfonic acid, 4-tolylsulfonic acid, 2,4-diamino-benzosulfonic acid and the like, and also nonaromatic organic acids such as itaconic acid, malic acid, malonic acid , Tartaric acid, citric acid, oxalic acid, gluconic acid, lactic acid, mandelic acid or salts thereof. The amount of chelator or chelating ligand is from about 0.01% to about 3.0% by weight relative to the total weight of the CMP composition; Preferably from about 0.4% to about 1.5% by weight.

연마 조성물은 금속 연마 적용예를 위한 부식 억제제를 추가로 포함할 수 있다. 적당한 부식 억제제는, 비제한적으로 벤조트리아졸(BTA) 또는 BTA 유도체, 3-아미노-1,2,4-트리아졸, 3,5-디아민-1,2,4-트리아졸, 다른 트리아졸 유도체 및 이의 조합을 포함한다.The polishing composition may further comprise a corrosion inhibitor for metal polishing applications. Suitable corrosion inhibitors include, but are not limited to, benzotriazole (BTA) or BTA derivatives, 3-amino-1,2,4-triazole, 3,5-diamine-1,2,4-triazole, And combinations thereof.

연마 조성물은 산화제를 포함한다. 산화제는 임의의 적당한 산화제일 수 있다. 적당한 산화제는, 비제한적으로 적어도 하나의 퍼옥시 기(O)를 포함하는 하나 이상의 퍼옥시-화합물을 포함한다. 적당한 퍼옥시-화합물은, 예를 들면 퍼옥시드, 퍼설페이트(예, 모노퍼설페이트 및 디퍼설페이트), 퍼카보네이트, 및 이의 산, 및 이의 염, 및 이의 혼합물을 포함한다. 다른 적당한 산화제는, 예를 들면 산화된 할라이드(예, 요오데이트, 퍼요오데이트, 및 이의 산, 및 이의 혼합물 등), 과붕산, 퍼보레이트, 퍼카보네이트, 퍼옥시산(예, 퍼아세트산, 퍼벤조산, 이의 염, 이의 혼합물 등), 퍼망간산염, 세륨 화합물, 페리시아니드(예, 페리시안화칼륨), 이의 혼합물 등을 포함한다.The polishing composition comprises an oxidizing agent. The oxidizing agent may be any suitable oxidizing agent. Suitable oxidizing agents include, but are not limited to, at least one peroxy-compound comprising at least one peroxy group (O). Suitable peroxy-compounds include, for example, peroxides, persulfates such as monopersulfate and dipersulfate, percarbonates, and acids thereof, and salts thereof, and mixtures thereof. Other suitable oxidizing agents include, for example, oxidized halides (e.g., iodate, periodate, and acids and mixtures thereof), perborates, perborates, percarbonates, peroxy acids Benzoic acid, salts thereof, mixtures thereof, etc.), permanganates, cerium compounds, ferricyanides (e.g., potassium ferricyanide), mixtures thereof and the like.

CMP 조성물은 저장 동안 박테리아 및 진균 성장을 방지하는 생물학적 성장 억제제 또는 보존제를 포함할 수 있다.The CMP composition may include a biological growth inhibitor or preservative to prevent bacterial and fungal growth during storage.

생물학적 성장 억제제는, 비제한적으로 테트라메틸암모늄 클로라이드, 테트라에틸암모늄 클로라이드, 테트라프로필암모늄 클로라이드, 알킬벤질디메틸암모늄 클로라이드 및 알킬벤질디메틸수산화암모늄을 포함하고, 여기서 알킬 쇄는 1 내지 약 20개의 탄소 원자의 범위이고, 아염소산나트륨 및 차아염소산나트륨을 포함한다.Biological growth inhibitors include, but are not limited to, tetramethylammonium chloride, tetraethylammonium chloride, tetrapropylammonium chloride, alkylbenzyldimethylammonium chloride and alkylbenzyldimethylammonium hydroxide wherein the alkyl chain has from 1 to about 20 carbon atoms Range, including sodium chlorite and sodium hypochlorite.

일부 구입 가능한 보존제는 Dow Chemicals의 KATHONTM 및 NEOLENETM 제품 패밀리 및 Lanxess의 PreventolTM 패밀리를 포함한다. 미국 특허 번호 5,230,833(Romberger 등) 및 미국 특허 출원 번호 US 20020025762에 더 개시된다. 이의 내용은 그 전문이 본원에 참고 인용된다.Some commercially available preservatives include the KATHON TM and NEOLENE TM product families of Dow Chemicals and the Preventol TM family of Lanxess. U.S. Patent No. 5,230,833 (Romberger et al.) And U.S. Patent Application No. US 20020025762. The contents of which are incorporated herein by reference in their entirety.

제제는 농축물로 제조되고 사용 시점에 희석될 수 있다. 대안적으로, 제제는 2 이상의 복수 성분으로 제조되고 사용 시점에 혼합될 수 있다.The preparation may be made into a concentrate and diluted at the time of use. Alternatively, the agent may be made up of two or more components and mixed at the time of use.

파라미터:parameter:

Å: 옹스트롬 - 길이 단위Å: Angstrom - length unit

BP: 배압, psi 단위BP: back pressure, psi unit

CMP: 화학적 기계적 평탄화 = 화학적 기계적 연마CMP: chemical mechanical planarization = chemical mechanical polishing

CS: 캐리어 속도CS: Carrier speed

DF: 다운 포스: CMP 동안 가해지는 압력, 단위 psiDF: Downforce: Pressure applied during CMP, in psi

min: 분min: minutes

ml: 밀리리터ml: milliliter

mV: 밀리볼트mV: millivolt

psi: 제곱 인치당 파운드psi: pounds per square inch

PS: 연마 도구의 플래튼(platen) 회전 속도, rpm(분당 회전수)PS: Platen rotational speed of the polishing tool, rpm (revolutions per minute)

SF: 연마 조성물 흐름, ml/minSF: polishing composition flow, ml / min

TEOS - 전구체로서 테트라에틸오르쏘실리케이트를 사용하는 화학 증착(CVD)에 의한 산화물 필름Oxide films by chemical vapor deposition (CVD) using tetraethyl orthosilicate as TEOS - precursor

HDP- 고밀도 플라즈마(HDP) 기법에 의해 제조된 산화물 필름HDP-oxide film produced by the HDP (High Density Plasma) technique

SiN 필름- 질화규소 필름SiN film - Silicon nitride film

제거율 및 선택성Removal Rate and Selectivity

제거율(RR) = (연마 전 필름 두께 - 연마 후 필름 두께)/연마 시간.Removal rate (RR) = (film thickness before polishing-film thickness after polishing) / polishing time.

후속 예시에서 슬러리 제제는 슬러리 제제의 나머지로서 물을 사용한다. 모든 백분율은 달리 제시되지 않는 한 중량 백분율이다.In a subsequent example, the slurry formulation uses water as the remainder of the slurry formulation. All percentages are weight percentages unless otherwise indicated.

작업 실시예Working Example

CMP 연마기: Applied Materials에 의해 제조된 200 mm 웨이퍼 연마기 미라(Mirra), 및 Dow Corporation에 의해 공급된 Polishing Pad IC1010 패드가 CMP 공정에 사용되었다.CMP Polisher: A 200 mm wafer polisher Mirra, manufactured by Applied Materials, and Polishing Pad IC1010 pad supplied by Dow Corporation, were used in the CMP process.

암모늄 폴리아크릴레이트(분자량 15000-18000), Kao chemicals에서 구매, 상품명 EK-1로 판매되었다.Ammonium polyacrylate (molecular weight 15000-18000), purchased from Kao chemicals, under the trade name EK-1.

CMP 제제의 pH는 수산화암모늄을 사용하여 5로 조정되었다.The pH of the CMP formulation was adjusted to 5 using ammonium hydroxide.

입자 크기 분포 측정은 디스크 원심분리 입자 크기 분석기(CPS Instruments의 DC24000 UHR)를 사용하여 수행되었다. 입자 크기 분포 커브는 복합 입자의 입자 크기 밀도가 입자의 조성물을 기초로 계산되었을 때 3.64 gm/cm3인 추정을 기초로 생성되었다.Particle size distribution measurements were performed using a disk centrifuge particle size analyzer (DC24000 UHR from CPS Instruments). The particle size distribution curve was generated based on an estimate that the particle size density of the multiparticulate was 3.64 gm / cm 3 when calculated based on the composition of the particles.

실시예 1Example 1

4개의 상이한 종류의 세리아 코팅된 실리카 연마 입자를 JGC&C Corporation(일본 소재)으로부터 수득하였다. 이러한 4개의 입자의 입자 크기 분포를 하기 표 1에 요약하였다. Four different types of ceria coated silica abrasive grains were obtained from JGC & C Corporation (Japan). The particle size distributions of these four particles are summarized in Table 1 below.

Figure pat00001
Figure pat00001

도 1에는 상기 입자의 입자 크기 분포가 도시되었다.Figure 1 shows the particle size distribution of the particles.

도 1에 도시된 입자 크기 분포 플롯에는 복수의 피크가 존재하였다. 각 피크는 2개 이상의 단일 1차 입자의 응집체에 의해 형성되는 클러스터에 해당한다. 입자 B, C 및 D는 대부분의 클러스터가 2개 이하의 1차 입자를 갖는 것을 나타내는 2개의 입자 크기 피크로 입자 크기 분포를 단단히 제어하는 공정을 사용하여 제조되었다. 한편, 상이한 공정을 사용하여 제조되는 입자 A는, 2개 이상의 1차 입자를 포함하는 입자 크기 클러스터의 존재를 나타내는 4개의 피크를 갖는 매우 광범위한 크기 분포를 갖는다.There were a plurality of peaks in the particle size distribution plot shown in Fig. Each peak corresponds to a cluster formed by agglomerates of two or more single primary particles. Particles B, C, and D were prepared using a process to tightly control the particle size distribution with two particle size peaks indicating that most clusters have no more than two primary particles. On the other hand, the particles A produced using different processes have a very broad size distribution with four peaks indicating the presence of a particle size cluster comprising two or more primary particles.

실시예 2Example 2

Applied Materials에 의해 제조된 미라 연마기 상에서 연마를 수행하였다. 3.7 psi, 87 RPM 테이블 속도 93 RPM 헤드 속도, 200 ml/min 슬러리 유속, 6 lb 다운 포스에서 계내 패드 컨디셔닝으로 연마를 수행하였다.Polishing was performed on a mummer polishing machine manufactured by Applied Materials. Polishing was performed by in-situ pad conditioning at 3.7 psi, 87 RPM table speed 93 RPM head speed, 200 ml / min slurry flow rate, 6 lb down force.

0.185 중량% 연마 입자, 0.14 중량% 암모늄 폴리아크릴레이트(MW 약 16000-18000), 수산화암모늄을 사용하여 5.45로 조정된 pH를 포함하는 CMP 연마 슬러리로 실시예 1에 기술된 입자를 연마제로서 개별적으로 조제하였다.The particles described in Example 1 were treated separately with a CMP polishing slurry containing 0.185 wt% abrasive grains, 0.14 wt% ammonium polyacrylate (MW about 16000-18000), pH adjusted to 5.45 using ammonium hydroxide, Lt; / RTI >

하기 표 2에는 CMP 연마 슬러리에 의한 연마에 의해 수득된 상이한 필름의 제거율을 요약하였다.Table 2 below summarizes the removal rates of the different films obtained by polishing with a CMP polishing slurry.

Figure pat00002
Figure pat00002

두 필름이 본질적으로 산화규소 필름을 포함하더라도 입자 크기 및 입자 크기 분포가 HDP 이산화규소 필름 및 TEOS 필름에 상이하게 영향을 미친다는 것이 명백하다.It is clear that the particle size and particle size distribution differently affect the HDP silicon dioxide film and the TEOS film even though both films contain essentially silicon oxide films.

더 넓은 입자 크기 분포를 갖는 입자 A는 더 좁은 입자 크기 분포를 갖는 유사한 크기의 입자와 비교하여 HDP 이산화규소 필름에 대해 훨씬 더 높은 제거율을 제공하는 것으로 나타났다.Particle A with a broader particle size distribution has been shown to provide a much higher removal rate for HDP silicon dioxide films as compared to particles of similar size with a narrower particle size distribution.

더 작은 입자 크기를 갖는 입자 D는 유사하게 TEOS 필름에 비해 HDP 이산화규소 필름에 대하여 더 높은 제거율을 제공하는 것으로 나타났다.Particles D with smaller particle sizes were similarly found to provide higher removal rates for HDP silicon dioxide films compared to TEOS films.

입자 B 및 C는 HDP 이산화규소 필름 또는 TEOS 필름의 연마에 대해 상대적으로 둔감하였다.Particles B and C were relatively insensitive to polishing of HDP silicon dioxide films or TEOS films.

따라서, 더 작은 입자 크기 및 넓은 크기 분포의 조합은 HDP 이산화규소 필름을 우선적으로 연마하는 데 도움이 될 수 있다. Thus, a combination of a smaller particle size and a broader size distribution can help preferentially polish the HDP silicon dioxide film.

실시예 3Example 3

이 실시예에서, 과연마 또는 라인 디싱의 민감성에 대한 연마 입자의 효과를 측정하였다.In this example, the effect of abrasive particles on the sensitivity of superficial or line dishing was measured.

MIT864 패턴으로 패턴화된 웨이퍼를 연마에 사용하였다. 우선 웨이퍼를 하소된 세리아 슬러리(Versum 재료의 STI2100)로 연마하여 표면 영역으로부터 HDP 산화물을 완전히 제거하였다. 그리고나서 연마 시간 60초로 2회 웨이퍼를 연마하였다. 각 연마 단계 후, 타원편광분석 기법을 사용하여 표면 영역의 잔여 SiN 두께 및 트랜치 영역의 HDP 산화물 두께를 측정하였다. 이 데이타를 기초로, 트랜치 산화물 손실률(패턴 제거율)을 HDP 산화물 블랭킷 산화물 제거율로 나눔으로써 과연마 민감성을 계산하였다. 블랭킷 산화물 비율에 비해 더 높은 트랜치 손실은 바람직하지 않은 디싱에 대한 더 높은 과연마 민감성에 상응한다.The wafers patterned with the MIT864 pattern were used for polishing. First, the wafer was polished with a calcined ceria slurry (STI2100 of Versum material) to completely remove the HDP oxide from the surface area. The wafer was then polished twice with a polishing time of 60 seconds. After each polishing step, the residual SiN thickness of the surface region and the HDP oxide thickness of the trench region were measured using ellipsometry techniques. Based on this data, the ultimate sensitivity was calculated by dividing the tritium oxide loss rate (pattern removal rate) by the HDP oxide blanket oxide removal rate. A higher trench loss relative to the blanket oxide ratio corresponds to a higher intrinsic sensitivity to undesirable dishing.

도 2에는 연마 전, 연마 후의 웨이퍼 스택이 도시되며, 패턴 제거율을 계산하는 방법이 기술된다.FIG. 2 shows a wafer stack before and after polishing, and a method for calculating the pattern removal rate is described.

도 3에는 상이한 입자를 갖는 CMP 슬러리를 위한 D50/(D90-D50)의 함수로서 블랭킷 산화물 제거율에 대한 트랜치 산화물 손실률의 비율이 플롯팅된다.3, the ratio of the trench oxide loss rate to the blanket oxide removal rate is plotted as a function of D50 / (D90-D50) for the CMP slurry with different particles.

더 작은 입자(예, D50/(D90-D50) = 1.27의 크기 분포를 갖는 입자 D)는 더 낮은 과연마 민감성을 형성하는 것이 입증된다. 또한, 입자 크기가 증가함에 따라, 더 큰 100 미크론 특징부에 대한 디싱이 더 나빠지게 된다.Particles D with smaller particle size distribution (e.g., size distribution of D50 / (D90-D50) = 1.27) are proved to form lower imperfections. Also, as the particle size increases, the dishing for the larger 100 micron feature becomes worse.

더 넓은 입자 분포(예, D50/(D90-D50) = 1.07을 갖는 입자 A)는 또한 유사 크기의 입자 B에 비해 유의적으로 더 낮은 과연마 민감성을 나타내었다. 입자 A로 제조된 CMP 슬러리에서의 디싱은 또한 선-폭에 둔감한 것으로 보였다.Particles A having a broader particle distribution (e.g., D50 / (D90-D50) = 1.07) also exhibited significantly lower impermeability than particles B of similar size. Dishing in a CMP slurry made from Particle A also appeared to be line-width insensitive.

넓은 100 미크론 특징부의 트랜치 손실은 매우 바람직한 50 미크론 특징부에 필적하였다.The trench loss of the wide 100 micron feature is comparable to the highly desirable 50 micron feature.

상기 실시예 및 실시양태의 설명은 청구범위에 의해 한정되는 바와 같이 본 발명을 제한하기 보다는 예시로서 취해져야 한다. 용이하게 이해되는 바와 같이, 상기 제시된 특징부의 다수의 변형예 및 조합예는 청구범위에 제시되는 바와 같이 본 발명으로부터 벗어나는 일 없이 이용될 수 있다. 이러한 변형예는 하기 청구범위의 범위 내에 포함되는 것으로 의도된다.The foregoing description of the embodiments and embodiments should be taken as illustrative rather than restrictive, as defined by the claims. As will be readily appreciated, many variations and combinations of the presented features can be utilized without departing from the invention as set forth in the claims. Such variations are intended to be included within the scope of the following claims.

Claims (23)

화학적 기계적 평탄화(CMP) 연마 조성물로서,
(1) 실리카, 알루미나, 티타니아, 지르코니아, 중합체 입자 및 이의 조합으로 이루어진 군에서 선택되는 코어 입자; 및 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란타늄, 스트론튬 나노입자의 산화물 및 이의 조합으로 이루어진 군에서 선택되는 나노입자를 포함하는 복합 입자로서, 여기서 복합 입자는 D50/(D99-D50) ≤ 1.85의 입자 크기 분포를 갖고, D99는 복합 입자의 99 중량%가 속하는 입자 크기이며, D50은 복합 입자의 50 중량%가 속하는 입자 크기인 복합 입자 0.01 중량% 내지 20 중량%;
(2) 물, 극성 용매 및 물과 극성 용매의 혼합물로 이루어진 군에서 선택되는 수용성 용매로서, 극성 용매는 알콜, 에테르, 케톤 또는 다른 극성 시약으로 이루어진 군에서 선택되는 것인 수용성 용매;
(3) 약 2 내지 약 12의 범위인 CMP 조성물의 pH
를 포함하고, 경우에 따라,
(4) 수산화나트륨, 수산화세슘, 수산화칼륨, 수산화세슘, 수산화암모늄, 4차 유기 수산화암모늄 및 이의 조합으로 이루어진 군에서 선택되는 pH 조정제 0.0001 중량% 내지 약 5 중량;
(5) 유기 카르복실산, 아미노산, 아미노카르복실산, N-아실아미노산 및 이의 염; 유기 설폰산 및 이의 염; 유기 포스폰산 및 이의 염; 중합체 카르복실산 및 이의 염; 중합체 설폰산 및 이의 염; 중합체 포스폰산 및 이의 염; 아릴아민, 아미노 알콜, 지방족 아민, 헤테로시클릭 아민, 히드록삼산, 치환된 페놀, 설폰아미드, 티올, 히드록실기를 갖는 폴리올 및 이의 조합으로 이루어진 군에서 선택된 작용기를 갖는 화합물로 이루어진 군에서 선택되는 화학적 첨가제 0.000001 중량% 내지 5 중량%;
(6) a). 비이온성 표면 습윤제, b). 음이온성 표면 습윤제, c). 양이온성 표면 습윤제, d). 양쪽성 표면 습윤제, 및 이의 혼합물로 이루어진 군에서 선택되는 계면활성제 0.0001 중량% 내지 약 10 중량%;
(7) 킬레이터 0.01 중량% 내지 3.0 중량%;
(8) 부식 억제제;
(9) 산화제; 및
(10) 생물학적 성장 억제제
를 포함하는 화학적 기계적 평탄화(CMP) 연마 조성물.
Chemical mechanical planarization (CMP) As a polishing composition,
(1) core particles selected from the group consisting of silica, alumina, titania, zirconia, polymer particles and combinations thereof; And nanoparticles selected from the group consisting of oxides of zirconium, titanium, iron, manganese, zinc, cerium, yttrium, calcium, magnesium, fluorine, lanthanum and strontium nanoparticles and combinations thereof, D50 / (D99-D50)? 1.85, wherein D99 is a particle size to which 99% by weight of the composite particles belong, and D50 is a composite particle having a particle size to which 50% weight%;
(2) a water-soluble solvent selected from the group consisting of water, a polar solvent and a mixture of water and a polar solvent, wherein the polar solvent is selected from the group consisting of alcohols, ethers, ketones or other polar reagents;
(3) a pH of the CMP composition ranging from about 2 to about 12
And, optionally,
(4) 0.0001 wt% to about 5 wt% of a pH adjusting agent selected from the group consisting of sodium hydroxide, cesium hydroxide, potassium hydroxide, cesium hydroxide, ammonium hydroxide, quaternary organic ammonium hydroxide, and combinations thereof;
(5) organic carboxylic acids, amino acids, aminocarboxylic acids, N-acylamino acids and salts thereof; Organic sulfonic acids and their salts; Organic phosphonic acids and their salts; Polymeric carboxylic acids and their salts; Polymeric sulfonic acids and their salts; Polymeric phosphonic acids and their salts; Selected from the group consisting of compounds having functional groups selected from the group consisting of arylamine, aminoalcohol, aliphatic amine, heterocyclic amine, hydroxamic acid, substituted phenol, sulfonamide, thiol, polyol having hydroxyl group and combinations thereof 0.000001 wt% to 5 wt% of a chemical additive;
(6) a). Nonionic surface wetting agents, b). Anionic surface wetting agent, c). Cationic surface wetting agents, d). From about 0.0001 wt.% To about 10 wt.% Of a surfactant selected from the group consisting of amphoteric surface wetting agents, and mixtures thereof;
(7) 0.01 to 3.0% by weight of chelator;
(8) corrosion inhibitors;
(9) an oxidizing agent; And
(10) Biological growth inhibitors
(CMP) < / RTI >
제1항에 있어서, 복합 입자는 실리카 코어 입자 및 세리아 나노입자를 포함하고, 복합 입자는 세리아 코팅된 실리카 입자인 화학적 기계적 평탄화(CMP) 연마 조성물.The chemical mechanical planarization (CMP) polishing composition of claim 1, wherein the composite particles comprise silica core particles and ceria nanoparticles, and the composite particles are ceria coated silica particles. 제1항에 있어서, 복합 입자는 20 nm 내지 200 nm의 D50을 갖는 것인 화학적 기계적 평탄화(CMP) 연마 조성물.The chemical mechanical planarization (CMP) polishing composition of claim 1, wherein the composite particles have a D50 of 20 nm to 200 nm. 제1항에 있어서, 복합 입자는 D50/(D99-D50) ≤ 1.50의 입자 크기 분포를 갖는 것인 화학적 기계적 평탄화(CMP) 연마 조성물.The chemical mechanical planarization (CMP) polishing composition of claim 1, wherein the composite particles have a particle size distribution of D50 / (D99-D50)? 1.50. 제1항에 있어서, 복합 입자는 D50/(D99-D50) ≤ 1.30의 입자 크기 분포를 갖는 것인 화학적 기계적 평탄화(CMP) 연마 조성물.The chemical mechanical planarization (CMP) polishing composition of claim 1, wherein the composite particles have a particle size distribution of D50 / (D99-D50)? 1.30. 제1항에 있어서, CMP 연마 조성물은 세리아 코팅된 실리카 입자; 폴리아크릴레이트(분자량 16000-18000); 및 4-7의 pH를 포함하는 것인 화학적 기계적 평탄화(CMP) 연마 조성물.The method of claim 1, wherein the CMP polishing composition comprises ceria coated silica particles; Polyacrylates (molecular weight 16000-18000); And a pH of 4-7. ≪ Desc / Clms Page number 24 > 제1항에 있어서, CMP 연마 조성물은 D50/(D99-D50) ≤ 1.50의 입자 크기 분포를 갖는 세리아 코팅된 실리카 입자; 폴리아크릴레이트(분자량 16000-18000); 및 4-7의 pH를 포함하는 것인 화학적 기계적 평탄화(CMP) 연마 조성물.4. The composition of claim 1, wherein the CMP polishing composition comprises ceria coated silica particles having a particle size distribution of D50 / (D99-D50) <1.50; Polyacrylates (molecular weight 16000-18000); And a pH of 4-7. ≪ Desc / Clms Page number 24 > 제1항에 있어서, CMP 연마 조성물은 D50/(D99-D50) ≤ 1.30의 입자 크기 분포를 갖는 세리아 코팅된 실리카 입자; 폴리아크릴레이트(분자량 16000-18000); 및 4-7의 pH를 포함하는 것인 화학적 기계적 평탄화(CMP) 연마 조성물.7. The polishing composition of claim 1, wherein the CMP polishing composition comprises: ceria coated silica particles having a particle size distribution of D50 / (D99-D50)? 1.30; Polyacrylates (molecular weight 16000-18000); And a pH of 4-7. ≪ Desc / Clms Page number 24 > 적어도 하나의 산화물 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판의 화학적 기계적 평탄화(CMP)를 위한 연마 방법으로서,
a) 적어도 하나의 산화물 층과 연마 패드를 접촉시키는 단계;
b) CMP 연마 조성물로서,
(1) 실리카, 알루미나, 티타니아, 지르코니아, 중합체 입자 및 이의 조합으로 이루어진 군에서 선택되는 코어 입자; 및 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란타늄, 스트론튬 나노입자의 산화물 및 이의 조합으로 이루어진 군에서 선택되는 나노입자를 포함하는 복합 입자로서, 여기서 복합 입자는 D50/(D99-D50) ≤ 1.85의 입자 크기 분포를 갖고, D99는 복합 입자의 99 중량%가 속하는 입자 크기이며, D50은 복합 입자의 50 중량%가 속하는 입자 크기인 복합 입자 0.01 중량% 내지 20 중량%;
(2) 물, 극성 용매 및 물과 극성 용매의 혼합물로 이루어진 군에서 선택되는 수용성 용매로서, 극성 용매는 알콜, 에테르, 케톤 또는 다른 극성 시약으로 이루어진 군에서 선택되는 것인 수용성 용매;
(3) 약 2 내지 약 12의 범위인 CMP 조성물의 pH
를 포함하고, 경우에 따라,
(4) 수산화나트륨, 수산화세슘, 수산화칼륨, 수산화세슘, 수산화암모늄, 4차 유기 수산화암모늄 및 이의 조합으로 이루어진 군에서 선택되는 pH 조정제 0.0001 중량% 내지 약 5 중량;
(5) 유기 카르복실산, 아미노산, 아미노카르복실산, N-아실아미노산 및 이의 염; 유기 설폰산 및 이의 염; 유기 포스폰산 및 이의 염; 중합체 카르복실산 및 이의 염; 중합체 설폰산 및 이의 염; 중합체 포스폰산 및 이의 염; 아릴아민, 아미노 알콜, 지방족 아민, 헤테로시클릭 아민, 히드록삼산, 치환된 페놀, 설폰아미드, 티올, 히드록실기를 갖는 폴리올 및 이의 조합으로 이루어진 군에서 선택된 작용기를 갖는 화합물로 이루어진 군에서 선택되는 화학적 첨가제 0.000001 중량% 내지 5 중량%;
(6) a). 비이온성 표면 습윤제, b). 음이온성 표면 습윤제, c). 양이온성 표면 습윤제, d). 양쪽성 표면 습윤제, 및 이의 혼합물로 이루어진 군에서 선택되는 계면활성제 0.0001 중량% 내지 약 10 중량%;
(7) 킬레이터 0.01 중량% 내지 3.0 중량%;
(8) 부식 억제제;
(9) 산화제; 및
(10) 생물학적 성장 억제제
를 포함하는 CMP 연마 조성물을 전달하는 단계; 및
c) 적어도 하나의 산화물 층을 CMP 연마 조성물로 연마하는 단계
를 포함하는 연마 방법.
A polishing method for chemical mechanical planarization (CMP) of a semiconductor substrate comprising at least one surface having at least one oxide layer,
a) contacting at least one oxide layer with a polishing pad;
b) as a CMP polishing composition,
(1) core particles selected from the group consisting of silica, alumina, titania, zirconia, polymer particles and combinations thereof; And nanoparticles selected from the group consisting of oxides of zirconium, titanium, iron, manganese, zinc, cerium, yttrium, calcium, magnesium, fluorine, lanthanum and strontium nanoparticles and combinations thereof, D50 / (D99-D50)? 1.85, wherein D99 is a particle size to which 99% by weight of the composite particles belong, and D50 is a composite particle having a particle size to which 50% weight%;
(2) a water-soluble solvent selected from the group consisting of water, a polar solvent and a mixture of water and a polar solvent, wherein the polar solvent is selected from the group consisting of alcohols, ethers, ketones or other polar reagents;
(3) a pH of the CMP composition ranging from about 2 to about 12
And, optionally,
(4) 0.0001 wt% to about 5 wt% of a pH adjusting agent selected from the group consisting of sodium hydroxide, cesium hydroxide, potassium hydroxide, cesium hydroxide, ammonium hydroxide, quaternary organic ammonium hydroxide, and combinations thereof;
(5) organic carboxylic acids, amino acids, aminocarboxylic acids, N-acylamino acids and salts thereof; Organic sulfonic acids and their salts; Organic phosphonic acids and their salts; Polymeric carboxylic acids and their salts; Polymeric sulfonic acids and their salts; Polymeric phosphonic acids and their salts; Selected from the group consisting of compounds having functional groups selected from the group consisting of arylamine, aminoalcohol, aliphatic amine, heterocyclic amine, hydroxamic acid, substituted phenol, sulfonamide, thiol, polyol having hydroxyl group and combinations thereof 0.000001 wt% to 5 wt% of a chemical additive;
(6) a). Nonionic surface wetting agents, b). Anionic surface wetting agent, c). Cationic surface wetting agents, d). From about 0.0001 wt.% To about 10 wt.% Of a surfactant selected from the group consisting of amphoteric surface wetting agents, and mixtures thereof;
(7) 0.01 to 3.0% by weight of chelator;
(8) corrosion inhibitors;
(9) an oxidizing agent; And
(10) Biological growth inhibitors
≪ RTI ID = 0.0 > CMP < / RTI > And
c) polishing at least one oxide layer with a CMP polishing composition
≪ / RTI >
제9항에 있어서, 복합 입자는 실리카 코어 입자 및 세리아 나노입자를 포함하고, 복합 입자는 세리아 코팅된 실리카 입자인 연마 방법.The polishing method according to claim 9, wherein the composite particles comprise silica core particles and ceria nanoparticles, and the composite particles are ceria-coated silica particles. 제9항에 있어서, 복합 입자는 D50/(D99-D50) ≤ 1.50의 입자 크기 분포를 갖는 것인 연마 방법.The polishing method according to claim 9, wherein the composite particles have a particle size distribution of D50 / (D99-D50)? 1.50. 제9항에 있어서, 복합 입자는 D50/(D99-D50) ≤ 1.30의 입자 크기 분포를 갖는 것인 연마 방법.The polishing method according to claim 9, wherein the composite particles have a particle size distribution of D50 / (D99-D50)? 1.30. 제8항에 있어서, CMP 연마 조성물은 세리아 코팅된 실리카 입자; 폴리아크릴레이트(분자량 16000-18000); 및 4-7의 pH를 포함하는 것인 연마 방법.9. The method of claim 8, wherein the CMP polishing composition comprises ceria coated silica particles; Polyacrylates (molecular weight 16000-18000); And a pH of 4-7. 제9항에 있어서, CMP 연마 조성물은 D50/(D99-D50) ≤ 1.50의 입자 크기 분포를 갖는 세리아 코팅된 실리카 입자; 폴리아크릴레이트(분자량 16000-18000); 및 4-7의 pH를 포함하는 것인 연마 방법.10. The method of claim 9, wherein the CMP polishing composition comprises ceria coated silica particles having a particle size distribution of D50 / (D99-D50) &lt;1.50; Polyacrylates (molecular weight 16000-18000); And a pH of 4-7. 제9항에 있어서, CMP 연마 조성물은 D50/(D99-D50) ≤ 1.30의 입자 크기 분포를 갖는 세리아 코팅된 실리카 입자; 폴리아크릴레이트(분자량 16000-18000); 및 4-7의 pH를 포함하는 것인 연마 방법.10. The method of claim 9, wherein the CMP polishing composition comprises ceria-coated silica particles having a particle size distribution of D50 / (D99-D50) &lt; = 1.30; Polyacrylates (molecular weight 16000-18000); And a pH of 4-7. 제9항에 있어서, 고밀도 플라즈마(HDP) 이산화규소 필름의 제거율에 대한 TEOS의 제거율의 비가 < 1인 연마 방법.The polishing method according to claim 9, wherein the ratio of the removal ratio of TEOS to the removal rate of the high density plasma (HDP) silicon dioxide film is < 화학적 기계적 평탄화를 위한 시스템으로서,
적어도 하나의 산화물 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판;
연마 패드; 및
CMP 연마 조성물로서,
(1) 실리카, 알루미나, 티타니아, 지르코니아, 중합체 입자 및 이의 조합으로 이루어진 군에서 선택되는 코어 입자; 및 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란타늄, 스트론튬 나노입자의 산화물 및 이의 조합으로 이루어진 군에서 선택되는 나노입자를 포함하는 복합 입자로서, 여기서 복합 입자는 D50/(D99-D50) ≤ 1.85의 입자 크기 분포를 갖고, D99는 복합 입자의 99 중량%가 속하는 입자 크기이며, D50은 복합 입자의 50 중량%가 속하는 입자 크기인 복합 입자 0.01 중량% 내지 20 중량%;
(2) 물, 극성 용매 및 물과 극성 용매의 혼합물로 이루어진 군에서 선택되는 수용성 용매로서, 극성 용매는 알콜, 에테르, 케톤 또는 다른 극성 시약으로 이루어진 군에서 선택되는 것인 수용성 용매;
(3) 약 2 내지 약 12의 범위인 CMP 조성물의 pH
를 포함하고, 경우에 따라,
(4) 수산화나트륨, 수산화세슘, 수산화칼륨, 수산화세슘, 수산화암모늄, 4차 유기 수산화암모늄 및 이의 조합으로 이루어진 군에서 선택되는 pH 조정제 0.0001 중량% 내지 약 5 중량;
(5) 유기 카르복실산, 아미노산, 아미노카르복실산, N-아실아미노산 및 이의 염; 유기 설폰산 및 이의 염; 유기 포스폰산 및 이의 염; 중합체 카르복실산 및 이의 염; 중합체 설폰산 및 이의 염; 중합체 포스폰산 및 이의 염; 아릴아민, 아미노 알콜, 지방족 아민, 헤테로시클릭 아민, 히드록삼산, 치환된 페놀, 설폰아미드, 티올, 히드록실기를 갖는 폴리올 및 이의 조합으로 이루어진 군에서 선택된 작용기를 갖는 화합물로 이루어진 군에서 선택되는 화학적 첨가제 0.000001 중량% 내지 5.0 중량%;
(6) a). 비이온성 표면 습윤제, b). 음이온성 표면 습윤제, c). 양이온성 표면 습윤제, d). 양쪽성 표면 습윤제, 및 이의 혼합물로 이루어진 군에서 선택되는 계면활성제 0.0001 중량% 내지 약 10 중량%;
(7) 킬레이터 0.01 중량% 내지 3.0 중량%;
(8) 부식 억제제;
(9) 산화제; 및
(10) 생물학적 성장 억제제
를 포함하는 CMP 연마 조성물
을 포함하고, 적어도 하나의 산화물 층은 연마 패드 및 연마 조성물과 접촉되는 것인 화학적 기계적 평탄화를 위한 시스템.
As a system for chemical mechanical planarization,
A semiconductor substrate comprising at least one surface having at least one oxide layer;
Polishing pad; And
As a CMP polishing composition,
(1) core particles selected from the group consisting of silica, alumina, titania, zirconia, polymer particles and combinations thereof; And nanoparticles selected from the group consisting of oxides of zirconium, titanium, iron, manganese, zinc, cerium, yttrium, calcium, magnesium, fluorine, lanthanum and strontium nanoparticles and combinations thereof, D50 / (D99-D50)? 1.85, wherein D99 is a particle size to which 99% by weight of the composite particles belong, and D50 is a composite particle having a particle size to which 50% weight%;
(2) a water-soluble solvent selected from the group consisting of water, a polar solvent and a mixture of water and a polar solvent, wherein the polar solvent is selected from the group consisting of alcohols, ethers, ketones or other polar reagents;
(3) a pH of the CMP composition ranging from about 2 to about 12
And, optionally,
(4) 0.0001 wt% to about 5 wt% of a pH adjusting agent selected from the group consisting of sodium hydroxide, cesium hydroxide, potassium hydroxide, cesium hydroxide, ammonium hydroxide, quaternary organic ammonium hydroxide, and combinations thereof;
(5) organic carboxylic acids, amino acids, aminocarboxylic acids, N-acylamino acids and salts thereof; Organic sulfonic acids and their salts; Organic phosphonic acids and their salts; Polymeric carboxylic acids and their salts; Polymeric sulfonic acids and their salts; Polymeric phosphonic acids and their salts; Selected from the group consisting of compounds having functional groups selected from the group consisting of arylamine, aminoalcohol, aliphatic amine, heterocyclic amine, hydroxamic acid, substituted phenol, sulfonamide, thiol, polyol having hydroxyl group and combinations thereof 0.000001 wt% to 5.0 wt% of a chemical additive;
(6) a). Nonionic surface wetting agents, b). Anionic surface wetting agent, c). Cationic surface wetting agents, d). From about 0.0001 wt.% To about 10 wt.% Of a surfactant selected from the group consisting of amphoteric surface wetting agents, and mixtures thereof;
(7) 0.01 to 3.0% by weight of chelator;
(8) corrosion inhibitors;
(9) an oxidizing agent; And
(10) Biological growth inhibitors
CMP &lt; / RTI &gt;
Wherein at least one oxide layer is in contact with the polishing pad and the polishing composition.
제17항에 있어서, 복합 입자는 실리카 코어 입자 및 세리아 나노입자를 포함하거나, 또는 복합 입자는 세리아 코팅된 실리카 입자인 화학적 기계적 평탄화를 위한 시스템.18. The system of claim 17, wherein the composite particles comprise silica core particles and ceria nanoparticles, or the composite particles are ceria coated silica particles. 제17항에 있어서, 복합 입자는 D50/(D99-D50) ≤ 1.50의 입자 크기 분포를 갖는 것인 화학적 기계적 평탄화를 위한 시스템.18. The system of claim 17, wherein the composite particles have a particle size distribution of D50 / (D99-D50)? 1.50. 제16항에 있어서, 복합 입자는 D50/(D99-D50) ≤ 1.30의 입자 크기 분포를 갖는 것인 화학적 기계적 평탄화를 위한 시스템.17. The system of claim 16, wherein the composite particles have a particle size distribution of D50 / (D99-D50) &amp;le; 1.30. 제17항에 있어서, CMP 연마 조성물은 세리아 코팅된 실리카 입자; 폴리아크릴레이트(분자량 16000-18000); 및 4-7의 pH를 포함하는 것인 화학적 기계적 평탄화를 위한 시스템.18. The method of claim 17, wherein the CMP polishing composition comprises ceria coated silica particles; Polyacrylates (molecular weight 16000-18000); And a pH of 4-7. 제17항에 있어서, CMP 연마 조성물은 D50/(D99-D50) ≤ 1.50의 입자 크기 분포를 갖는 세리아 코팅된 실리카 입자; 폴리아크릴레이트(분자량 16000-18000); 및 4-7의 pH를 포함하는 것인 화학적 기계적 평탄화를 위한 시스템.18. The method of claim 17, wherein the CMP polishing composition comprises ceria coated silica particles having a particle size distribution of D50 / (D99-D50) &lt; = 1.50; Polyacrylates (molecular weight 16000-18000); And a pH of 4-7. 제17항에 있어서, CMP 연마 조성물은 D50/(D99-D50) ≤ 1.30의 입자 크기 분포를 갖는 세리아 코팅된 실리카 입자; 폴리아크릴레이트(분자량 16000-18000); 및 4-7의 pH를 포함하는 것인 화학적 기계적 평탄화를 위한 시스템.18. The method of claim 17, wherein the CMP polishing composition comprises: ceria coated silica particles having a particle size distribution of D50 / (D99-D50)? 1.30; Polyacrylates (molecular weight 16000-18000); And a pH of 4-7.
KR1020180129125A 2017-10-27 2018-10-26 Composite particles, method of refining and use thereof KR102301462B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210118195A KR102493753B1 (en) 2017-10-27 2021-09-06 Composite particles, method of refining and use thereof

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762577978P 2017-10-27 2017-10-27
US62/577,978 2017-10-27
US16/159,572 US20190127607A1 (en) 2017-10-27 2018-10-12 Composite Particles, Method of Refining and Use Thereof
US16/159,572 2018-10-12

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210118195A Division KR102493753B1 (en) 2017-10-27 2021-09-06 Composite particles, method of refining and use thereof

Publications (2)

Publication Number Publication Date
KR20190047632A true KR20190047632A (en) 2019-05-08
KR102301462B1 KR102301462B1 (en) 2021-09-14

Family

ID=64051450

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180129125A KR102301462B1 (en) 2017-10-27 2018-10-26 Composite particles, method of refining and use thereof
KR1020210118195A KR102493753B1 (en) 2017-10-27 2021-09-06 Composite particles, method of refining and use thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020210118195A KR102493753B1 (en) 2017-10-27 2021-09-06 Composite particles, method of refining and use thereof

Country Status (8)

Country Link
US (1) US20190127607A1 (en)
EP (2) EP3831901A1 (en)
JP (2) JP6748172B2 (en)
KR (2) KR102301462B1 (en)
CN (1) CN109722172A (en)
IL (1) IL262595B2 (en)
SG (2) SG10201809463TA (en)
TW (1) TWI731273B (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11718767B2 (en) 2018-08-09 2023-08-08 Versum Materials Us, Llc Chemical mechanical planarization composition for polishing oxide materials and method of use thereof
WO2020245904A1 (en) * 2019-06-04 2020-12-10 昭和電工マテリアルズ株式会社 Polishing solution, dispersion, polishing solution production method, and polishing method
WO2021061591A1 (en) * 2019-09-24 2021-04-01 Versum Materials Us, Llc With-in die non-uniformities (wid-nu) in planarization
KR102282872B1 (en) * 2019-11-11 2021-07-28 주식회사 켐톤 Fabrication method of cerium oxide particles, polishing particles and slurry composition comprising the same
US20230020073A1 (en) * 2019-12-04 2023-01-19 Versum Materials Us, Llc High Oxide Film Removal Rate Shallow Trench (STI) Chemical Mechanical Planarization (CMP) Polishing
EP3934805A1 (en) * 2020-03-16 2022-01-12 Neo Performance Materials (Singapore), PTE. LTD. Compositions containing zirconium and cerium and methods for preparing same using oxalic acid and supercritical drying
TW202138505A (en) * 2020-03-31 2021-10-16 美商富士軟片電子材料美國股份有限公司 Polishing compositions and methods of use thereof
CN113150696B (en) * 2021-03-01 2023-08-22 广州凌玮科技股份有限公司 Polishing solution for reducing micro scratches on surface of silicon wafer
KR102620964B1 (en) * 2021-07-08 2024-01-03 에스케이엔펄스 주식회사 Polishing composition for semiconductor process and manufacturing method for polished object
CN114525108B (en) * 2022-02-18 2023-06-09 太仓硅源纳米材料有限公司 Silica sol active abrasive particles for chemical mechanical polishing and preparation method thereof
CN114591687A (en) * 2022-03-18 2022-06-07 深圳市瑞来稀土材料有限公司 Rare earth polishing powder for semiconductor wafer polishing treatment and preparation method thereof
WO2023181659A1 (en) * 2022-03-22 2023-09-28 三菱ケミカル株式会社 Particles, method for manufacturing particles, method for manufacturing negative electrode, and method for manufacturing secondary battery
CN115926629B (en) * 2022-12-30 2023-12-05 昂士特科技(深圳)有限公司 Chemical mechanical polishing composition with improved recycling properties

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170105556A (en) * 2015-01-12 2017-09-19 버슘머트리얼즈 유에스, 엘엘씨 Composite abrasive particles for chemical mechanical planarizing composition and method of using same
KR20170113456A (en) * 2016-03-31 2017-10-12 버슘머트리얼즈 유에스, 엘엘씨 Composite particles, method of refining and use thereof

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5616636A (en) 1979-07-19 1981-02-17 Sumitomo Chem Co Ltd Aluminous fiber-reinforced metal-base composite material having high formability
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US6602439B1 (en) * 1997-02-24 2003-08-05 Superior Micropowders, Llc Chemical-mechanical planarization slurries and powders and methods for using same
WO2001060940A1 (en) 2000-02-16 2001-08-23 Rodel Inc Biocides for polishing slurries
US6645265B1 (en) 2002-07-19 2003-11-11 Saint-Gobain Ceramics And Plastics, Inc. Polishing formulations for SiO2-based substrates
KR100574225B1 (en) 2003-10-10 2006-04-26 요업기술원 Silica/Ceria/Silica Composite Particles for CMP slurry and Process for its production
DE102005017372A1 (en) * 2005-04-14 2006-10-19 Degussa Ag Aqueous cerium oxide dispersion
KR101760529B1 (en) * 2009-06-05 2017-07-21 바스프 에스이 RASPBERRY-TYPE METAL OXIDE NANOSTRUCTURES COATED WITH CeO2 NANOPARTICLES FOR CHEMICAL MECHANICAL PLANARIZATION (CMP)
CN102802875A (en) * 2009-06-25 2012-11-28 赢创德固赛有限公司 Dispersion comprising cerium oxide and silicon dioxide
SG190334A1 (en) * 2010-12-10 2013-06-28 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
KR20140005963A (en) 2011-01-25 2014-01-15 히타치가세이가부시끼가이샤 Cmp polishing fluid, method for manufacturing same, method for manufacturing composite particle, and method for polishing base material
JP5881394B2 (en) * 2011-12-06 2016-03-09 日揮触媒化成株式会社 Silica-based composite particles and method for producing the same
WO2014208414A1 (en) * 2013-06-27 2014-12-31 コニカミノルタ株式会社 Cerium oxide abrasive, method for producing cerium oxide abrasive, and polishing method
JP6510812B2 (en) 2014-12-26 2019-05-08 花王株式会社 Polishing particles for polishing silicon oxide film
JP6603142B2 (en) 2015-01-20 2019-11-06 日揮触媒化成株式会社 Silica composite fine particle dispersion, method for producing the same, and polishing slurry containing silica composite fine particle dispersion
US9593261B2 (en) * 2015-02-04 2017-03-14 Asahi Glass Company, Limited Polishing agent, polishing method, and liquid additive for polishing
WO2016129476A1 (en) 2015-02-10 2016-08-18 堺化学工業株式会社 Composite particles for polishing, method for producing composite particles for polishing, and slurry for polishing
KR102090984B1 (en) * 2015-03-31 2020-03-19 니끼 쇼꾸바이 카세이 가부시키가이샤 Silica-based composite fine-particle dispersion, method for producing same, and polishing slurry including silica-based composite fine-particle dispersion
US10032644B2 (en) * 2015-06-05 2018-07-24 Versum Materials Us, Llc Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives
US20170083673A1 (en) 2015-09-17 2017-03-23 Thomas J. DAWSON, III Systems and methods for estimating lifecare service costs
JP6703437B2 (en) 2016-04-22 2020-06-03 日揮触媒化成株式会社 Silica-based composite fine particle dispersion, method for producing the same, and polishing slurry containing the silica-based composite fine particle dispersion
JP6829007B2 (en) 2016-05-18 2021-02-10 日揮触媒化成株式会社 A polishing slurry containing a silica-based composite fine particle dispersion, a method for producing the same, and a silica-based composite fine particle dispersion.
JP6710100B2 (en) 2016-05-18 2020-06-17 日揮触媒化成株式会社 Method for producing silica-based composite fine particle dispersion
US10920120B2 (en) 2016-11-14 2021-02-16 Jgc Catalysts And Chemicals Ltd. Ceria composite particle dispersion, method for producing same, and polishing abrasive grain dispersion comprising ceria composite particle dispersion
DE112017006834T5 (en) 2017-01-16 2019-09-26 Jgc Catalysts And Chemicals Ltd. polishing composition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170105556A (en) * 2015-01-12 2017-09-19 버슘머트리얼즈 유에스, 엘엘씨 Composite abrasive particles for chemical mechanical planarizing composition and method of using same
KR20170113456A (en) * 2016-03-31 2017-10-12 버슘머트리얼즈 유에스, 엘엘씨 Composite particles, method of refining and use thereof

Also Published As

Publication number Publication date
EP3476910B1 (en) 2021-12-15
JP6748172B2 (en) 2020-08-26
KR20210111742A (en) 2021-09-13
US20190127607A1 (en) 2019-05-02
JP2019106533A (en) 2019-06-27
KR102301462B1 (en) 2021-09-14
EP3476910A1 (en) 2019-05-01
KR102493753B1 (en) 2023-01-30
JP2020205425A (en) 2020-12-24
JP7071452B2 (en) 2022-05-19
IL262595B1 (en) 2023-05-01
IL262595B2 (en) 2023-09-01
CN109722172A (en) 2019-05-07
TW201922981A (en) 2019-06-16
IL262595A (en) 2019-03-31
TWI731273B (en) 2021-06-21
SG10201809463TA (en) 2019-05-30
EP3831901A1 (en) 2021-06-09
SG10202111998WA (en) 2021-12-30

Similar Documents

Publication Publication Date Title
KR102493753B1 (en) Composite particles, method of refining and use thereof
US10894906B2 (en) Composite particles, method of refining and use thereof
JP7130608B2 (en) Composite abrasive particles for chemical-mechanical planarizing compositions and methods of use thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant