KR20180132947A - Measurement of semiconductor structure using capillary condensation - Google Patents

Measurement of semiconductor structure using capillary condensation Download PDF

Info

Publication number
KR20180132947A
KR20180132947A KR1020187034562A KR20187034562A KR20180132947A KR 20180132947 A KR20180132947 A KR 20180132947A KR 1020187034562 A KR1020187034562 A KR 1020187034562A KR 20187034562 A KR20187034562 A KR 20187034562A KR 20180132947 A KR20180132947 A KR 20180132947A
Authority
KR
South Korea
Prior art keywords
filler
flow
measurement
purge gas
amount
Prior art date
Application number
KR1020187034562A
Other languages
Korean (ko)
Other versions
KR102219787B1 (en
Inventor
샨카 크리쉬난
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/204,938 external-priority patent/US10281263B2/en
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20180132947A publication Critical patent/KR20180132947A/en
Application granted granted Critical
Publication of KR102219787B1 publication Critical patent/KR102219787B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Abstract

모세관 응축 프로세스에 의해 충전되는 기하학적 구조들의 광학 측정을 수행하기 위한 방법 및 시스템들이 개시된다. 제어된 양의 충전재를 포함하는 퍼지 가스의 흐름을 이용하여 측정 중인 구조들이 처리되는 동안에 측정이 수행된다. 충전재의 일부분이 측정 중인 구조들 상에 응축되고 구조적 피처들의 오프닝들, 구조적 피처들 사이의 공간들, 및 노치, 트렌치, 슬릿, 컨택 홀 등과 같은 작은 볼륨들을 충전한다. 가스 흐름의 기화된 재료의 포화도는 충전될 최대 피처 크기에 기초하여 조절된다. 몇몇 예시들에서, 구조가 충전되지 않았을 때와 구조가 모세관 응축에 의해 충전되었을 때 분광 데이터 또는 이미지 데이터와 같은 측정 데이터가 수집된다. 수집된 데이터는 결합되어 측정 성능을 향상시킨다.Methods and systems for performing optical measurements of geometric structures filled by a capillary condensation process are disclosed. A measurement is performed while the structures under measurement are being processed using a flow of purge gas comprising a controlled amount of filler. A portion of the filler is condensed on the structures under measurement and charges openings of structural features, spaces between structural features, and small volumes such as notches, trenches, slits, contact holes, and the like. The degree of saturation of the vaporized material of the gas stream is adjusted based on the maximum feature size to be filled. In some examples, measurement data such as spectroscopic data or image data is collected when the structure is not filled and when the structure is filled by capillary condensation. The collected data is combined to improve measurement performance.

Description

모세관 응축을 이용한 반도체 구조의 측정Measurement of semiconductor structure using capillary condensation

관련 출원의 교차 참조Cross reference of related application

본 특허출원은, 2016년 5월 2일에 출원된 발명의 명칭이 "모세관 응축을 이용한 다공성 및 임계 치수 측정"인 미국 가특허출원 제62/330,751호, 2017년 1월 3일에 출원된 발명의 명칭이 "유체 충전을 이용한 임계 치수 측정"인 미국 가특허출원 제62/441,887호, 및 2016년 7월 7일에 출원된 발명의 명칭이 "모세관 응축을 이용한 임계 치수 측정"인 미국 특허출원 제15,204,938호에 대하여 35 U.S.C.§119 하의 우선권을 주장하며, 이들 각 출원의 대상은 그 전부가 참조에 의해 이 문서에 병합된다.This patent application is a continuation-in-part of US Provisional Patent Application No. 62 / 330,751 entitled " Porosity and Critical Dimension Measurement Using Capillary Condensation ", filed on May 2, 2016, U.S. Provisional Patent Application No. 62 / 441,887 entitled " Measurement of Critical Dimensions Using Fluid Filling ", and U.S. Patent Application entitled " Measurement of Critical Dimensions Using Capillary Condensation " filed July 7, No. 15,204,938, each of which is incorporated herein by reference in its entirety.

기술 분야Technical field

서술되는 실시예들은 계측 시스템 및 방법에 관한 것이며, 보다 상세하게는 반도체 산업에서 제조되는 구조들의 개선된 측정을 위한 방법 및 장치에 관한 것이다.The described embodiments relate to metrology systems and methods, and more particularly to methods and apparatus for improved measurement of structures fabricated in the semiconductor industry.

논리 및 메모리 소자들과 같은 반도체 소자들은 시료(specimen)에 적용되는 프로세싱 단계들의 순서에 의해 일반적으로 제조된다. 반도체 소자들의 여러 피처들 및 다수의 구조 레벨들은 이러한 프로세싱 단계들에 의해 형성된다. 예컨대, 특히 리소그래피가 반도체 웨이퍼 상에 패턴을 생성하는 것을 포함하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스들에 관한 추가적인 예시들은, 그에 제한되지는 않으나, 화학적 기계적 연마, 식각, 증착, 및 이온 주입을 포함한다. 다수의 반도체 소자들이 단일 반도체 웨이퍼 상에서 제조되어 개별 반도체 소자들로 분리될 수 있다.Semiconductor devices such as logic and memory devices are typically fabricated in the order of the processing steps applied to the specimen. Several features of semiconductor devices and multiple structure levels are formed by these processing steps. For example, in particular, lithography is one semiconductor fabrication process that involves producing a pattern on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. A plurality of semiconductor elements can be fabricated on a single semiconductor wafer and separated into discrete semiconductor elements.

계측 프로세스들은 더 높은 수율을 조성하도록 웨이퍼들 상의 결함들을 검출하기 위해 반도체 제조 프로세스 동안에 여러 단계들에서 이용된다. 모델 기반 계측 기술들은 샘플 파손의 위험이 없는 높은 처리량에 대한 가능성을 제공한다. 산란 측정법(scatterometry), 편광 해석법(ellipsometry), 및 반사 측정법(reflectometry) 구현예들과 관련된 분석 알고리즘들을 포함하는 다수의 모델 기반의 계측 기반 기술들은, 나노 구조들의 임계 치수, 막 두께, 조성, 오버레이(overlay) 및 다른 파라미터들의 특성을 나타내는 데에 보통 이용된다.The metrology processes are used in several steps during the semiconductor fabrication process to detect defects on the wafers to create higher yields. Model-based metrology techniques offer the potential for high throughput without the risk of sample breakage. Many model-based metrology-based techniques, including analytical algorithms related to scatterometry, ellipsometry, and reflectometry implementations, have been used to measure the critical dimensions, film thickness, composition, and is used to characterize overlay and other parameters.

최신 반도체 프로세스들이 복잡한 구조들을 생성하기 위해 채택된다. 이러한 구조들을 나타내고 프로세스 및 치수 변화들을 설명하기 위해 다수의 파라미터들을 이용한 복잡한 측정 모델이 필요하다. 복잡한 다수의 파라미터 모델들은 파라미터 상관 관계들 및 일부 파라미터들에 대한 낮은 측정 감도에 의해 유도되는 모델링 오류들을 포함한다. 또한, 상대적으로 많은 플로팅 파라미터 값들을 가진 복잡한 다수의 파라미터 모델들의 회귀(regression)는 계산적으로(computationally) 다루기 쉽지 않을 수 있다.Modern semiconductor processes are employed to create complex structures. A complex measurement model using multiple parameters is needed to represent these structures and to account for process and dimensional changes. A number of complicated parameter models include modeling errors induced by parameter correlation and low measurement sensitivity to some parameters. Also, the regression of a large number of complex parameter models with relatively large floating parameter values may not be computationally manageable.

이러한 오류 원인들의 영향을 감소시키고 계산적 노력을 감소시키기 위해, 다수의 파라미터들은 일반적으로 모델 기반 측정에서 고정된다. 다수의 파라미터들의 값들을 고정시키는 것이 계산 속도를 향상시키고 파라미터 상관 관계들의 영향을 감소시킬 수 있긴 하지만, 파라미터 값들의 추정치들에서의 오류들을 또한 야기한다.In order to reduce the effects of these error sources and reduce computational effort, a number of parameters are typically fixed in model-based measurements. Fixing the values of a plurality of parameters may also improve errors in the estimates of the parameter values, while improving the computation speed and reducing the influence of the parameter correlations.

현재, 복잡한 다수의 파라미터 측정 모델들에 관한 솔루션은 종종 불만족스러운 타협을 필요로 한다. 현재의 모델 축소 기법들로는 때때로 계산적으로 다루기 쉬우면서도 충분히 정확한 측정 모델에 도달할 수 없다. 또한, 복잡한 다수의 파라미터 모델들은 관심 대상 파라미터 각각에 대한 시스템 파라미터 선정(예컨대, 파장, 입사각 등)을 최적화하는 것을 어렵거나 불가능하게 만든다.At present, a solution to complex multiple parameter measurement models often requires unsatisfactory compromise. Current model shrinking techniques are sometimes computationally manageable and can not reach sufficiently accurate measurement models. In addition, complex multiple parameter models make it difficult or impossible to optimize system parameter selection (e.g., wavelength, angle of incidence, etc.) for each of the parameters of interest.

미래의 계측 애플리케이션들은 점점 더 작아지는 레졸루션(resolution) 요건들, 멀티파라미터 상관 관계, 점점 더 복잡해지는 기하학적 구조들, 및 불투명 재료들의 사용 증가로 인한 과제들을 제시한다. 따라서, 개선된 측정을 위한 방법 및 시스템이 필요하다.Future metrology applications address the challenges of increasingly smaller resolution requirements, multi-parameter correlation, increasingly complex geometric structures, and increased use of opaque materials. Thus, there is a need for a method and system for improved measurement.

모세관 응축 프로세스로 충전된 기하학적 구조들의 광학적 측정을 수행하는 방법 및 시스템이 이 문서에 제시된다. 측정은 측정 중인 구조들 주변의 국부적 환경이 제어된 양의 충전재를 포함하는 퍼지(purge) 가스의 흐름을 이용하여 처리되는 동안에 수행된다. 충전재(즉, 응축액)의 일부분은 측정 중인 구조들 상으로 응축되고, 구조 피처들의 오프닝, 구조 피처들 사이의 스페이싱, 노치, 트렌치, 슬릿, 컨택 홀 등과 같은 작은 볼륨들을 충전한다.Methods and systems for performing optical measurements of filled geometries with a capillary condensation process are presented in this document. The measurement is performed while the local environment around the structures under measurement is being processed using a flow of purge gas including a controlled amount of filler. A portion of the filler (i.e., condensate) condenses on the structures under measurement and fills small volumes such as opening of structural features, spacing between structural features, notches, trenches, slits, contact holes, and the like.

일 양상에서, 측정 중인 구조들로 공급되는 기체 흐름에서 기화된 물질의 포화도는 모세관 응축에 의해 충전될 최대 피처 크기에 기초하여 조절된다.In one aspect, the degree of saturation of the vaporized material in the gas stream fed to the structures under measurement is adjusted based on the maximum feature size to be filled by capillary condensation.

또 다른 양상에서, 응축액으로 충전된 기하학적 피처들을 가진 구조들로부터 수집된 측정 신호를 포함하는 데이터 세트를 이용하여 측정이 수행된다. 응축액의 존재는 퍼지 가스에 어떠한 충전재도 없는 경우의 측정 시나리오와 비교하여 측정 중인 구조의 광학 특성들을 변화시킨다.In yet another aspect, measurements are performed using a data set comprising measurement signals collected from structures having geometric features filled with condensate. The presence of the condensate changes the optical properties of the structure under measurement compared to the measurement scenario where there is no filler in the purge gas.

몇몇 예시들에서, 구조에 관한 다수의 측정들이 각각 다른 응축 상태들에 대하여 수행된다. 각각의 측정은 측정 중인 구조들 상으로 응축된 각각 다른 양의 응축액에 대응한다. 각각 다른 양의 응축액으로 충전된 기하학적 피처들을 가진 구조들과 관련된 측정 신호 정보를 수집함으로써, 플로팅 측정 파라미터들 사이의 파라미터 상관 관계가 축소되고 측정 정확도가 향상된다.In some instances, multiple measurements of the structure are performed for different condensation states, respectively. Each measurement corresponds to a different amount of condensate that is condensed onto the structures under measurement. By collecting measurement signal information associated with structures having geometric features each filled with a different amount of condensate, the parameter correlation between the floating measurement parameters is reduced and the measurement accuracy is improved.

몇몇 예시들에서, 구조가 모세관 응축으로 충전되었을 때 측정 데이터가 수집되고, 구조가 충전되지 않았을 때 동일한 구조로부터 측정 데이터가 수집된다(즉, 모세관 응축의 영향을 받지 않는다).In some instances, measurement data is collected when the structure is filled with capillary condensation, and measurement data is collected from the same structure when the structure is not charged (i. E., Is not affected by capillary condensation).

몇몇 실시예들에서, 측정 중인 구조들에 공급되는 기체 흐름에서 기화된 충전재의 양은 기체 흐름에서 충전재의 부분 압력을 제어함으로써 조절된다. 몇몇 실시예들에서, 불포화 퍼지 가스의 흐름은 포화 퍼지 가스의 흐름과 혼합된다. 이들 흐름들의 비율은 혼합된 흐름의 충전재의 부분 압력을 조절하도록 조정된다.In some embodiments, the amount of vaporized filler in the gas flow fed to the structures under measurement is controlled by controlling the partial pressure of the filler in the gas flow. In some embodiments, the flow of unsaturated purge gas is mixed with the flow of saturated purge gas. The proportion of these flows is adjusted to regulate the partial pressure of the fill of the mixed flow.

몇몇 실시예들에서, 퍼지 가스는 충전재의 액체 수조를 통과하여 버블(bubble)되어 충전재로 완전히 포화된 퍼지 가스의 흐름을 생성한다. 퍼지 가스 흐름에서 기화된 충전재의 부분 압력은 충전재의 액체 수조에 대한 충전재의 평형 압력과 동일하다.In some embodiments, the purge gas is bubbled through the liquid water bath of the filler to create a flow of purge gas that is completely saturated with the filler. The partial pressure of the vaporized filler in the purge gas stream is equal to the equilibrium pressure of the filler for the liquid bath of the filler.

몇몇 실시예들에서, 충전재의 액체 수조는 측정 중인 시료와 동일한 온도로 유지된다. 몇몇 다른 실시예들에서, 충전재의 액체 수조는 측정 중인 표본보다 더 낮은 온도로 유지된다.In some embodiments, the liquid bath of the filler is maintained at the same temperature as the sample being measured. In some other embodiments, the liquid bath of the filler is maintained at a lower temperature than the sample under measurement.

몇몇 실시예들에서, 웨이퍼에서 기화된 충전재의 포화도는 충전재의 평형 증기 압력을 억제하는 충전재의 액체 수조에 비휘발성 용질을 추가함으로써 제어된다. 이들 실시예들에서, 기화된 충전재의 포화도는 용액의 용질의 농도를 제어함으로써 조절된다.In some embodiments, the degree of saturation of the vaporized filler in the wafer is controlled by adding a nonvolatile solute to the liquid bath of the filler that suppresses the equilibrium vapor pressure of the filler. In these embodiments, the degree of saturation of the vaporized filler is controlled by controlling the concentration of the solute in the solution.

몇몇 실시예들에서, 충전재는, 특히 이미지 기반의 측정 애플리케이션들에서, 측정 콘트라스트(contrast)를 강화시키기 위해 측정 중인 구조들에 공급되는 조명 광에 응답하여 형광 발광을 나타낸다.In some embodiments, the filler exhibits fluorescence emission in response to illumination light being supplied to structures under measurement to enhance measurement contrast, particularly in image-based measurement applications.

상술한 내용은 요약이며, 그에 따라, 필요에 의해, 세부 사항들을 단순화하고, 일반화하며, 생략하고; 결과적으로, 당해 기술 분야에서 통상의 지식을 가진 자는 요약이 단지 예시적일 뿐이라는 점과 어떠한 방식으로도 제한하는 것이 아니라는 점을 이해할 것이다. 이 문서에 서술된 소자 및/또는 프로세스들의 다른 양상들, 발명적 특징들, 및 이점들은 이 문서에 제시된 비제한적인(non-limiting) 상세한 설명에서 명확해질 것이다.The foregoing is a summary, and as such, simplifies, generalizes, and omits details as needed; As a result, one of ordinary skill in the art will appreciate that the summary is by way of example only and is not limiting in any way. Other aspects, inventive features, and advantages of the devices and / or processes described in this document will become apparent in the non-limiting detailed description set forth herein.

도 1은 모세관 응축의 영향을 받는 반도체 웨이퍼의 구조들을 측정하는 시스템(100)을 나타내는 도이다.
도 2는 일 실시예에서 시스템(100)의 증기 주입 시스템(120)을 나타내는 도이다.
도 3은 또 다른 실시예에서 시스템(100)의 증기 주입 시스템(120)을 나타내는 도이다.
도 4는 물, 톨루엔 및 에탄올의 증발 엔탈피, ΔH를 포함하는 표(127)를 도시한다. 또한, 표(127)는 웨이퍼에서 0.9의 충전재의 상대적 포화를 달성하기 위한 웨이퍼의 온도와 액체 충전재 수조의 온도 간의 차이를 나타낸다.
도 5는 수조의 염산 농도에 관한 함수로서의 물의 부분 압력에 관한 플롯(128)을 도시한다.
도 6은 파장에 관한 함수로서의 탈염수의 분산 특성에 관한 플롯(135)을 도시한다.
도 7은 물, 톨루엔, 및 에탄올과 관련된 몰 부피 및 표면 장력을 나타내는 표(129)를 도시한다.
도 8은 충전재들로서의 물, 에탄올, 및 톨루엔에 대한 켈빈 방정식에 따라 각각 다른 부분 압력으로 모세관 응축에 의해 충전될 수 있는 원통형 구멍의 최대 직경을 나타내는 플롯(172)을 도시한다.
도 9는 충전재들로서의 물, 에탄올, 및 톨루엔에 대한 켈빈 방정식에 따라 각각 다른 부분 압력으로 모세관 응축에 의해 충전될 수 있는 길며 트렌치와 유사한 피처의 최대 직경을 나타내는 플롯(160)을 도시한다.
도 10은 기판 상에 주기적이고 2차원적인 레지스트(resist) 격자 구조가 제조되는 비충전 라인 스페이스(line-space) 계측 타겟을 나타낸다.
도 11은 충전재로 충전된 도 10에 도시된 라인 스페이스 계측 타겟을 도시한다.
도 12a는 원통형 컨택 홀을 가진 상단 계층을 포함하여 다수의 계층들을 가진 비충전 계측 타겟을 도시한다.
도 12b는 충전재로 충전된 원통형 컨택 홀을 포함한 도 12a에 도시된 계측 타겟을 도시한다.
도 13은 도 12a에 도시된 계측 타겟에 관한 다수의 파라미터들에 대하여, 형태 충전과 함께 그리고 형태 충전 없이 수집된 데이터를 이용하여 멀티 타겟 모델로 획득된 측정 결과들과, 형태 충전 없이 획득된 측정 결과들 간의 비교를 도시한다.
도 14는 일 예시에서 모세관 응축의 영향을 받는 구조들의 측정을 수행하는 방법(200)을 나타낸다.
도 15는 식 (1)에 관하여 정의되는 흐름들 F1 및 F2의 각각 다른 조합들에 대한 상대 습도 RH에 관한 차트(210)를 도시한다.
도 16은 비충전 상태 및 충전 상태 양자 모두에서 동일한 구조의 측정치에 대한 분광 편광 해석 파라미터 α에 관한 플롯(220)을 도시한다.
도 17은 도 16에 도시된 분광 편광 해석 측정치들 사이의 스펙트럼 차이에 관한 플롯(230)을 도시한다.
도 18은 비충전 상태 및 충전 상태 양자 모두에서 동일한 구조의 측정치들에 대한 분광 편광 해석 파라미터 β에 관한 플롯(240)을 도시한다.
도 19는 도 18에 도시된 분광 편광 해석 측정치들 사이의 스펙트럼 차이에 관한 플롯(250)을 도시한다.
1 is a diagram illustrating a system 100 for measuring structures of a semiconductor wafer subject to capillary condensation.
FIG. 2 is a diagram illustrating a vapor injection system 120 of system 100 in one embodiment.
FIG. 3 is a diagram illustrating a vapor injection system 120 of system 100 in yet another embodiment.
Figure 4 shows a table (127) containing the enthalpy of evaporation of water, toluene and ethanol, [Delta] H. Table 127 also shows the difference between the temperature of the wafer and the temperature of the liquid filler bath to achieve a relative saturation of 0.9 filler in the wafer.
Figure 5 shows a plot 128 of partial pressure of water as a function of the concentration of hydrochloric acid in the bath.
Figure 6 shows a plot 135 of the dispersion characteristics of the demineralized water as a function of wavelength.
Figure 7 shows a table 129 depicting the molar volume and surface tension associated with water, toluene, and ethanol.
Figure 8 shows a plot 172 showing the maximum diameter of a cylindrical hole that can be filled by capillary condensation at different partial pressures according to the Kelvin equation for water, ethanol, and toluene as fillers.
Figure 9 shows a plot 160 showing the maximum diameter of a trench-like feature that can be filled by capillary condensation at different partial pressures, respectively, according to the Kelvin equation for water, ethanol, and toluene as fillers.
Figure 10 shows a non-filled line-space metrology target on which a periodic, two-dimensional resist lattice structure is fabricated on a substrate.
Figure 11 shows the line space measurement target shown in Figure 10 filled with filler.
12A shows a non-filled metrology target having a plurality of layers including a top layer with a cylindrical contact hole.
12B shows the measurement target shown in Fig. 12A including a cylindrical contact hole filled with filler.
FIG. 13 is a graph showing the relationship between the measurement results obtained with the multi-target model using the data collected with and without the shape filling, and the measurements obtained without the shape filling, with respect to the plurality of parameters relating to the measurement target shown in FIG. And the results are compared.
Figure 14 illustrates a method 200 for performing measurements of structures subject to capillary condensation in one example.
FIG. 15 shows a chart 210 for relative humidity RH for each different combination of flows F 1 and F 2 defined with respect to equation (1).
FIG. 16 shows a plot 220 for the spectroscopic polarization analysis parameter a for measurements of the same structure in both unfilled and filled states.
FIG. 17 shows a plot 230 regarding the spectral difference between the spectroscopic polarization analysis measurements shown in FIG.
FIG. 18 shows a plot 240 for the spectroscopic polarization analysis parameter? For measurements of the same structure in both unfilled and filled states.
FIG. 19 shows a plot 250 for the spectral difference between the spectroscopic polarization analysis measurements shown in FIG.

배경 기술 예시들 및 본 발명의 예시들에 대한 참조가 이하에서 상세하게 이루어질 것이며, 본 발명에 관한 예시들은 첨부 도면들에 도시된다.Reference to background art examples and examples of the present invention will be described in detail below, and examples of the present invention are shown in the accompanying drawings.

모세관 응축 프로세스에 의해 응축액으로 충전된 기하학적 구조들의 광학적 측정을 수행하는 방법 및 시스템이 이 문서에 제시된다. 응축액으로 충전된 기하학적 피처들을 가진 계측 타겟으로부터 수집된 측정 신호를 포함하는 풍부한 데이터 세트를 이용하여 모델 기반의 측정들이 수행된다. 이는 플로팅 측정 파라미터들 사이의 파라미터 상관 관계를 축소시키고 측정 정확도를 향상시킨다. 따라서, 충분히 정확한 모델 기반의 측정 결과들이 획득될 수 있으며, 종종 감소된 계산적 노력을 포함한다.A method and system for performing optical measurements of a geometry filled with a condensate by a capillary condensation process is presented in this document. Model-based measurements are performed using a rich set of data including measurement signals collected from metrology targets having geometric features filled with condensate. This reduces the parameter correlation between the floating measurement parameters and improves the measurement accuracy. Thus, sufficiently accurate model-based measurement results can be obtained and often include reduced computational effort.

측정 중인 계측 타겟 주변의 국부적 환경이 제어된 양의 충전재를 포함하는 퍼지 가스의 흐름을 이용하여 처리되는 동안에 측정들이 수행된다. 충전재(즉, 응축액)의 일부분은 측정 중인 구조들 상으로 응축되고 구조 피처들의 오프닝, 구조 피처들 사이의 오프닝 등을 충전한다. 응축액의 존재는 퍼지 가스에 어떠한 충전재도 없는 경우의 측정 시나리오와 비교하여 측정 중인 구조의 광학 특성들을 변화시킨다.Measurements are performed while the local environment around the measurement target being measured is being processed using a flow of purge gas including a controlled amount of filler. A portion of the filler (i.e., condensate) condenses on the structures under measurement and fills openings of structural features, openings between structural features, and the like. The presence of the condensate changes the optical properties of the structure under measurement compared to the measurement scenario where there is no filler in the purge gas.

몇몇 예시들에서, 계측 타겟에 관한 다수의 측정들이 각각 다른 응축 상태들에 대하여 수행된다. 즉, 각각의 측정은 측정 중인 구조들 상으로 응축된 각각 다른 양의 응축액에 대응한다. 각각 다른 양의 응축액으로 충전된 기하학적 피처들을 가진 계측 타겟과 관련된 측정 신호 정보를 수집함으로써, 풍부한 측정 데이터 세트를 이용하여 모델 기반의 측정들이 수행된다.In some instances, multiple measurements on the metrology target are performed for different condensation states, respectively. That is, each measurement corresponds to a different amount of condensate that is condensed onto the structures under measurement. Model-based measurements are performed using a rich set of measurement data by collecting measurement signal information associated with metrology targets having geometric features each filled with a different amount of condensate.

일 예시에서, 구조가 충전되지 않았을 때 측정 데이터가 수집되고, 동일한 구조가 모세관 응축에 의해 충전되었을 때 추가적인 측정 데이터가 수집된다. 수집된 데이터는 멀티 타겟 모델 기반의 측정에서 결합되어, 파라미터 상관 관계가 축소되며 측정 성능이 향상된 하나 이상의 관심 대상 파라미터들의 값을 추정한다.In one example, measurement data is collected when the structure is not filled, and additional measurement data is collected when the same structure is filled by capillary condensation. The collected data is combined in a multi-target model based measurement to estimate the value of one or more parameters of interest with reduced parameter correlation and improved measurement performance.

도 1은 반도체 웨이퍼의 특성들을 측정하기 위한 시스템(100)을 나타낸다. 도 1에 도시된 바와 같이, 웨이퍼 위치 확인 시스템(110) 상에 배치된 반도체 웨이퍼(112)의 하나 이상의 구조들(114)의 분광 편광 해석 측정들을 수행하는 데에 시스템(100)이 이용될 수 있다. 이러한 측면에서, 시스템(100)은 조명기(102) 및 분광계(104)를 구비한 분광 편광 해석기(101)를 포함할 수 있다. 시스템(100)의 조명기(102)는 선택된 파장 범위(예컨대, 100-2500 nm)의 조명을 생성하여 반도체 웨이퍼(112)의 표면 상에 배치된 구조(114)를 향하게 하도록 구성된다. 결국, 분광계(104)는 반도체 웨이퍼(112)의 표면으로부터 광을 수신하도록 구성된다. 또한, 조명기(102)로부터 나오는 광은 편광 상태 생성기(107)를 이용하여 편광되어 편광된 조명 빔(106)을 생성한다. 웨이퍼(112) 상에 배치된 구조(114)에 의해 반사되는 방사는 편광 상태 분석기(109)를 통과하여 분광계(104)로 전달된다. 수집 빔(108)에서 분광계(104)에 의해 수신된 방사는, 분석기에 의해 통과되는 방사의 스펙트럼 분석을 고려하여, 편광 상태에 관하여 분석된다. 검출되는 스펙트럼들(111)은 구조(114)의 분석을 위해 컴퓨팅 시스템(130)에 전달된다.Figure 1 shows a system 100 for measuring characteristics of a semiconductor wafer. The system 100 can be used to perform spectroscopic polarization analysis measurements of one or more structures 114 of a semiconductor wafer 112 disposed on a wafer positioning system 110, have. In this regard, the system 100 may include a spectroscopic polarimetry analyzer 101 having an illuminator 102 and a spectrometer 104. The illuminator 102 of the system 100 is configured to direct illumination of the structure 114 disposed on the surface of the semiconductor wafer 112 to produce illumination of a selected wavelength range (e.g., 100-2500 nm). Ultimately, the spectrometer 104 is configured to receive light from the surface of the semiconductor wafer 112. In addition, light from the illuminator 102 uses the polarization state generator 107 to produce a polarized and polarized illumination beam 106. The radiation reflected by the structure 114 disposed on the wafer 112 passes through the polarization state analyzer 109 and is transmitted to the spectrometer 104. The radiation received by the spectrometer 104 at the collection beam 108 is analyzed with respect to the polarization state, taking into account the spectral analysis of the radiation passed by the analyzer. The detected spectra 111 are transmitted to the computing system 130 for analysis of the structure 114.

컴퓨팅 시스템(130)은 모세관 응축으로 인해 충전된 시료(112)의 구조(114)의 측정(예컨대, 임계 치수, 막 두께, 조성, 프로세스 등)과 관련된 측정 데이터(111)를 수신하도록 구성된다. 일 예시에서, 측정 데이터(111)는 분광계(104)로부터의 하나 이상의 샘플링 프로세스들에 기초한 측정 시스템(100)에 의한 시료의 측정된 스펙트럼 응답의 표시를 포함한다. 몇몇 실시예들에서, 컴퓨팅 시스템(130)은 측정 데이터(111)로부터 구조(114)의 시료 파라미터 값들을 결정하도록 또한 구성된다. 일 예시에서, 컴퓨팅 시스템(130)은 실시간 임계 치수화(RTCD; Real Time Critical Dimensioning)를 채택하여 실시간으로 모델 파라미터들에 액세스하도록 구성되거나, 타겟 구조(114)과 관련된 적어도 하나의 관심 대상 파라미터의 값을 결정하기 위해 사전 산출된 모델들의 라이브러리에 액세스할 수 있다. 몇몇 실시예들에서, 하나 이상의 관심 대상 파라미터들의 추정된 값들은 메모리(예컨대, 메모리(132))에 저장된다. 도 1에 도시된 실시예에서, 하나 이상의 관심 대상 파라미터들의 추정된 값들(115)은 외부 시스템(미도시)으로 전달된다.The computing system 130 is configured to receive measurement data 111 associated with measurements (e.g., critical dimensions, film thickness, composition, process, etc.) of the structure 114 of the filled sample 112 due to capillary condensation. In one example, the measurement data 111 includes an indication of the measured spectral response of the sample by the measurement system 100 based on one or more sampling processes from the spectrometer 104. In some embodiments, the computing system 130 is also configured to determine sample parameter values of the structure 114 from the measurement data 111. In one example, the computing system 130 may be configured to access real-time model parameters by employing Real Time Critical Dimensioning (RTCD), or may be configured to access at least one target parameter associated with the target structure 114 The library of pre-computed models can be accessed to determine the value. In some embodiments, the estimated values of one or more parameters of interest are stored in a memory (e.g., memory 132). In the embodiment shown in Figure 1, the estimated values 115 of one or more parameters of interest are passed to an external system (not shown).

일반적으로, 편광 해석법은 검사 중인 시료의 물리적 특성들을 측정하는 간접적인 방법이다. 대부분의 경우에, 원시(raw) 측정 신호들(예컨대, αmeas 및 βmeas)은 시료의 물리적 특성들을 직접적으로 결정하는 데에 이용될 수 없다. 명칭상의 측정 프로세스는 구조의 파라미터화(예컨대, 막 두께, 임계 치수, 재료 특성 등) 및 머신의 파라미터화(예컨대, 파장, 입사각, 편광각 등)로 구성된다. 측정된 값들(예컨대, αmeas 및 βmeas)을 예측하려고 시도하는 측정 모델이 생성된다. 수학식 1 및 수학식 2에 나타난 바와 같이, 모델은 기계와 관련된 파라미터들(Pmachine) 및 시료와 관련된 파라미터들(Pspecimen)을 포함한다.In general, polarization analysis is an indirect method of measuring the physical properties of a sample being examined. In most cases, the raw measurement signals (e.g., alpha meas and beta meas ) can not be used to directly determine the physical properties of the sample. The nominal measurement process consists of parameterization of the structure (e.g., film thickness, critical dimensions, material properties, etc.) and parameterization of the machine (e.g., wavelength, angle of incidence, polarization angle, etc.). A measurement model is created that attempts to predict the measured values (e.g., alpha meas and beta meas ). As shown in equations (1) and (2), the model includes machine related parameters (P machine ) and sample related parameters (P specimen ).

Figure pct00001
Figure pct00001

기계 파라미터들은 계측 툴(예컨대, 편광 해석기(101))을 특성화하는 데에 이용되는 파라미터들이다. 예시적인 기계 파라미터들은 입사각(AOI), 분석기 각도(A0), 편광기 각도(P0), 조명 파장, 개구수(NA), 보상기 또는 파장판(있는 경우) 등을 포함한다. 시료 파라미터들은 시료(예컨대, 구조(114)를 포함하는 시료(112))을 특성화하는 데에 이용되는 파라미터들이다. 박막 시료에 대하여, 예시적인 시료 파라미터들은 굴절률, 유전 함수 텐서(tensor), 모든 계층들의 공칭(nominal) 계층 두께, 계층 시퀀스 등을 포함한다. CD 시료에 대하여, 예시적인 시료 파라미터들은 각각 다른 계층들과 연관된 기하학적 파라미터 값들, 각각 다른 계층들과 연관된 굴절률 등을 포함한다. 측정 목적을 위해, 기계 파라미터들은 알려진 고정 파라미터들로 취급되고 시료 파라미터들 중 하나 이상은 알려지지 않은 플로팅 파라미터들로 취급된다.The machine parameters are the parameters used to characterize the metrology tool (e.g., the polarization analyzer 101). Exemplary machine parameters include an incident angle (AOI), an analyzer angle (A 0 ), a polariser angle (P 0 ), an illumination wavelength, a numerical aperture (NA), a compensator or a wavelength plate (if present) The sample parameters are parameters used to characterize the sample (e.g., sample 112 including structure 114). For a thin film sample, exemplary sample parameters include refractive index, dielectric tensor, nominal layer thickness of all layers, layer sequence, and the like. For a CD sample, exemplary sample parameters include geometric parameter values associated with different layers, refractive indices associated with different layers, and the like. For measurement purposes, the machine parameters are treated as known fixed parameters and one or more of the sample parameters are treated as unknown floating parameters.

몇몇 예시들에서, 플로팅 파라미터들은 이론적 예측과 실험적 데이터 사이의 최량 적합(best fit)을 생성하는 반복적 프로세스(예컨대, 회귀)에 의해 해결된다. 알려지지 않은 시료 파라미터들 Pspecimen은 다양하며, 모델 출력 값들(예컨대, αmodel 및 βmodel)과 실험적으로 측정된 값들(예컨대, αmeas 및 βmeas) 사이의 근접 매칭(close match)을 야기하는 한 세트의 시료 파라미터 값들이 결정될 때까지 모델 출력 값들이 계산된다. CD 시료에 대한 분광 편광 해석법과 같은 모델 기반의 측정 애플리케이션에서, 고정된 세트의 기계 파라미터 값들에 대하여 모델 출력 값들과 실험적으로 측정된 값들 사이의 차이를 최소화하는 시료 파라미터 값들을 식별하기 위해 회귀 프로세스(예컨대, 범용 최소 제곱 회귀법)가 채택된다.In some instances, the floating parameters are solved by an iterative process (e.g., regression) that produces a best fit between the theoretical prediction and the experimental data. Unknown sample parameters P specimens may vary and may vary as long as they cause a close match between model output values (e.g., alpha model and beta model ) and empirically measured values (e.g., alpha meas and beta meas ) The model output values are calculated until the sample parameter values of the set are determined. In a model-based measurement application, such as a spectroscopic polarimetry for a CD sample, a regression process (e. G., ≪ / RTI > to identify sample parameter values that minimizes the difference between the model output values and the experimentally measured values for a fixed set of machine parameter values For example, a general least squares regression method) is adopted.

몇몇 예시들에서, 플로팅 파라미터들은 가장 근접한 매칭을 찾기 위한 사전 산출된 솔루션들의 라이브러리를 통한 검색에 의해 해결된다. CD 시료에 대한 분광 편광 해석법과 같은 모델 기반의 측정 애플리케이션에서, 고정된 세트의 기계 파라미터 값들에 대하여 사전 산출된 출력 값들과 실험적으로 측정된 값들 사이의 차이를 최소화하는 시료 파라미터 값들을 식별하기 위해 라이브러리 검색 프로세스가 채택된다.In some instances, floating parameters are resolved by searching through a library of pre-computed solutions to find the closest match. In a model-based measurement application, such as a spectroscopic polarimetry for a CD sample, a library is used to identify sample parameter values that minimize the difference between pre-computed output values and experimentally measured values for a fixed set of machine parameter values A search process is adopted.

몇몇 다른 예시들에서, 관심 대상 파라미터들의 값들을 추정하기 위해 모델 기반의 라이브러리 회귀 또는 신호 응답 계측 모델이 채택된다.In some other examples, a model-based library regression or signal response metric model is employed to estimate the values of the parameters of interest.

모델 기반의 측정 애플리케이션에서, 가정을 단순화하는 것은 종종 충분한 처리량을 유지하는 것을 필요로 한다. 몇몇 예시들에서, 철저히 연결된 파장 분석(RCWA; Rigorous Coupled Wave Analysis)의 절단 순서는 연산 시간을 최소화하도록 축소되어야 한다. 또 다른 예시에서, 라이브러리 기능들의 수 또는 복잡성은 검색 시간을 최소화하도록 감소된다. 또 다른 예시에서, 플로팅 파라미터들의 수는 일정한 파라미터 값들을 고정함으로써 감소된다. 몇몇 예시들에서, 이들 가정들을 단순화하는 것은 하나 이상의 관심 대상 파라미터들(예컨대, 임계 치수 파라미터들, 오버레이 파라미터들 등)의 값들의 추정에서의 용납할 수 없는 오류들을 야기한다. 이 문서에 서술된 바와 같이 모세관 응축의 영향을 받는 구조들의 측정을 수행함으로써, 모델 기반의 측정 모델은 감소된 파라미터 상관 관계들 및 증가된 측정 정확도를 가지고 해결될 수 있다.In model-based measurement applications, simplifying assumptions often requires maintaining sufficient throughput. In some instances, the order of truncation of Rigorous Coupled Wave Analysis (RCWA) must be reduced to minimize computation time. In another example, the number or complexity of library functions is reduced to minimize search time. In another example, the number of floating parameters is reduced by fixing certain parameter values. In some instances, simplifying these assumptions results in unacceptable errors in the estimation of the values of one or more parameters of interest (e.g., critical dimension parameters, overlay parameters, etc.). By performing measurements of structures subject to capillary condensation as described in this document, model-based measurement models can be resolved with reduced parameter correlations and increased measurement accuracy.

도 1에 도시된 바와 같이, 계측 시스템(100)은 측정 동안에 구조(114)에 가스 흐름(126)을 공급하도록 구성된 증기 주입 시스템(120)을 포함한다. 일 양상에서, 가스 흐름(126)은 퍼지 가스 및 퍼지 가스에 기화된 충전재를 포함한다. 가스 흐름이 구조(114)과 접하게 될 때, 응축이 일어나며 충전재(즉, 응축액)의 일부분이 측정 중인 구조(114) 상으로 응축된다. 응축액은 구조(114)의 하나 이상의 구조 피처들의 적어도 일부분을 충전한다. 응축액의 존재는 측정된 구조의 광학적 특성들을 변화시킨다.As shown in FIG. 1, the metrology system 100 includes a vapor injection system 120 configured to supply a gas stream 126 to the structure 114 during measurement. In an aspect, the gas stream 126 comprises a purge gas and a filler vaporized into the purge gas. As the gas flow contacts the structure 114, condensation occurs and a portion of the filler (i.e., condensate) condenses on the structure 114 under measurement. The condensate fills at least a portion of one or more structural features of the structure (114). The presence of the condensate changes the optical properties of the measured structure.

몇몇 실시예들에서, 퍼지 가스 흐름이 충전재(예컨대, 순수 질소 가스 또는 깨끗한 건조 공기)를 포함하지 않을 때 하나의 측정이 수행되고, 측정 중인 구조 피처들 사이의 오프닝들을 응축액이 완전히 충전하도록 퍼지 가스 흐름이 충전재를 포함할 때 또 다른 측정이 수행된다. 이들 두 개의 측정으로부터 수집되는 측정 데이터는 컴퓨팅 시스템(130)으로 전달되며 두 세트의 측정 데이터에 기초하여 하나 이상의 관심 대상 구조 파라미터들의 추정이 이루어진다.In some embodiments, a single measurement is performed when the purge gas flow does not include a filler (e.g., pure nitrogen gas or clean dry air), and the purge gas Another measurement is performed when the flow contains filler. The measurement data collected from these two measurements is passed to the computing system 130 and an estimate of one or more structural parameters of interest is made based on the two sets of measurement data.

몇몇 실시예들에서, 측정 중인 구조 피처들 상으로의 응축의 양이 각각의 측정에 대해 상이하게 되도록 상이한 응축 조건들 하에서 일련의 측정들이 수행된다. 일련의 측정들로부터 수집되는 측정 데이터는 컴퓨팅 시스템(130)으로 전달되고 하나 이상의 관심 대상 구조 파라미터들의 추정은 수집된 측정 데이터에 기초하여 이루어진다.In some embodiments, a series of measurements are performed under different condensation conditions such that the amount of condensation onto the structural features being measured is different for each measurement. The measurement data collected from the series of measurements is passed to the computing system 130 and an estimate of one or more structural parameters of interest is made based on the collected measurement data.

도 1에 도시된 바와 같이, 상당한 양의 충전재(123)가 충전재 소스(121)로부터 증기 주입 시스템(120)으로 운송된다. 또한, 퍼지 가스(124)의 흐름이 퍼지 가스 소스(122)로부터 증기 주입 시스템으로 운송된다. 증기 주입 시스템(120)은 충전재가 퍼지 가스의 흐름으로 기화되도록 하여 측정 중인 구조(114)에 공급되는 가스 흐름(126)을 생성한다. 도 1에 도시된 실시예에서, 퍼지 가스의 흐름 및 퍼지 가스의 흐름으로 기화되는 충전재의 양은 컴퓨팅 시스템(130)으로부터 증기 주입 시스템(120)으로 전달되는 명령 신호들(125)에 의해 제어된다. 따라서, 명령 신호들(125)은 가스 흐름(126)의 원하는 조성을 제어한다. 도 1에 도시된 바와 같이, 가스 흐름(126)은 적절한 흐름 특성을 이용하여 웨이퍼(110) 상의 원하는 위치로 가스 흐름(126)을 향하게 하는 노즐(105)을 통과한다. 몇몇 실시예들에서, 노즐(105)은 측정 중인 구조들을 아우르는 영역으로 충전재를 이동시키기 위해 측정 영역에 아주 근접하게 위치된다. 측정 이후에, 응축된 충전재는 일반적인 웨이퍼 레벨의 퍼지 가스 흐름으로 기화하며 웨이퍼를 떠나 운송된다. 몇몇 예시들에서, 가스 흐름(126)은 1000의 분당 표준 입방 센티미터(SCCM; standard cubic centimeters per minute)와 2000의 SCCM 사이의 흐름 속도로 웨이퍼(112)에 공급된다. 그러나, 일반적으로, 임의의 적절한 흐름 속도가 본 특허 문서의 범주 내에서 고려될 수 있다.As shown in FIG. 1, a significant amount of filler 123 is transported from filler source 121 to vapor injection system 120. Also, the flow of purge gas 124 is carried from the purge gas source 122 to the vapor injection system. The vapor injection system 120 causes the filler to be vaporized into a flow of purge gas to produce a gas stream 126 that is fed to the structure 114 under measurement. In the embodiment shown in FIG. 1, the flow of purge gas and the amount of filler vaporized by the flow of purge gas is controlled by command signals 125 that are transferred from the computing system 130 to the vapor injection system 120. Thus, the command signals 125 control the desired composition of the gas flow 126. 1, the gas flow 126 passes through a nozzle 105 which directs the gas flow 126 to a desired location on the wafer 110 using suitable flow characteristics. In some embodiments, the nozzles 105 are positioned in close proximity to the measurement area to move the filler into areas surrounding the structures under measurement. After the measurement, the condensed filler is vaporized with a general wafer-level purge gas stream and transported off the wafer. In some instances, the gas flow 126 is supplied to the wafer 112 at a flow rate of between 1000 standard cubic centimeters per minute (SCCM) and 2000 SCCM. However, in general, any suitable flow rate can be considered within the scope of this patent document.

도 1은 측정 중인 계측 타겟에 국부적으로 공급되는 가스 흐름(126)을 도시한다. 그러나, 일반적으로, 가스 흐름(126)은 전체 웨이퍼에 걸쳐서, 조명 소스로부터 검출기까지의 빔 경로의 임의의 부분을 통해, 또는 이들의 임의의 조합으로 공급될 수 있다. 웨이퍼에 걸쳐서 그리고 조명 소스와 검출기 사이의 빔 경로를 통해 퍼지 가스 흐름을 공급하는 것에 관한 여러 예시들이 2010년 7월 13일에 등록된 곽희동 외에 의한 미국 등록 특허 제7,755,764호에 기술되어 있으며, 그 대상물은 전부가 참조에 의해 이 문서에 병합된다.Figure 1 shows the gas flow 126 being supplied locally to the metrology target being measured. However, in general, gas flow 126 may be supplied throughout the entire wafer, through any portion of the beam path from the illumination source to the detector, or any combination thereof. Various examples of supplying purge gas flow across the wafer and through the beam path between the illumination source and the detector are described in U.S. Patent No. 7,755,764 to Kwak, Hee-Dong et al., Filed on July 13, 2010, Are all merged into this document by reference.

도 1에 도시된 바와 같이, 증기 주입 시스템(120)은 충전재(123)가 퍼지 가스의 흐름(124)으로 기화하도록 하여 측정 중인 구조(114)에 공급되는 가스 흐름(126)을 생성한다. 그러나, 일반적으로, 증기 주입 시스템(120)은 둘 이상의 상이한 충전재들의 퍼지 가스 흐름으로의 기화를 제어하여 측정 중인 구조(114)에 공급되는 가스 흐름을 생성할 수 있다. 이러한 방식으로, 증기 주입 시스템(120)은 제어된 양의 상이한 충전재들을 포함하는 웨이퍼(112)로의 가스 흐름(126)을 공급한다.As shown in FIG. 1, the vapor injection system 120 causes the filler 123 to vaporize into a flow 124 of purge gas to produce a gas stream 126 that is fed to the structure 114 under measurement. Generally, however, the vapor injection system 120 can control the vaporization of two or more different fillers into the purge gas flow to produce a gas flow to be supplied to the structure 114 under measurement. In this manner, the vapor injection system 120 supplies a gas flow 126 to the wafer 112 containing a controlled amount of different fillers.

도 1에 도시된 시스템(100)에 관한 실시예들은 이 문서에 서술된 바와 같이 또한 구성될 수 있다. 또한, 시스템(100)은 이 문서에 서술된 방법 실시예(들) 중 어느 하나의 임의의 다른 블럭(들)을 수행하도록 구성될 수 있다.Embodiments of the system 100 shown in Figure 1 may also be configured as described in this document. In addition, the system 100 may be configured to perform any other block (s) of any of the method embodiments (s) described herein.

도 2는 일 실시예에서의 증기 주입 시스템(120)을 나타내는 도이다. 본 실시예에서, 측정 중인 웨이퍼(112)에 공급되는 가스 흐름(126)에 기화되는 충전재의 양(즉, 응축액의 부분 압력)이 조절된다. 충전재의 부분 압력을 조절함으로써, 모세관 응축에 의해 충전되는 구조적 치수들이 제어된다.2 is a diagram illustrating a vapor injection system 120 in one embodiment. In this embodiment, the amount of filler vaporized in the gas stream 126 fed to the wafer 112 under measurement (i.e., the partial pressure of the condensate) is adjusted. By adjusting the partial pressure of the filler, the structural dimensions to be filled by the capillary condensation are controlled.

도 2에 도시된 실시예에서, 퍼지 가스 흐름에 기화된 충전재(예컨대, 질소 가스, 깨끗한 건조 공기 등)의 부분 압력은 퍼지 가스가 버블되어 통과하는 충전재의 액체 수조에 대한 충전재의 평형 압력과 동일하다. 일 예시에서, 버블러(bubbler) 유형의 증기 주입 시스템은 미국 미주리 주 세인트루이스의 Sigma-Aldrich가 시판 중인 1.2 리터 용량의 스테인리스 강 버블러 모델 Z553360이다.In the embodiment shown in Figure 2, the partial pressure of the filler (e.g. nitrogen gas, clean dry air, etc.) vaporized in the purge gas flow is equal to the equilibrium pressure of the filler for the liquid bath of the filler through which the purge gas bubbles Do. In one example, a bubbler type of steam injection system is a model 1.2 liter capacity stainless steel bubbler model Z553360 available from Sigma-Aldrich of St. Louis, Missouri, USA.

도 2에 도시된 바와 같이, 퍼지 가스 흐름(124)의 일부분(146)은 질량(mass) 흐름 제어기(148A)를 통과하고 퍼지 가스 흐름(124)의 또 다른 부분(145)은 질량 흐름 제어기(148B)를 통과한다. 가스 흐름들(146 및 145)의 흐름 속도는 각각 질량 흐름 제어기들(148A 및 148B)의 상태에 의해 제어된다. 이러한 방식으로, 충전재가 기화되어 있는 퍼지 가스 흐름(124)의 양이 질량 흐름 제어기(148B)에 의해 제어되고 기화의 영향을 받지 않는 퍼지 가스 흐름(124)의 양이 질량 흐름 제어기(148B)에 의해 제어된다. 도 2에 도시된 실시예에서, 컴퓨팅 시스템(130)으로부터 증기 주입 시스템(120)으로 전달되는 명령 신호(125)는 다수의 신호들(149A-C)을 포함한다. 신호(149A)는 질량 흐름 제어기(148A)의 원하는 상태에 관한 표시를 포함한다. 이에 대응하여, 질량 흐름 제어기(148A)는 원하는 상태로, 그리고 이에 따라, 충전재가 기화되어 있지 않은 퍼지 가스 흐름의 원하는 비율로 조절한다. 신호(149B)는 질량 흐름 제어기(148B)의 원하는 상태에 관한 표시를 포함한다. 이에 대응하여, 질량 흐름 제어기(148B)는 원하는 상태로, 그리고 이에 따라, 충전재가 기화되어 있는 퍼지 가스 흐름의 원하는 비율로 조절한다. 퍼지 가스 흐름(124)의 부분(145)은 체크 밸브(142)와 흐름 제어 밸브(143)를 통과하여 버블러(140)로 흘러간다. 버블러(140)에서, 상당한 양의 충전재가 퍼지 가스 흐름(124)의 일부분(145)으로 기화되어 퍼지 가스 및 충전재의 가스 흐름(147)을 생성한다. 가스 흐름(147)은 버블러(140)를 통과해 흐르지 않은 퍼지 가스의 일부분(146)과 결합하여 가스 흐름(126)을 생성한다.2, a portion 146 of the purge gas flow 124 passes through a mass flow controller 148A and another portion 145 of the purge gas flow 124 passes through a mass flow controller (not shown) 148B. The flow rates of the gas flows 146 and 145 are controlled by the state of the mass flow controllers 148A and 148B, respectively. In this manner, the amount of purge gas flow 124 in which the filler is vaporized is controlled by the mass flow controller 148B and the amount of purge gas flow 124 that is not susceptible to vaporization is directed to the mass flow controller 148B . 2, the command signal 125 transmitted from the computing system 130 to the vapor injection system 120 includes a plurality of signals 149A-C. Signal 149A includes an indication of the desired state of mass flow controller 148A. Correspondingly, the mass flow controller 148A adjusts the desired state of the purge gas flow to the desired state, and hence the filler, that is not vaporized. Signal 149B includes an indication of the desired state of mass flow controller 148B. Correspondingly, the mass flow controller 148B adjusts to the desired state and thus the desired rate of purge gas flow in which the filler is vaporized. The portion 145 of the purge gas flow 124 passes through the check valve 142 and the flow control valve 143 and flows into the bubbler 140. In bubbler 140, a significant amount of filler is vaporized into a portion 145 of purge gas flow 124 to produce purge gas and filler gas flow 147. Gas stream 147 combines with a portion 146 of purge gas that does not flow through bubbler 140 to produce gas stream 126.

몇몇 실시예들에서, 질량 흐름 제어기들(148A 및 148B)은 퍼지 가스 전체가 버블러(140)를 통과해 흐르거나 버블러(140)를 완전히 우회하도록 제어된다. 이러한 방식으로, 가스 흐름(126)은 충전재의 부분 압력이 0인 건조 퍼지 가스 흐름(124)이거나 퍼지 가스 흐름(124) 전체가 충전재 기화의 영향을 받을 수 있다.In some embodiments, the mass flow controllers 148A and 148B are controlled so that the entire purge gas flows through the bubbler 140 or bypasses the bubbler 140 completely. In this manner, the gas stream 126 may be a dry purge gas stream 124 with a partial pressure of the filler of zero (0) or the entire purge gas stream 124 may be affected by the filler vaporization.

충전재가 버블러(140)에서 기화되어 가스 흐름(147)으로 운반되기 때문에, 버블러(140)에서 일정한 충전 레벨을 유지하기 위해 충전재 소스(121)로부터 추가적인 충전재(123)가 흘러나온다. 몇몇 실시예들에서, 충전 레벨은 레벨 센서 및 흐름 제어 방식에 기초하여 자동으로 제어된다. 몇몇 다른 실시예들에서, 충전 레벨은 수동적인 충전 작업에 의해 주기적으로 유지된다.As filler is vaporized in bubbler 140 and carried to gas stream 147, additional filler 123 flows out of filler source 121 to maintain a constant fill level in bubbler 140. In some embodiments, the charge level is automatically controlled based on the level sensor and flow control scheme. In some other embodiments, the charge level is maintained periodically by a passive charge operation.

일 실시예에서, 주위 온도 Ta 에서 가스 흐름(126)의 기화된 충전재의 포화도는 기화의 영향을 받지 않는 퍼지 가스 흐름(146)의 일부분에 비례하여 충전재가 기화되어 있는 퍼지 가스 흐름(145)의 비율을 조절함으로써 제어된다. 바람직한 실시예에서, 버블러(140)에서의 충전재의 온도는 측정 중인 웨이퍼와 동일한 온도(예컨대, 주위 온도 Ta)로 유지된다. 이러한 조건들 하에서, 가스 흐름(126)의 충전재의 상대적 포화도 p0/p는 수학식 1에 나타나 있으며, 여기에서 F1은 완전히 포화된 가스 흐름(147)의 흐름 속도이고 F2는 불포화 가스 흐름(146)의 흐름 속도이다.In one embodiment, the degree of saturation of the vaporized filler of gas stream 126 at ambient temperature T a is proportional to the portion of purge gas stream 146 that is not affected by vaporization, and the purge gas stream 145, By controlling the ratio of In a preferred embodiment, the temperature of the filler in the bubbler 140 is maintained at the same temperature (e.g., ambient temperature T a ) as the wafer under measurement. Under these conditions, the relative saturation p 0 / p of the filler of the gas stream 126 is shown in equation 1, where F 1 is the flow rate of the fully saturated gas stream 147 and F 2 is the flow rate of the unsaturated gas stream (146).

Figure pct00002
Figure pct00002

도 2에 도시된 바와 같이, 가스 흐름들(146 및 147)은 결합되어 측정 중인 웨이퍼에 공급되는 가스 흐름(126)을 생성한다. 따라서, 측정 중인 웨이퍼에 공급되는 총 흐름은 F1과 F2의 합을 조절하기 위한 명령 신호들(149A 및 149B)을 전달함으로써 제어된다. 측정 중인 웨이퍼에 공급되는 흐름의 상대적 포화도는 F1과 F2의 비율을 조절하기 위한 명령 신호들(149A 및 149B)을 전달함으로써 제어된다.As shown in FIG. 2, gas flows 146 and 147 are combined to create a gas flow 126 that is fed to the wafer under measurement. Thus, the total flow supplied to the wafer under measurement is controlled by delivering command signals 149A and 149B to adjust the sum of F 1 and F 2 . The relative saturation of the flow supplied to the wafer under measurement is controlled by delivering command signals 149A and 149B to adjust the ratio of F 1 and F 2 .

도 15는 수학식 1에 관하여 정의되는 흐름들인 F1과 F2의 상이한 조합들에 대하여, 상대 습도 RH에 관한 차트(210)를 도시한다.FIG. 15 shows a chart 210 for relative humidity RH for different combinations of flows F 1 and F 2 defined with respect to Equation (1).

또 다른 실시예에서, 주위 온도 Ta에서 기화된 충전재의 포화도는 액체 수조를 주위 온도보다 아래의 온도 T로 유지함으로써 제어된다. 순물질의 평형 증기 압력 p0와 온도 T 사이의 관계는 수학식 2로 나타낸 클라우지우스 클라페롱(Clausius-Clapeyron) 방정식으로 주어지며, 여기에서, ΔH는 순물질의 기화 엔탈피이고, R은 이상 기체 상수로서 8.31J /mole·K이다.In another embodiment, the degree of saturation of the vaporized filler at ambient temperature T a is controlled by maintaining the liquid bath at a temperature T below the ambient temperature. The relationship between the equilibrium vapor pressure p 0 of the pure substance and the temperature T is given by the Clausius-Clapeyron equation shown in equation (2), where H is the enthalpy of vaporization of the pure substance and R is the ideal gas constant 8.31 J / mole · K.

Figure pct00003
Figure pct00003

수학식 2에 기초하여, 주위 온도 Ta보다 낮은 온도 T에서 포화되는 충전재에 대한 상대적인 포화도 p/p0는 수학식 3으로 나타난다.Based on Equation (2), the saturation degree p / p 0 relative to the filler that saturates at a temperature T lower than the ambient temperature T a is represented by Equation (3).

Figure pct00004
Figure pct00004

도 4는 물, 톨루엔, 및 에탄올의 기화 엔탈피 ΔH를 포함하는 표(127)를 도시한다. 이들 물질들 각각은 이 문서에 서술된 바와 같이 충전재들로서 적절할 수 있다. 또한, 표(127)는 주위 온도가 섭씨 25도이고 원하는 충전재의 상대적 포화도 p/p0가 0.9일 때 주위 온도(즉, 웨이퍼 온도)와 수조 온도 간의 차이를 나타낸다. 표(127)에 나타낸 바와 같이, 수조 온도를 주위 온도보다 나타낸 정도 만큼 낮게 유지함으로써, 각각의 열거된 충전재에 대하여 0.9의 부분 압력이 유지된다. 웨이퍼와 버블러(140)의 액체 수조 사이에 섭씨 약 2도의 온도차를 유지하는 것이 상대적으로 간단한 문제이기 때문에 이들 물질들 중 어느 하나를 충전재로 이용하는 것이 유리할 수 있다. 본 실시예에서, 건조 퍼지 가스(146)의 흐름을 포화된 퍼지 가스(147)의 흐름과 결합시키지 않고서, 주위 온도 Ta에서 가스 흐름(126)의 기화된 충전재의 포화도를 제어하는 것이 가능하다. 즉, 흐름(146)은 0으로 설정될 수 있고, 주위 온도 Ta에서 가스 흐름(126)의 기화된 충전재의 포화도는 버블러 온도와 웨이퍼 온도 간의 온도차에 의해 제어된다. 몇몇 다른 예시들에서, 건조 퍼지 가스의 흐름(146)은 포화된 퍼지 가스의 흐름(147)과 결합되고, 주위 온도 Ta에서 가스 흐름(126)의 기화된 충전재의 포화도는 버블러 온도와 웨이퍼 온도 간의 온도차와, 가스 흐름(146)과 가스 흐름(147)의 흐름 속도들에 관한 비율의 조합에 의해 제어된다.Figure 4 shows a table (127) containing the enthalpy of vaporization of water, toluene, and ethanol, [Delta] H. Each of these materials may be suitable as fillers as described in this document. In addition, table 127 indicates the difference between the ambient temperature (i.e., die temperature) and the bath temperature when the ambient temperature is 25 degrees and the relative saturation p / p 0 of the desired filler 0.9 days Celsius. As shown in Table 127, a partial pressure of 0.9 is maintained for each listed filler by keeping the bath temperature as low as the ambient temperature. It is relatively simple to maintain a temperature difference of about 2 degrees Celsius between the wafer and the liquid bath of the bubbler 140, so it may be advantageous to use any of these materials as a filler. In this embodiment it is possible to control the degree of saturation of the vaporized filler of the gas stream 126 at ambient temperature T a without combining the flow of dry purge gas 146 with the flow of saturated purge gas 147 . That is, the flow 146 may be set to zero, and the saturation of the vaporized filler of the gas stream 126 at ambient temperature T a is controlled by the temperature difference between the bubbler temperature and the wafer temperature. The flow 146 of dry purge gas is combined with the flow 147 of saturated purge gas and the degree of saturation of the vaporized filler of gas flow 126 at ambient temperature T a is determined by the bubbler temperature Temperature and the ratio of the flow rates of the gas flow 146 and the gas flow 147. [

몇몇 실시예들에서, 수조 온도 및 웨이퍼 온도는 컴퓨팅 시스템(130)에 의해 측정되어 그에 전달된다. 컴퓨팅 시스템은 웨이퍼 온도와 수조 온도 간의 차이를 판정하고 원하는 웨이퍼 온도, 수조 온도, 또는 양자 모두를 계산한다. 몇몇 실시예들에서, 컴퓨팅 시스템(130)은 증기 주입 시스템(120)에 원하는 수조 온도를 나타내는 명령 신호(149C)를 생성한다. 이에 대응하여, 증기 주입 시스템(120)은 국부적 가열 또는 냉각 유닛(미도시)을 이용하여 수조 온도를 원하는 값으로 조절한다. 몇몇 실시예들에서, 컴퓨팅 시스템(130)은 웨이퍼 컨디셔닝 서브시스템(미도시)에 원하는 웨이퍼 온도를 나타내는 명령 신호(미도시)를 생성한다. 이에 대응하여, 웨이퍼 컨디셔닝 시스템은 웨이퍼 가열 또는 냉각 유닛(미도시)을 이용하여 웨이퍼 온도를 원하는 값으로 조절한다. 몇몇 실시예들에서, 컴퓨팅 시스템(130)은 국부적 웨이퍼 가열 요소(103)에 원하는 웨이퍼 온도를 나타내는 명령 신호(113)(도 1에 도시됨)를 생성한다. 이에 대응하여, 가열 유닛(103)은 방사성 가열 요소를 이용하여 원하는 값으로 국부적으로(즉, 측정 위치 바로 근처에서) 웨이퍼 온도를 조절한다.In some embodiments, the bath temperature and wafer temperature are measured and communicated to computing system 130. The computing system determines the difference between the wafer temperature and the bath temperature and calculates the desired wafer temperature, bath temperature, or both. In some embodiments, the computing system 130 generates a command signal 149C indicative of the desired bath temperature in the vapor injection system 120. Correspondingly, the vapor injection system 120 regulates the bath temperature to a desired value using a local heating or cooling unit (not shown). In some embodiments, the computing system 130 generates a command signal (not shown) indicating a desired wafer temperature in a wafer conditioning subsystem (not shown). Correspondingly, the wafer conditioning system adjusts the wafer temperature to a desired value using a wafer heating or cooling unit (not shown). In some embodiments, the computing system 130 generates a command signal 113 (shown in FIG. 1) that indicates the desired wafer temperature to the local wafer heating element 103. Correspondingly, the heating unit 103 regulates the wafer temperature locally (i.e., immediately adjacent to the measurement location) to a desired value using a radioactive heating element.

몇몇 실시예들에서, 웨이퍼와 수조 사이의 온도차 제어는 증기 주입 시스템(120)과 관련된 컴퓨팅 시스템에 의해 제어된다. 이러한 관점에서, 컴퓨팅 시스템(130)에 의한 웨이퍼와 수조 사이의 온도차 제어는 비제한적(non-limiting) 예시를 통해 제공된다. 임의의 적절한 제어 아키텍처 및 온도 조절 방식이 본 특허 문서의 범주 내에서 고려될 수 있다.In some embodiments, the temperature difference control between the wafer and the water bath is controlled by a computing system associated with the vapor injection system 120. In this regard, the control of the temperature difference between the wafer and the water bath by the computing system 130 is provided through a non-limiting example. Any suitable control architecture and temperature regulation scheme may be considered within the scope of this patent document.

도 3은 또 다른 실시예에서의 증기 주입 시스템(120)을 나타내는 도이다. 동일하게 번호 매겨진 요소은 도 2와 관련하여 서술된 요소과 유사하다.3 is a diagram illustrating a vapor injection system 120 in yet another embodiment. Equally numbered elements are similar to those described in connection with FIG.

도 3에 도시된 바와 같이, 퍼지 가스 흐름(124)은 3방향 밸브(141)를 통과한다. 몇몇 실시예들에서, 3방향 밸브(141)는 3향향 밸브의 위치에 기초하여 버블러(140)를 통과하여 흐르는 퍼지 가스 흐름(124)의 일부분(145)과 버블러(140)를 통과하여 흐르지 않는 일부분(146)을 적당한 비율로 조절한다. 이러한 방식으로, 충전재가 기화되어 있는 퍼지 가스 흐름(124)의 양은 3방향 밸브(141)에 의해 제어된다. 도 3에 도시된 실시예에서, 컴퓨팅 시스템(130)으로부터 증기 주입 시스템(120)으로 전달되는 명령 신호(125)는 다수의 신호들(149C-D)을 포함한다. 도 3에 도시된 실시예에서, 신호(149D)는 3방향 밸브(141)의 원하는 위치에 관한 표시를 포함한다. 이에 대응하여, 3방향 밸브(141)는 원하는 위치로 조절되고, 이에 따라 충전재가 기화되어 있는 퍼지 가스 흐름이 원하는 비율로 조절된다. 퍼지 가스 흐름(124)의 일부분(145)은 체크 밸브(142)와 흐름 제어 밸브(143)를 통과하여 버블로(140)로 흘러간다. 버블러(140)에서, 상당한 양의 충전재가 퍼지 가스 흐름(124)의 일부분(145)으로 기화되어 퍼지 가스와 충전재의 가스 흐름(147)을 생성한다. 가스 흐름(147)은 버블러(140)를 통과하여 흐르지 않는 퍼지 가스의 일부분(146)과 결합하여 가스 흐름(126)을 생성한다.As shown in FIG. 3, the purge gas flow 124 passes through a three-way valve 141. In some embodiments, the three-way valve 141 passes through the bubbler 140 and a portion 145 of the purge gas flow 124 that flows through the bubbler 140 based on the position of the three- Adjust the non-flowing portion 146 to an appropriate ratio. In this way, the amount of purge gas flow 124 in which the filler is vaporized is controlled by the three-way valve 141. 3, the command signal 125 transmitted from the computing system 130 to the vapor injection system 120 includes a plurality of signals 149C-D. In the embodiment shown in Fig. 3, the signal 149D includes an indication as to the desired position of the three-way valve 141. Fig. Correspondingly, the three-way valve 141 is adjusted to the desired position, so that the purge gas flow in which the filler is vaporized is adjusted to a desired ratio. A portion 145 of the purge gas flow 124 flows through the check valve 142 and the flow control valve 143 into the bubble path 140. At bubbler 140, a significant amount of filler is vaporized into a portion 145 of purge gas flow 124 to produce purge gas and filler gas flow 147. The gas stream 147 combines with the portion 146 of the purge gas that does not flow through the bubbler 140 to produce a gas stream 126.

몇몇 실시예들에서, 3방향 밸브(141)는 3방향 밸브의 위치에 기초하여 퍼지 가스 흐름(124) 전체가 버블러(140)를 통과하여 흐르거나 버블러(140)를 완전히 우회하도록 제어된다. 이러한 방식으로, 가스 흐름(126)은, 3방향 밸브의 상태에 따라, 충전재의 부분 압력이 0인 건조 퍼지 가스 흐름(124)이거나 퍼지 가스 흐름(124) 전체가 충전재 기화의 영향을 받는다.In some embodiments, the three-way valve 141 is controlled such that the entire purge gas flow 124 flows through the bubbler 140 or completely bypasses the bubbler 140 based on the position of the three-way valve . In this manner, the gas flow 126 is either a dry purge gas flow 124 with a partial pressure of the filler of zero, or the entire purge gas flow 124, depending on the state of the three-way valve, being influenced by the filler vaporization.

도 3과 관련하여 서술된 바와 같이, 측정 중인 웨이퍼에 공급되는 충전재의 양은 충전재 기화의 영향을 받지 않는 퍼지 가스 흐름(124)의 일부분(146)에 비례하여 충전재 기화의 영향을 받는 퍼지 가스 흐름(124)의 일부분(145)을 조절함으로써 제어된다. 또한, 웨이퍼 온도에서 기화된 충전재의 포화도는 웨이퍼 온도와 수조 온도 간의 차이를 조절함으로써 제어된다.3, the amount of filler to be supplied to the wafer under measurement is proportional to the portion 146 of the purge gas flow 124 that is not affected by the filler vaporization, 124). ≪ / RTI > The degree of saturation of the vaporized filler at the wafer temperature is also controlled by adjusting the difference between the wafer temperature and the bath temperature.

또 다른 실시예에서, 주위 온도에서 기화된 충전재의 포화도는 용매 단독인 경우의 평형 증기 압력과 비교하여 용매의 평형 증기 압력을 억제하도록 용매(즉, 충전재)의 액체 수조에 비휘발성 용질을 추가함으로써 제어된다. 일 예시에서, 용매로서의 물과 비휘발성 용질(예컨대, 염화나트륨, 염산 등)로부터 형성되는 용액은 순수한 물의 평형 증기 압력보다 작은 물의 증기 압력을 보여준다. 도 5는 물 수조의 염산 농도에 관한 함수로서의 물의 부분 압력에 관한 플롯(128)을 도시한다. 물에 용해된 염화나트륨 용액에 대하여 유사한 결과가 존재한다. 예컨대, 물에 용해된 6 퍼센트 염화나트륨의 용액은 90%의 상대 습도 p/p0를 나타낸다.In yet another embodiment, the degree of saturation of the vaporized filler at ambient temperature is determined by adding a nonvolatile solute to the liquid bath of the solvent (i. E., Filler) so as to suppress the equilibrium vapor pressure of the solvent relative to the equilibrium vapor pressure in the case of solvent alone Respectively. In one example, a solution formed from water as a solvent and a nonvolatile solute (e.g., sodium chloride, hydrochloric acid, etc.) shows the vapor pressure of water less than the equilibrium vapor pressure of pure water. Figure 5 shows a plot 128 as to the partial pressure of water as a function of the concentration of hydrochloric acid in the water bath. Similar results exist for the sodium chloride solution dissolved in water. For example, a solution of 6 percent sodium chloride in water exhibits a relative humidity p / p 0 of 90%.

이러한 실시예들에서, 기화된 충전재(즉, 용매)의 포화도는 용액의 용질 농도를 제어함으로써 조절된다. 몇몇 실시예들에서, 수조 내 용매의 양은 원하는 농도를 유지하고, 이에 따라 기화된 용매의 원하는 부분 압력을 유지하도록 제어된다. 이러한 실시예들에서, 수조 온도가 명목상으로 주위 온도(즉, 웨이퍼 온도)로 유지되는 한, 정확한 온도 제어는 필요하지 않다.In these embodiments, the degree of saturation of the vaporized filler (i.e., solvent) is controlled by controlling the solute concentration of the solution. In some embodiments, the amount of solvent in the bath is controlled to maintain the desired concentration and thus maintain the desired partial pressure of the vaporized solvent. In these embodiments, precise temperature control is not necessary as long as the bath temperature is nominally maintained at ambient temperature (i. E., Wafer temperature).

일반적으로, 이 문서에 서술된 바와 같은 측정을 수행하는 데에 이용하기 위해 임의의 적절한 퍼지 가스 및 충전재가 선택될 수 있다. 예시적인 퍼지 가스는 비활성 가스, 질소, 및 깨끗한 건조 공기를 포함한다. 적절한 퍼지 가스의 선택은 반도체 제조 설비의 이용 가능성에 의해 주로 유도된다. 예시적인 충전재들은 물, 에탄올, 이소프로필 알코올, 메탄올, 벤젠, 톨루엔 등을 포함한다. 적절한 충전재들의 선택은 증기 압력을 제어하는 능력, 보이드(void) 충전 특성들, 광학 특성들, 및 충전재와 측정 중인 시료 간의 임의의 화학적 상호 작용들에 의해 유도된다.In general, any suitable purge gas and filler may be selected for use in performing the measurements as described herein. Exemplary purge gases include inert gases, nitrogen, and clean dry air. The selection of a suitable purge gas is mainly driven by the availability of semiconductor manufacturing facilities. Exemplary fillers include water, ethanol, isopropyl alcohol, methanol, benzene, toluene, and the like. The selection of suitable fillers is induced by the ability to control the vapor pressure, void filling characteristics, optical properties, and any chemical interactions between the filler and the sample being measured.

예컨대, 충전재의 굴절률과 충전재의 흡수 계수 양자 모두는 기본 측정 모델에서, 입사광을 굴절시킬 뿐만 아니라 입사광을 흡수하기도 하는 액체 충전재로 간주된다. 이러한 특성들 양자 모두는, 특히 상대적으로 짧은 조명 파장(예컨대, 120 나노미터에서 190 나노미터에 이르는 범위를 갖는 진공 자외선 파장)에서 충전을 이용하여 수행되는 측정들과 충전 없이 수행되는 측정들 간의 차이들을 생성한다. 따라서, 굴절률 및 흡수 계수 양자 모두에 있어서 공기와 상당히 다른 액체 충전재의 선택은 멀티 타겟 측정 분석에서 축소된 파라미터 상관 관계들에 대한 기회를 제공한다.For example, both the refractive index of the filler and the absorption coefficient of the filler are regarded as liquid fillers which, in the basic measurement model, not only refract incident light but also absorb incident light. Both of these properties can be used to determine the difference between measurements performed using the charge, especially with relatively short illumination wavelengths (e.g., vacuum ultraviolet wavelengths ranging from 120 nanometers to 190 nanometers) Lt; / RTI > Thus, the choice of a liquid filler that is significantly different from air in both refractive index and absorption coefficient provides an opportunity for reduced parameter correlations in multi-target measurement analysis.

또한, 굴절률 및 조명 파장에 관한 함수로서의 흡수 계수 양자 모두에 있어서 변화하는 액체 충전재의 선택은 스펙트럼 측정 분석에서 축소된 파라미터 상관 관계들에 대한 기회를 제공한다. 도 6은 파장에 관한 함수로서의 탈염수의 분산에 관한 플롯(135)을 도시한다. 플롯라인(136)은 흡광 계수를 도시하고 플롯라인(137)은 굴절률을 도시한다. 도 6에 도시된 바와 같이, 탈염수는 자외선, 진공 자외선, 및 초자외선 영역들 뿐 아니라 적외선 영역들에서도 강력한 분산 변화들을 보여준다. 이러한 파장 범위들에서 작동하는 분광 기구들은 반복(periodic) 구조에서 물이 응축액으로 이용될 때 분산 변화들을 이용한다.In addition, the choice of liquid filler to vary both in refractive index and in absorption coefficient as a function of illumination wavelength provides an opportunity for reduced parameter correlations in spectral measurement analysis. Figure 6 shows a plot 135 for the dispersion of demineralized water as a function of wavelength. Plot line 136 shows the extinction coefficient and plot line 137 shows the index of refraction. As shown in FIG. 6, the demineralized water exhibits strong dispersion changes in the ultraviolet, vacuum ultraviolet, and ultraviolet regions as well as in the infrared regions. Spectroscopic instruments operating in these wavelength ranges use dispersion changes when water is used as condensate in a periodic structure.

몇몇 실시예들에서, 100 나노미터와 2,500 나노미터 사이의 넓은 파장 범위를 캡쳐하는 여러 다양한 스펙트럼 계측 기술들을 이용하여 충전재로서 탈염수를 이용한 측정들이 수행될 수 있다. 예시적인 계측 기술들은 분광 편광 해석법, 뮐러 매트릭스 편광 해석법, 분광 반사 측정법, 각도 결정(angle-resolved) 반사 측정법 등을 포함한다.In some embodiments, measurements using demineralized water as a filler can be performed using a variety of spectral metrology techniques that capture a wide wavelength range between 100 nanometers and 2,500 nanometers. Exemplary metrology techniques include spectroscopic polarimetry, Muller matrix polarization analysis, spectroscopic reflectometry, angle-resolved reflectometry, and the like.

또 다른 양상에서, 조명 파장에서 형광성을 보여주는 액체 충전재의 선택은 이미지 기반 측정 분석들에서 축소된 파라미터 상관 관계들에 대한 기회를 제공한다. 몇몇 실시예들에서, 충전재의 형광성은 이미지 콘트라스트를 향상시키고, 이미지 기반 오버레이, 이미지 기반 검사(예컨대, 암시야 및 명시야 검사) 등과 같은 이미지 기반 측정 기술들의 측정 성능을 개선시킨다.In yet another aspect, the selection of a liquid filler that exhibits fluorescence at the illumination wavelength provides an opportunity for reduced parameter correlations in image-based measurement assays. In some embodiments, the fluorescence of the filler improves image contrast and improves the measurement performance of image-based measurement techniques such as image-based overlay, image-based inspection (e.g., darkfield and bright field inspection)

또 다른 양상에서, 모세관 응축에 의한 계측 타겟의 측정 동안에 계측 타겟 자체의 기하학적인 구조 피처들(예컨대, 임계 치수(CD; critical dimension) 구조들, 격자 구조들, 오버레이 구조들 등) 사이의 스페이스들을 충전하기 위해 모세관 응축이 채택된다. 일반적으로, 가스 흐름(126)에 기화된 재료의 원하는 포화도는 모세관 응축에 의해 충전될 최대 피처 크기에 기초하여 결정된다. 작은 피처들(예컨대, 노치, 트렌치, 슬릿, 컨택 홀 등과 같은 작은 볼륨들)을 충전재로 충전하기 위해 모세관 응축이 채택된다. 켈빈 방정식은 특정 충전재에 대하여 충전될 수 있는 최대 피처 크기, 충전재의 부분 압력, 및 주위 온도(예컨대, 웨이퍼 온도)에 관한 근사치를 제공한다. 수학식 3은 두 개의 상이한 반경들 r1 및 r2를 가진 응축된 메니스커스(meniscus)에 대한 켈빈 방정식을 나타내며, 여기에서, R은 이상 기체 상수이고, Ta는 주위 온도이고, V는 충전재의 몰 부피이고, γ는 충전재와 관련된 표면 장력 상수이며, p/p0는 충전재의 부분 압력이다.In yet another aspect, the spacing between the geometric structural features of the metrology target itself (e.g., critical dimension (CD) structures, lattice structures, overlay structures, etc.) during measurement of the metrology target by capillary condensation Capillary condensation is employed to charge. Generally, the desired degree of saturation of the material vaporized in the gas stream 126 is determined based on the maximum feature size to be filled by capillary condensation. Capillary condensation is employed to fill the filler with small features (e.g., small volumes such as notches, trenches, slits, contact holes, etc.). The Kelvin equation provides an approximation of the maximum feature size that can be charged for a particular filler, the partial pressure of the filler, and the ambient temperature (e.g., wafer temperature). Equation 3 represents the Kelvin equation for a condensed meniscus with two different radii r 1 and r 2 , where R is the ideal gas constant, T a is the ambient temperature, V is is the molar volume of the filler, γ is the surface tension constant associated with the filler, p / p 0 is a partial pressure of the filling material.

Figure pct00005
Figure pct00005

도 7은 물, 톨루엔, 및 에탄올과 관련된 몰 부피 및 표면 장력을 나타내는 표(129)를 도시한다.Figure 7 shows a table 129 depicting the molar volume and surface tension associated with water, toluene, and ethanol.

원통형 홀(hole) 피처들에 대하여, r1은 r2와 동일하다. 도 8은 수학식 3에 따라 모세관 응축에 의해 충전될 수 있는 원통형 홀의 최대 직경을 나타내는 플롯(172)을 도시한다. 플롯(172)은 섭씨 25도의 주위 온도에서의 각 충전재의 여러 부분 압력들에 대하여 물(플롯라인(175)), 에탄올(플롯라인(174)), 및 톨루엔(플롯라인(173))에 의해 충전될 수 있는 원통형 홀의 최대 직경을 도시한다. 도 8에 도시된 바와 같이, 최대 40 나노미터까지의 직경을 가진 원통형 홀들은 가스 흐름(126)이 95% 이상의 물 또는 에탄올의 부분 압력으로 계측 타겟에 공급될 때 충전될 수 있다. 또한, 도 8에 도시된 바와 같이, 최대 90 나노미터까지의 직경을 가진 원통형 홀들은 가스 흐름(126)이 95% 이상의 톨루엔의 부분 압력으로 계측 타겟에 공급될 때 충전될 수 있다.For cylindrical hole features, r 1 is equal to r 2 . Figure 8 shows a plot 172 showing the maximum diameter of a cylindrical hole that can be filled by capillary condensation according to equation (3). Plot 172 is generated by water (plot line 175), ethanol (plot line 174), and toluene (plot line 173) for various partial pressures of each filler at an ambient temperature of 25 degrees Celsius. Shows the maximum diameter of a cylindrical hole that can be filled. As shown in FIG. 8, cylindrical holes with diameters up to 40 nanometers can be filled when the gas stream 126 is fed to the metrology target at a partial pressure of water or ethanol of at least 95%. Also, as shown in FIG. 8, cylindrical holes with diameters up to 90 nanometers can be filled when the gas stream 126 is fed to the metrology target with a partial pressure of toluene greater than 95%.

라인들 및 스페이스들에 대하여, r2는 0이다. 도 9는 수학식 3에 따라 모세관 응축에 의해 충전될 수 있는 긴 트렌치 유사 피처의 최대 직경을 나타내는 플롯(160)을 도시한다. 플롯(160)은 섭씨 25도의 주위 온도에서의 각 충전재의 여러 부분 압력들에 대하여 물(플롯라인(164)), 에탄올(플롯라인(163)), 및 톨루엔(플롯라인(162))에 의해 충전될 수 있는 트렌치의 최대 직경을 도시한다. 도시된 바와 같이, 긴 트렌치 유사 피처를 가로지르는 최대 직경은 원통형 홀 피처의 최대 직경의 절반이다. 도 8 및 도 9에 도시된 바와 같이, 충전재로서의 에탄올의 성능이 물과 매우 유사하기 때문에 물의 플롯라인과 에탄올의 플롯라인이 오버랩하는 것으로 보인다.For lines and spaces, r 2 is zero. FIG. 9 shows a plot 160 showing the maximum diameter of a long trench-like feature that can be filled by capillary condensation according to equation (3). Plot 160 is shown by water (plot line 164), ethanol (plot line 163), and toluene (plot line 162) for various partial pressures of each filler at an ambient temperature of 25 degrees Celsius. Shows the maximum diameter of the trench that can be charged. As shown, the maximum diameter across the long trench-like feature is half the maximum diameter of the cylindrical hole feature. As shown in Figures 8 and 9, the plot lines of water and ethanol appear to overlap because the performance of ethanol as a filler is very similar to that of water.

일 양상에서, 주위 온도 Ta에서 기화된 충전재의 포화도는 원하는 최대 피처 크기보다 작은 모든 피처들이 충전되도록 조절된다. 몇몇 실시예들에서, 이는 앞서 서술된 바와 같이, 기화의 영향을 받는 퍼지 가스의 흐름과 기화의 영향을 받지 않는 퍼지 가스의 흐름의 비율을 제어함으로써 달성된다. 몇몇 실시예들에서, 이는 웨이퍼와 충전재의 액체 수조 간의 온도차를 제어함으로써 달성된다. 몇몇 다른 실시예들에서, 이는 충전재의 액체 수조에 용해된 비휘발성 용질의 농도를 제어함으로써 달성된다.In an aspect, the degree of saturation of the vaporized filler at ambient temperature T a is adjusted to fill all features smaller than the desired maximum feature size. In some embodiments, this is accomplished by controlling the ratio of the flow of purge gas under the influence of vaporization and the flow of purge gas under the influence of vaporization, as described above. In some embodiments, this is accomplished by controlling the temperature difference between the wafer and the liquid bath of the filler. In some other embodiments, this is accomplished by controlling the concentration of the nonvolatile solute dissolved in the liquid bath of the filler.

또 다른 양상에서, 최대 피처 크기들의 범위보다 작은 모든 피처들이 충전되도록 주위 온도에서 기화된 충전재의 각각 다른 포화도로 측정들이 수행된다. 측정들은 멀티 타겟 모델 기반 측정에서 결합되어, 축소된 파라미터 상관 관계 및 향상된 측정 성능을 가지고 하나 이상의 관심 대상 파라미터들의 값을 추정한다.In yet another aspect, measurements are performed with each different degree of saturation of the vaporized filler at ambient temperature such that all features smaller than the range of maximum feature sizes are filled. The measurements are combined in a multi-target model based measurement to estimate the value of one or more parameters of interest with reduced parameter correlation and improved measurement capabilities.

도 10은 기판(151) 상에 제조되는 반복적인 2차원 레지스트 격자 구조(152)를 가진 충전되지 않은 라인-스페이스 계측 타겟(150)을 도시한다. 격자 구조(152)는 7 나노미터의 공칭 최고 임계 치수(TCD; top critical dimension) 및 50 나노미터의 높이 H를 갖는다.FIG. 10 shows an unfilled line-space metrology target 150 having a repetitive two-dimensional resist grating structure 152 fabricated on a substrate 151. The grating structure 152 has a nominal top critical dimension (TCD) of 7 nanometers and a height H of 50 nanometers.

도 11은 충전된 라인-스페이스 계측 타겟(155)을 도시한다. 라인-스페이스 계측 타겟(155)은 기판(151) 상에 제조되는 동일한 반복적인 2차원 레지스트 격자 구조(152)를 포함하지만, 레지스트 격자 구조(152) 사이의 스페이스들은 충전재(153)로 충전된다. 이는, 일 예시에서, 약 70% 이상의 부분 압력으로 톨루엔을 포함하는 계측 타겟(155)으로의 가스 흐름(126)을 공급함으로써 달성될 수 있다. 또 다른 예시에서, 격자 구조(152)를 충전하는 것은 약 85% 이상의 부분 압력으로 물 또는 에탄올을 포함하는 계측 타겟(155)으로의 가스 흐름(126)을 공급함으로써 달성될 수 있다.FIG. 11 illustrates a filled line-space metrology target 155. The spacing between the resist lattice structures 152 is filled with the filler material 153 while the line-space metrology target 155 comprises the same repetitive two-dimensional resist lattice structure 152 fabricated on the substrate 151. This can be accomplished, in one example, by supplying a gas stream 126 to a metrology target 155 comprising toluene at a partial pressure of at least about 70%. In another example, filling the lattice structure 152 can be accomplished by supplying a gas stream 126 to a metrology target 155 that contains water or ethanol at a partial pressure of at least about 85%.

도 12a는 원통형 컨택 홀이 있는 최상위 계층을 포함하여 다수의 계층들을 포함하는 충전되지 않은 계측 타겟(156)을 도시한다. 도 12a에 도시된 바와 같이, 계측 타겟(156)은 제1 계층(166), 제2 계층(167), 제3 계층(168), 및 135 나노미터의 공칭 높이를 갖는 제4 계층(169)을 포함한다. 제4 계층은 10 나노미터의 공칭 직경을 가진 제4 계층을 관통하는 원통형 홀 피처(170)를 포함한다. 계측 타겟(165)의 구조는 40 나노미터의 공칭 너비 및 40 나노미터의 공칭 길이를 갖는다.12A shows an unfilled metrology target 156 comprising a plurality of layers including a top layer with a cylindrical contact hole. 12A, the metrology target 156 includes a first layer 166, a second layer 167, a third layer 168, and a fourth layer 169 having a nominal height of 135 nanometers, . The fourth layer includes a cylindrical hole feature 170 passing through a fourth layer having a nominal diameter of 10 nanometers. The structure of the metrology target 165 has a nominal width of 40 nanometers and a nominal length of 40 nanometers.

도 12b는 원통형 홀(170)이 상당한 양의 충전재(171)로 충전된 것을 제외하고는, 동일한 계측 타겟(156)을 포함하는 충전된 계측 타겟(157)을 도시한다. 이는, 일 예시에서, 약 85% 이상의 부분 압력으로 톨루엔을 포함하는 계측 타겟(156)으로의 가스 흐름(126)을 공급함으로써 달성될 수 있다. 또 다른 예시에서, 원통형 홀(170)의 충전은 약 95% 이상의 부분 압력으로 물 또는 에탄올을 포함하는 계측 타겟(155)으로의 가스 흐름(126)을 공급함으로써 달성될 수 있다.Figure 12B shows a filled metrology target 157 including the same metrology target 156 except that the cylindrical hole 170 is filled with a significant amount of filler 171. [ This can be accomplished, in one example, by supplying a gas stream 126 to a metrology target 156 comprising toluene at a partial pressure of at least about 85%. In another example, filling of the cylindrical hole 170 can be accomplished by supplying a gas stream 126 to a metrology target 155 that contains water or ethanol at a partial pressure of at least about 95%.

도 10 내지 도 12b에 도시된 계측 타겟들은 비제한적(non-limiting) 예시를 통해 제공된다. 일반적으로, 측정 장소(site)는 측정 시스템(예컨대, 도 1에 도시된 계측 시스템(100))에 의해 측정되는 하나 이상의 계측 타겟들을 포함한다. 일반적으로, 측정 데이터 수집은 전체 웨이퍼 또는 웨이퍼 영역의 서브세트에 걸쳐서 수행될 수 있다. 또한, 몇몇 실시예들에서, 계측 타겟들은 프로세스 파라미터들, 관심 대상 구조 파라미터들, 또는 양자 모두의 변화들에 대한 인쇄 적성(printability) 및 민감도(sensitivity)를 위하여 설계된다. 몇몇 예시들에서, 계측 타겟들은 전문화된 타겟들이다. 몇몇 실시예들에서, 계측 타겟들은 종래의 라인/스페이스 타겟들에 기초한다. 비제한적 예시로, CD 타겟, SCOL 타겟, 또는 미국 캘리포니아주 밀피타스의 KLA-Tencor Corporation에 의한 AiMTM 타겟이 채택될 수 있다. 몇몇 다른 실시예들에서, 계측 타겟들은 디바이스 유사 구조들이다. 몇몇 다른 예시들에서, 계측 타겟들은 디바이스 구조들 또는 디바이스 구조들의 일부분들이다. 채택되는 계측 타겟의 유형에 상관 없이, 분석되고 있는 프로세스 변화들, 구조적 변화들 또는 양자 모두에 대한 민감도를 나타내는 한 세트의 계측 타겟들은 이 문서에 서술된 바와 같이 모세관 응축에 의한 형태(shape) 충전을 이용하여 측정된다.The measurement targets shown in Figures 10 to 12B are provided through non-limiting examples. Generally, a site includes one or more metrology targets that are measured by a metrology system (e.g., metrology system 100 shown in FIG. 1). In general, the measurement data collection may be performed over the entire wafer or a subset of the wafer regions. Also, in some embodiments, metrology targets are designed for printability and sensitivity to process parameters, structural parameters of interest, or both. In some instances, the metrology targets are specialized targets. In some embodiments, the metrology targets are based on conventional line / space targets. As a non-limiting example, a CD target, a SCOL target, or an AiM TM target by KLA-Tencor Corporation of Milpitas, CA may be employed. In some other embodiments, the metrology targets are device-like structures. In some other examples, the metrology targets are part of device structures or device structures. Regardless of the type of metrology target employed, a set of metrology targets that exhibit sensitivity to both process changes, structural changes, or both being analyzed can be shaped fill by capillary condensation as described in this document .

또 다른 양상에서, 측정 데이터는 구조들이 충전되었을 때(즉, 이 문서에 서술된 바와 같이 모세관 응축의 영향을 받을 때)와 충전되지 않았을 때(즉, 모세관 응축의 영향을 받지 않을 때), 구조들(예컨대, CD 구조들, 오버레이 구조들 등)로부터 수집된다. 수집된 데이터는 측정 성능을 향상시키기 위해 멀티 타겟 모델 기반 측정에서 결합된다. 일 예시에서, 도 12a에 도시된 바와 같이 계측 타겟(156)이 충전되지 않았을 때 측정 데이터가 수집된다. 이러한 시나리오에서, 흐름으로 기화되는 충전재 없이 가스 흐름(126)이 계측 타겟(156)에 공급된다. 또한, 도 12b에 도시된 바와 같이 계측 타겟(156)이 충전되었을 때 측정 데이터가 수집된다. 이러한 시나리오에서, 도 12b에 관하여 서술된 바와 같이 원통형 홀(170)을 충전하기에 충분한 포화도의 충전재와 함께 가스 흐름(126)이 계측 타겟(156)에 공급된다. 수집된 데이터는 컴퓨팅 시스템(130)에 의해 수신된다. 컴퓨팅 시스템(130)은, 관심 대상 파라미터들의 값들을 추정하기 위해 멀티 타겟 모델을 포함한 측정 데이터 세트들 양자 모두를 이용하여 모델 기반 측정 분석을 수행한다. 몇몇 예시들에서, 이 문서에 서술된 멀티 타겟 모델은, 예컨대, 미국 캘리포니아주 밀피타스의 KLA-Tencor Corporation에 의한 AcuShape®을 구현하는 컴퓨팅 시스템에 의해 오프라인으로 구현된다. 결과적인 멀티 타겟 모델은 멀티 타겟 모델을 이용하여 측정들을 수행하는 계측 시스템에 의해 접근 가능한 AcuShape® 라이브러리의 일 요소로 통합된다.In another aspect, the measurement data indicates that when the structures are charged (i.e., when subjected to capillary condensation as described in this document) and not charged (i.e., when capillary condensation is not affected) (E.g., CD structures, overlay structures, etc.). The collected data are combined in multi-target model-based measurements to improve measurement performance. In one example, measurement data is collected when the metrology target 156 is not charged, as shown in Figure 12A. In this scenario, the gas flow 126 is supplied to the metrology target 156 without the filler being vaporized into the flow. Also, as shown in FIG. 12B, measurement data is collected when the measurement target 156 is charged. In this scenario, the gas flow 126 is supplied to the metrology target 156 with a filler of sufficient saturation to fill the cylindrical hole 170, as described with respect to Fig. 12B. The collected data is received by the computing system 130. The computing system 130 performs model-based measurement analysis using both measurement data sets, including multi-target models, to estimate values of the parameters of interest. In some examples, the multi-target model described in this document, for example, is implemented in off-line by the computing system implementing the AcuShape ® by KLA-Tencor Corporation of Milpitas, California. The resulting multi-target model is integrated into one component of the AcuShape ® library accessible by a metrology system that performs measurements using multi-target models.

도 13은 도 12a에 도시된 계측 타겟(156)에 관한 다수의 파라미터들에 대하여, 형태 충전이 있는 경우와 없는 경우의 수집 데이터를 이용하는 멀티 타겟 모델을 이용하여 획득된 측정 결과들과, 형태 충전이 없는 경우에 획득된 측정 결과들의 비교를 도시한다. 파라미터 L1_HT는 도 12a에 도시된 계측 타겟(156)의 제1 계층(166)의 높이를 지칭한다. L2_HT는 제2 계층(167)의 높이를 지칭한다. L3_HT는 제3 계층(168)의 높이를 지칭한다. G4_TCD는 원통형 홀(170)의 최고 임계 치수를 지칭한다. G4_BCD는 원통형 홀(170)의 최저 임계 치수를 지칭한다. G4_EL은 원통형 홀(170)의 타원율(ellipticity)을 지칭한다. 도 13에 도시된 바와 같이, L1_HT, L2_HT, L3_HT, G4_TCD, G4_BCD, 및 G4_EL 각각의 측정 정확도 개선은 측정 바(177A-F) 각각에 의해 나타나는 바와 같은 상당한 비율만큼 개선된다. 유사하게, L1_HT, L2_HT, L3_HT, G4_TCD, G4_BCD, 및 G4_EL 각각의 측정 상관 관계는 측정 바(178A-F) 각각에 의해 나타나는 바와 같은 상당한 비율 만큼 개선된다(즉, 축소된다).13 is a graph showing the relationship between the measurement results obtained using the multi-target model using the collected data with and without the shape fill, Lt; / RTI > shows the comparison of the measurement results obtained. The parameter L1_HT refers to the height of the first layer 166 of the metrology target 156 shown in FIG. 12A. L2_HT refers to the height of the second layer 167. L3_HT refers to the height of the third layer 168. G4_TCD refers to the highest critical dimension of the cylindrical hole 170. G4_BCD refers to the lowest critical dimension of the cylindrical hole 170. G4_EL refers to the ellipticity of the cylindrical hole 170. As shown in FIG. 13, the measurement accuracy improvement of each of L1_HT, L2_HT, L3_HT, G4_TCD, G4_BCD, and G4_EL is improved by a significant percentage as indicated by each of the measurement bars 177A-F. Similarly, the measurement correlation of each of L1_HT, L2_HT, L3_HT, G4_TCD, G4_BCD, and G4_EL is improved (ie, reduced) by a significant percentage as indicated by each of measurement bars 178A-F.

도 16은 충전되지 않은 상태 및 충전된 상태 양자 모두에서의 동일한 구조의 측정에 대한 분광 편광 해석 파라미터 α에 관한 플롯(220)을 도시한다. 플롯라인(221)은 구조들이 충전되지 않았을 때의 측정 시나리오에 대한 스펙트럼 결과를 도시한다. 플롯라인(222)은 구조들이 충전되었을 때의 측정 시나리오에 대한 스펙트럼 결과를 도시한다.FIG. 16 shows a plot 220 for the spectroscopic polarization analysis parameter a for measurements of the same structure in both uncharged and charged states. Plot line 221 shows the spectral results for the measurement scenario when the structures are not charged. Plot line 222 shows the spectral results for the measurement scenario when the structures were charged.

도 17은 도 16에 도시된 분광 편광 해석 측정치들 사이의 스펙트럼 차이에 관한 플롯(230)을 도시한다. 플롯라인(231)은 파라미터 α에 대한 측정 결과들 사이의 차이를 도시한다. 도 17에 도시된 바와 같이, 스펙트럼 차이들은 상당히 극적이다. 이러한 데이터 세트들은 상관 관계들을 끊고 측정 성능을 향상시키기 위해 멀티 타겟 분석에서 효과적으로 채택된다.FIG. 17 shows a plot 230 regarding the spectral difference between the spectroscopic polarization analysis measurements shown in FIG. Plot line 231 shows the difference between the measurement results for parameter a. As shown in Figure 17, the spectral differences are quite dramatic. These data sets are effectively employed in multi-target analysis to break correlations and improve measurement performance.

도 18은 충전되지 않은 상태 및 충전된 상태 양자 모두에서의 동일한 구조의 측정에 대한 분광 편광 해석 파라미터 β에 관한 플롯(240)을 도시한다. 플롯라인(241)은 구조들이 충전되지 않았을 때의 측정 시나리오에 대한 스펙트럼 결과를 도시한다. 플롯라인(242)은 구조들이 충전되었을 때의 측정 시나리오에 대한 스펙트럼 결과를 도시한다.FIG. 18 shows a plot 240 for the spectroscopic polarization analysis parameter β for measurements of the same structure in both the uncharged and charged states. Plot line 241 shows the spectral results for the measurement scenario when the structures were not charged. Plot line 242 shows the spectral results for the measurement scenario when the structures were charged.

도 19은 도 18에 도시된 분광 편광 해석 측정치들 사이의 스펙트럼 차이에 관한 플롯(250)을 도시한다. 플롯라인(251)은 파라미터 β에 대한 측정 결과들 사이의 차이를 도시한다. 도 19에 도시된 바와 같이, 스펙트럼 차이들은 상당히 극적이다. 또한, 이러한 데이터 세트들은 상관 관계들을 끊고 측정 성능을 향상시키기 위해 멀티 타겟 분석에서 효과적으로 채택된다.FIG. 19 shows a plot 250 for the spectral difference between the spectroscopic polarization analysis measurements shown in FIG. Plot line 251 shows the difference between the measurement results for parameter β. As shown in Figure 19, the spectral differences are quite dramatic. In addition, these data sets are effectively employed in multi-target analysis to break correlations and improve measurement performance.

또 다른 양상에서, 계측 타겟 구조들이 각각 다른 충전재 또는 다른 충전재들의 조합으로 충전되었을 때 계측 타겟 구조들로부터 측정 데이터의 각 세트가 수집되도록, 일련의 측정들이 수행된다. 수집된 데이터는 멀티 타겟 모델 기반 측정에서 결합되어 파라미터 상관 관계들을 축소시키고 측정 성능을 개선시킨다.In another aspect, a series of measurements are performed such that each set of measurement data is collected from the metrology target structures when the metrology target structures are each filled with a different filler or combination of different fillers. The collected data is combined in a multi-target model-based measurement to reduce parameter correlations and improve measurement performance.

또 다른 양상에서, 응축 프로세스가 정상 상태에 도달하였을 때 응축의 영향을 받는 계측 타겟으로부터 측정 데이터가 수집된다. 즉, 응축 프로세스에 의해 공급되는 충전의 양이 정상 상태에 도달한다.In another aspect, measurement data is collected from a metrology target that is subject to condensation when the condensation process reaches a steady state. That is, the amount of charge supplied by the condensation process reaches a steady state.

또 다른 양상에서, 응축 프로세스가 정상 상태에 도달하기 이전에 응축의 영향을 받는 계측 타겟으로부터 측정 데이터가 수집된다. 즉, 응축 프로세스에 의해 공급되는 충전의 양이 측정 시간 동안에 변화한다.In another aspect, measurement data is collected from a measurement target that is subject to condensation before the condensation process reaches a steady state. That is, the amount of charge supplied by the condensation process changes during the measurement time.

도 14는 모세관 응축의 영향을 받는 구조들의 측정을 수행하는 방법(200)을 나타낸다. 방법(200)은 본 발명의 도 1에 도시된 계측 시스템(100)과 같은 계측 시스템에 의한 구현에 적합하다. 일 양상에서, 방법(200)의 데이터 프로세싱 블럭들은 컴퓨팅 시스템(130) 또는 임의의 다른 범용 컴퓨팅 시스템에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수 있음을 알 수 있다. 계측 시스템(100)의 특정 스펙트럼 양상들이 제한들을 나타내지 않으며 단지 예시적인 것으로 해석되어야 함을 이 문서에서 알 수 있다.Figure 14 shows a method 200 for performing measurements of structures subject to capillary condensation. The method 200 is suitable for implementation by a metrology system such as the metrology system 100 shown in Figure 1 of the present invention. It will be appreciated that, in an aspect, the data processing blocks of the method 200 may be performed through a pre-programmed algorithm executed by the computing system 130 or any other general purpose computing system. It is noted in this document that certain spectral aspects of the metrology system 100 do not represent limitations and should be interpreted as illustrative only.

블럭(201)에서, 시료 상에 배치된 하나 이상의 구조적 요소에 제1 양(amount)의 조명 광이 공급된다.At block 201, a first amount of illumination light is provided to one or more structural elements disposed on the sample.

블럭(202)에서, 기상으로 제1 충전재를 포함하는 제1 가스 흐름이 하나 이상의 구조적 요소의 조명 동안에 하나 이상의 구조적 요소에 공급된다. 제1 충전재의 일부분은 액상으로 하나 이상의 구조적 요소 상에 응축된다. 제1 충전재의 일부분은 하나 이상의 구조적 요소의 하나 이상의 기하학적 피처들 사이의 공간의 적어도 일부분을 충전한다.At block 202, a first gas flow comprising a first filler in the vapor phase is supplied to one or more structural elements during illumination of one or more structural elements. A portion of the first filler is condensed on the at least one structural element in liquid phase. A portion of the first filler fills at least a portion of the space between the one or more geometric features of the one or more structural elements.

블럭(203)에서, 제1 양의 조명 광에 응답하여 하나 이상의 구조적 요소로부터 제1 양의 수집 광이 검출된다.At block 203, a first amount of collected light is detected from one or more structural elements in response to the first amount of illuminating light.

블럭(204)에서, 제1 양의 수집 광을 나타내는 제1 세트의 측정 신호들이 생성된다.At block 204, a first set of measurement signals representing a first amount of collected light is generated.

도 1에 도시된 실시예에서, 변화하는 양의 액체 충전재를 가진 가스 흐름의 영향을 받는 계측 타겟들에 관한 분광 편광 해석기 측정들이 수행된다. 그러나, 일반적으로, 이 문서에 서술된 방법 및 시스템에 따른 변화하는 양의 액체 충전재를 가진 가스 흐름의 영향을 받는 계측 타겟들에 관한 측정들을 수행하기 위해 임의의 적절한 계측 기술이 채택될 수 있다.In the embodiment shown in FIG. 1, spectroscopic polarimeter measurements are performed on measurement targets that are affected by a gas flow having a varying amount of liquid filler. However, in general, any suitable metering technique may be employed to perform measurements on metrology targets that are subject to a gas flow with varying amounts of liquid filler in accordance with the methods and systems described herein.

적절한 계측 기술들은, 그에 제한되는 것은 아니지만, 단일 파장, 다수 파장, 및 각 분해 구현예들을 포함하는 분광 편광 해석법 및 분광 반사 측정법과, 각 분해 및 편광 분해 구현예들을 포함하는 분광 산란 측정법, 산란 측정 오버레이, 빔 프로파일 반사 측정법, 및 빔 프로파일 편광 해석법을 포함하며, 이미징 오버레이, 암시야 및 명시야 패턴 웨이퍼 검사가 개별적으로 또는 임의의 조합으로 고려될 수 있다.Suitable metrology techniques include, but are not limited to, spectroscopic polarimetry and spectroscopic reflectometry, including single wavelength, multiple wavelength, and angular resolution implementations, spectroscopic scatterometry including angular and polarization resolution implementations, scatterometry Overlay, beam profile reflectometry, and beam profile polarization analysis, and the imaging overlay, darkfield and bright field pattern wafer inspection can be considered individually or in any combination.

일 예시에서, 충전된 구조들의 이미지들 및 충전되지 않은 상태의 동일한 구조들의 이미지들이 오버레이, 패터닝된 웨이퍼 결함들 등의 이미지 기반 측정에서 이용된다. 또 다른 예시에서, 충전된 구조들의 이미지들만이 오버레이, 패터닝된 웨이퍼 결함들 등의 이미지 기반 측정에서 이용된다. 이미징 오버레이 예시에서, AIM 타겟들 또는 박스-인-박스(box-in-box) 타겟들이 충전되고 측정되며 오버레이 오류들을 추정하기 위해 분석된다. 이러한 예시들에서, 관심 대상 파라미터들의 값들을 추정하기 위해 이미지 기반 분석이 채택된다.In one example, images of charged structures and images of the same structures in an uncharged state are used in image-based measurements such as overlay, patterned wafer defects, and the like. In another example, only images of filled structures are used in image-based measurements such as overlay, patterned wafer defects, and the like. In the imaging overlay example, AIM targets or box-in-box targets are charged and measured and analyzed to estimate overlay errors. In these examples, image-based analysis is employed to estimate the values of the parameters of interest.

일반적으로, 상술한 측정 기술들은 프로세스 파라미터들, 구조 파라미터들, 레이아웃 파라미터들, 분산 파라미터들, 또는 이들의 임의의 조합의 측정에 적용될 수 있다. 비제한적 예시로, 오버레이, 프로파일 기하학 파라미터들(예컨대, 임계 치수, 높이, 측벽 각도), 프로세스 파라미터들(예컨대, 리소그래피 포커스 및 리소그래피 도스(dose)), 분산 파라미터들, 레이아웃 파라미터들(예컨대, 피치 워크(pitch walk), 에지(edge) 배치 오류들), 막 두께, 조성 파라미터들, 또는 파라미터들의 임의의 조합이 상술한 기술들을 이용하여 측정될 수 있다.In general, the measurement techniques described above can be applied to the measurement of process parameters, structural parameters, layout parameters, dispersion parameters, or any combination thereof. (E.g., critical dimension, height, sidewall angle), process parameters (e.g., lithography focus and lithography dose), dispersion parameters, layout parameters (e.g., pitch Pitch walk, edge placement errors), film thickness, composition parameters, or any combination of parameters may be measured using the techniques described above.

비제한적 예시로, 형태 충전을 이용하여 측정된 구조들은 라인-스페이스 격자 구조들, FinFet 구조들, SRAM 디바이스 구조들, 플래시 메모리 구조들, 및 DRAM 메모리 구조들을 포함한다.By way of non-limiting example, the structures measured using shape filling include line-space lattice structures, FinFet structures, SRAM device structures, flash memory structures, and DRAM memory structures.

또 다른 추가 양상에서, 웨이퍼 상에 위치된 계측 타겟들은 설계 규칙 타겟들이다. 즉, 계측 타겟들은 기본 반도체 제조 프로세스에 적용 가능한 설계 규칙들을 준수한다. 몇몇 예시들에서, 계측 타겟들은 바람직하게는 활성 다이(die) 영역 내에 위치된다. 몇몇 예시들에서, 계측 타겟들은 15 마이크로미터 × 15 마이크로미터 또는 그보다 작은 치수를 갖는다. 몇몇 다른 예시들에서, 계측 타겟들은 스크라이브(scribe) 라인들에, 그렇지 않으면 활성 다이 영역의 바깥쪽에 위치된다.In yet another additional aspect, the metrology targets located on the wafer are design rule targets. That is, the metrology targets adhere to the design rules applicable to the basic semiconductor manufacturing process. In some instances, the metrology targets are preferably located within the active die area. In some instances, the metrology targets have dimensions of 15 micrometers by 15 micrometers or less. In some other examples, the metrology targets are located on scribe lines, otherwise outside the active die area.

몇몇 예시들에서, 모델 기반 측정들은 하나의 관심 대상 파라미터를 추정하기 위해 형태 충전을 이용하여 수행된다. 따라서, 관심 대상 파라미터와 관련된 측정 모델은 독립적으로 최적화된다. 각각의 관심 대상 파라미터를 개별적으로 측정함으로써, 계산 부담이 감소되고, 각각의 개별 파라미터에 대하여 최적화된 각각 다른 파장, 측정 서브시스템, 및 측정 방법을 선택함으로써 기본 측정 성능이 최대화될 수 있다. 또한, 관심 대상 파라미터 각각에 대하여, 각각 다른 모델 기반 측정 솔버들이 선택되거나 또는 각각 다르게 구성될 수 있다.In some instances, model-based measurements are performed using form fill to estimate a single parameter of interest. Thus, the measurement models associated with the parameters of interest are independently optimized. By separately measuring each of the parameters of interest, the computational burden is reduced and the basic measurement capability can be maximized by selecting each different wavelength, measurement subsystem, and measurement method optimized for each individual parameter. In addition, for each of the parameters of interest, different model-based measurement solvers may be selected or configured differently.

그러나, 몇몇 다른 예시들에서, 모델 기반 측정들은 다수의 관심 대상 파라미터들을 동시에 추정하기 위해 형태 충전을 이용하여 수행된다. 따라서, 측정 모델은 다수의 관심 대상 파라미터들을 얻도록 개발된다.However, in some other examples, model-based measurements are performed using form fill to simultaneously estimate a plurality of parameters of interest. Thus, a measurement model is developed to obtain a number of parameters of interest.

몇몇 예시들에서, 웨이퍼 상의 다수의 사이트들로부터 데이터가 수집될 수 있다 할지라도, 특정 측정 사이트에서 수행된 관심 대상 파라미터들의 측정은 오직 그 특정 측정 사이트로부터 수집된 데이터에만 의존한다. 몇몇 다른 예시들에서, 웨이퍼 또는 웨이퍼의 서브세트를 가로지르는 다수의 사이트들로부터 수집된 측정 데이터는 측정 분석을 위해 이용된다. 이는 웨이퍼를 가로질러 파라미터 변화들을 캡처하는 데에 바람직할 수 있다.In some instances, although data may be collected from multiple sites on a wafer, the measurement of the parameters of interest performed at a particular measurement site depends solely on the data collected from that particular measurement site. In some other examples, measurement data collected from a plurality of sites across a wafer or a subset of wafers is used for measurement analysis. This may be desirable for capturing parameter variations across the wafer.

몇몇 예시들에서, 관심 대상 파라미터들의 측정은, 단일 타겟 기술들, 다중 타겟 기술들, 및 스펙트럼 피드 포워드(feed forward) 기술들을 포함하는 다수의 다양한 측정 기술들을 이용하여 충전된 계측 타겟들에 기초하여 수행된다. 측정된 파라미터들의 정확도는 피드 사이드웨이 분석, 피드 포워드 분석, 및 병렬 분석의 임의의 조합에 의해 향상될 수 있다. 피드 사이드웨이 분석은 동일한 시료의 각각 다른 영역들 상의 다수의 데이터 세트들을 취하여 제1 데이터 세트로부터 결정된 공통 파라미터들을 분석을 위해 제2 데이터 세트 상으로 전달하는 것을 말한다. 피드 포워드 분석은 각각 다른 시료 상의 데이터 세트들을 취하여 단계적인 정확한 파라미터 복사 피드 포워드 접근법을 이용하여 후속하는 분석들에 공통 파라미터들을 포워딩하여 전달하는 것을 말한다. 병렬 분석은 피팅 동안에 적어도 하나의 공통 파라미터가 결합되는 다수의 데이터 세트들에 대한 비선형 피팅(fitting) 방법론의 병렬 또는 동시 적용을 말한다.In some instances, measurements of the parameters of interest may be based on charged measurement targets using a number of different measurement techniques including single target techniques, multiple target techniques, and spectral feed forward techniques . The accuracy of the measured parameters can be enhanced by any combination of feed-side-way analysis, feed-forward analysis, and parallel analysis. The feed-side-way analysis refers to taking multiple sets of data on different regions of the same sample and transferring common parameters determined from the first set of data onto a second set of data for analysis. Feedforward analysis refers to taking data sets on different samples and forwarding common parameters to subsequent analyzes using a stepwise accurate parametric copy feed forward approach. Parallel analysis refers to the parallel or concurrent application of a nonlinear fitting methodology to a plurality of data sets to which at least one common parameter is combined during fitting.

다수의 툴 및 구조 분석은 회귀(regression), 룩업 테이블(즉, "라이브러리" 매칭), 또는 다수의 데이터 세트들의 또 다른 피팅 절차에 기초한 피드 포워드, 피드 사이드웨이, 또는 병렬 분석을 말한다. 다수의 툴 및 구조 분석에 대한 예시적인 방법 및 시스템은 KLA-Tencor Corporation에 2009년 1월 13일에 등록된 미국 등록 특허 제7,478,019호에 서술되어 있으며, 이는 그 전부가 참조에 의해 이 문서에 병합된다.A number of tool and structure analyzes refer to feedforward, feed-side way, or parallel analysis based on regression, look-up tables (i.e., "library" matching), or another fitting procedure of multiple data sets. Exemplary methods and systems for analyzing multiple tools and structures are described in U.S. Patent No. 7,478,019, filed on January 13, 2009, by KLA-Tencor Corporation, which is incorporated herein by reference in its entirety do.

또 다른 양상에서, 이 문서에 서술된 바와 같이 획득된 측정 결과들은 프로세스 툴(예컨대, 리소그래피 툴, 에치 툴, 증착 툴 등)에 액티브 피드백을 제공하는 데에 이용될 수 있다. 예컨대, 이 문서에 서술된 방법 및 시스템을 이용하여 결정되는 임계 치수들의 값들은 원하는 출력을 달성하도록 리소그래피 시스템을 조정하기 위해 리소그래피 툴에 전달될 수 있다. 유사한 방식으로, 에칭 파라미터들(예컨대, 에칭 시간, 확산율 등) 또는 증착 파라미터들(예컨대, 시간, 농도 등)이 측정 모델에 포함되어 에치 툴들 또는 증착 툴들에 각각 액티브 피드백을 제공할 수 있다. 몇몇 예시에서, 측정된 디바이스 파라미터 값들에 기초하여 결정된 프로세스 파라미터들에 대한 정정은 리소그래피 툴, 에치 툴, 또는 증착 툴에 전달될 수 있다.In another aspect, the measurement results obtained as described herein can be used to provide active feedback to process tools (e.g., lithography tools, etch tools, deposition tools, etc.). For example, the values of the critical dimensions determined using the method and system described herein may be communicated to the lithography tool to adjust the lithography system to achieve the desired output. In a similar manner, etch parameters (e.g., etch time, diffusivity, etc.) or deposition parameters (e.g., time, concentration, etc.) may be included in the measurement model to provide active feedback to etch tools or deposition tools, respectively. In some examples, corrections to process parameters determined based on measured device parameter values may be communicated to a lithography tool, an etch tool, or a deposition tool.

본 발명 전체에 걸쳐서 서술되는 여러 단계들은 단일 컴퓨터 시스템(130), 다수의 컴퓨터 시스템(130), 또는 다수의 다양한 컴퓨터 시스템들(130)에 의해 수행될 수 있음을 알 수 있다. 또한, 분광 편광 해석기(101)와 같은 시스템(100)의 각각 다른 서브시스템들은 이 문서에 서술된 단계들 중 적어도 일부분을 수행하는 데에 적합한 컴퓨터 시스템을 포함할 수 있다. 따라서, 앞서의 서술 내용은 단지 예시일 뿐이며 본 발명에 대한 제한으로 해석되어서는 안된다. 또한, 컴퓨팅 시스템(130)은 이 문서에 서술된 방법 실시예들 중 어느 하나의 임의의 다른 단계(들)을 수행하도록 구성될 수 있다.It will be appreciated that the various steps described throughout the present invention may be performed by a single computer system 130, a plurality of computer systems 130, or a number of different computer systems 130. Also, each of the subsystems of the system 100, such as the spectroscopic polarimeter 101, may comprise a computer system suitable for performing at least a portion of the steps described in this document. Accordingly, the foregoing description is by way of example only and is not to be construed as a limitation on the invention. In addition, the computing system 130 may be configured to perform any other step (s) of any of the method embodiments described in this document.

컴퓨팅 시스템(130)은 그에 제한되는 것은 아니지만, 개인용 컴퓨터 시스템, 메임프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당해 기술 분야에서 알려진 임의의 다른 디바이스를 포함할 수 있다. 일반적으로, "컴퓨터 시스템"이라는 용어는 메모리 매체로부터의 명령들을 실행하는 하나 이상의 프로세서들을 포함하여, 임의의 디바이스 또는 디바이스들의 조합을 아우르도록 광범위하게 정의될 수 있다. 일반적으로, 컴퓨팅 시스템(130)은 측정 시스템(100)과 같은 측정 시스템과 통합되거나, 대안으로, 임의의 측정 시스템으로부터 전체적으로 또는 부분적으로 분리될 수 있다. 이러한 관점에서, 컴퓨팅 시스템(130)은 원격으로 위치하여 임의의 측정 소스로부터 측정 데이터를 수신하고 계측 시스템(100)의 임의의 요소에 명령 신호를 송신할 수 있다.Computing system 130 may include, but is not limited to, a personal computer system, a mainframe computer system, a workstation, an image computer, a parallel processor, or any other device known in the art. In general, the term " computer system " may be broadly defined to encompass any device or combination of devices, including one or more processors that execute instructions from a memory medium. In general, the computing system 130 may be integrated with a measurement system, such as the measurement system 100, or alternatively, in whole or in part, from any measurement system. In this regard, the computing system 130 may be remotely located to receive measurement data from any measurement source and transmit command signals to any element of the measurement system 100.

이 문서에 서술된 바와 같은 방법들을 구현하는 프로그램 명령들(134)은 와이어, 케이브, 또는 무선 송신 링크와 같은 송신 매체를 통해 송신될 수 있다. 프로그램 명령들(134)을 저장하는 메모리(132)는 읽기 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프와 같은 컴퓨터 판독 가능 매체를 포함할 수 있다.Program instructions 134 that implement methods such as those described herein may be transmitted via a transmission medium such as a wire, cave, or wireless transmission link. The memory 132 that stores the program instructions 134 may include a read only memory, a random access memory, a magnetic or optical disk, or a computer readable medium such as a magnetic tape.

또한, 컴퓨팅 시스템(130)은 당해 기술 분야에 알려진 임의의 방식으로 분광계(104) 또는 편광 해석기(101)의 조명기 서브시스템(102)에 통신 가능하게 결합될 수 있다.The computing system 130 may also be communicatively coupled to the illuminator subsystem 102 of the spectrometer 104 or the polarization analyzer 101 in any manner known in the art.

컴퓨팅 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수 있는 송신 매체를 통해 시스템의 서브시스템들(예컨대, 분광계(104), 조명기(102), 증기 주입 시스템(120) 등)로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 송신 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템들 사이의 데이터 링크의 역할을 할 수 있다. 또한, 컴퓨팅 시스템(130)은 저장 매체(즉, 메모리)를 통해 측정 데이터를 수신하도록 구성될 수 있다. 예컨대, 편광 해석기(101)의 분광계를 이용하여 획득된 스펙트럼 결과들은 영구적 또는 반영구적 메모리 디바이스(미도시)에 저장될 수 있다. 이와 관련하여, 스펙트럼 결과들은 외부 시스템으로부터 불러올 수 있다. 또한, 컴퓨터 시스템(130)은 송신 매체를 통해 외부 시스템들로부터 데이터를 수신할 수 있다.Computing system 130 may receive data or data from subsystems (e.g., spectrometer 104, illuminator 102, vapor injection system 120, etc.) of the system via a transmission medium that may include wired and / And may be configured to receive and / or obtain information. In this manner, the transmission medium may serve as a data link between the computer system 130 and other subsystems of the system 100. In addition, the computing system 130 may be configured to receive measurement data via a storage medium (i.e., memory). For example, the spectral results obtained using the spectrometer of the polarization analyzer 101 may be stored in a permanent or semi-permanent memory device (not shown). In this regard, the spectral results can be retrieved from an external system. In addition, the computer system 130 may receive data from external systems via a transmission medium.

컴퓨팅 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수 있는 송신 매체를 통해 시스템의 서브시스템들(예컨대, 분광계(104), 조명기(102), 증기 주입 시스템(120) 등)에 데이터 또는 정보를 송신하도록 구성될 수 있다. 이러한 방식으로, 송신 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템들 사이의 데이터 링크의 역할을 할 수 있다. 또한, 컴퓨팅 시스템(130)은 저장 매체(즉, 메모리)를 통해 명령 신호들 및 측정 결과들을 송신하도록 구성될 수 있다. 예컨대, 스펙트럼 데이터의 분석을 통해 획득된 측정 결과들(115)은 영구적 또는 반영구적 메모리 디바이스(미도시)에 저장될 수 있다. 이와 관련하여, 스펙트럼 결과들은 외부 시스템에 내보낼 수 있다. 또한, 컴퓨터 시스템(130)은 송신 매체를 통해 외부 시스템들에 데이터를 전송할 수 있다. 또한, 관심 대상 파라미터의 결정된 값들은 메모리에 저장된다. 예컨대, 그 값들은 측정 시스템(100)에 온 보드로, 예컨대 메모리(132)에 저장되거나, 외부 메모리 디바이스에 (예컨대, 출력 신호(115)를 통해) 전달될 수 있다.The computing system 130 may receive data or data from subsystems (e.g., spectrometer 104, illuminator 102, vapor injection system 120, etc.) of the system via a transmission medium, which may include wired and / May be configured to transmit information. In this manner, the transmission medium may serve as a data link between the computer system 130 and other subsystems of the system 100. In addition, the computing system 130 may be configured to transmit command signals and measurement results via a storage medium (i.e., memory). For example, measurement results 115 obtained through analysis of spectral data may be stored in a permanent or semi-permanent memory device (not shown). In this regard, the spectral results can be exported to an external system. In addition, the computer system 130 may transmit data to external systems via a transmission medium. Also, the determined values of the parameter of interest are stored in memory. For example, the values may be stored on-board to the measurement system 100, e.g., in the memory 132, or may be delivered to an external memory device (e.g., via the output signal 115).

이 문서에 서술된 바와 같은, "모세관 응축"이라는 용어는 기화된 충전재가 액체 형태로 측정 중인 구조들 상으로 증착되는 임의의 프로세스를 포함한다. 이는 흡수 및 임의의 다른 관련된 물리적 매커니즘을 포함한다. 이로써 충전재는 응축물 재료 또는 흡수물 재료라고 교대하여 지칭될 수 있다.The term " capillary condensation ", as described in this document, includes any process in which a vaporized filler is deposited onto structures being measured in liquid form. This includes absorption and any other related physical mechanisms. Whereby the filler may alternatively be referred to as a condensate material or an absorbent material.

이 문서에 서술된 바와 같은, "임계 치수"라는 용어는 구조의 임의의 임계 치수(예컨대, 하단 임계 치수, 중간 임계 치수, 상단 임계 치수, 측벽 각도, 격자 높이 등), 임의의 둘 이상의 구조들 사이의 임계 치수(예컨대, 두 개의 구조들 사이의 거리), 및 둘 이상의 구조들 사이의 변위(예컨대, 중첩되는 격자 구조들 사이의 오버레이 변위 등)를 포함한다. 구조들은 3차원 구조들, 패터닝된 구조들, 오버레이 구조들 등을 포함할 수 있다.The term " critical dimension ", as described herein, refers to any critical dimension of the structure (e.g., bottom critical dimension, medium critical dimension, top critical dimension, sidewall angle, (E.g., the distance between two structures), and a displacement between two or more structures (e.g., overlay displacements between overlapping lattice structures, etc.). The structures may include three-dimensional structures, patterned structures, overlay structures, and the like.

이 문서에 서술된 바와 같은, "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"이라는 용어는 임의의 임계 치수 측정을 포함한다.The term " critical dimension application " or " critical dimension measurement application ", as described herein, includes any critical dimension measurement.

이 문서에 서술된 바와 같은, "계측 시스템"이라는 용어는 임계 치수 계측, 오버레이 계측, 포커스/조사량 계측, 및 혼성 계측과 같은 측정 애플리케이션들을 포함하여, 임의의 측면에서 시료를 적어도 부분적으로 특성화하도록 채택된 임의의 시스템을 포함한다. 그러나, 그러한 해당 기술 분야의 용어들은 이 문서에 서술된 "계측 시스템"이라는 용어의 범주를 제한하지 않는다. 또한, 계측 시스템(100)은 패터닝된 웨이퍼들 및/또는 패터닝되지 않은 웨이퍼들의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 후면 검사 툴, 매크로 검사 툴, 또는 다중 모드 검사 툴(동시에 하나 이상의 플랫폼들로부터의 데이터를 포함함), 및 임계 치수 데이터에 기초한 시스템 파라미터들의 보정으로부터 이익을 얻는 임의의 다른 계측 또는 검사 툴과 같은 검사 툴로 구성될 수 있다. 본 특허 문서의 목적들에 대하여, "계측" 시스템 및 "검사" 시스템이라는 용어들은 동의어들이다.The term " metrology system ", as described herein, is adapted to at least partially characterize a sample in any aspect, including measurement applications such as critical dimension measurements, overlay metrology, focus / ≪ / RTI > However, such technical field terms do not limit the scope of the term " metrology system " described in this document. In addition, the metrology system 100 may be configured for measurement of patterned wafers and / or non-patterned wafers. The metrology system can be used to optimize system parameters based on critical dimension data based on LED inspection tools, edge inspection tools, back inspection tools, macro inspection tools, or multi-mode inspection tools (including data from one or more platforms at the same time) Such as any other metrology or inspection tool that obtains a desired measurement value. For purposes of this patent document, the terms "metrology" and "inspection" systems are synonyms.

시료를 프로세싱하는 데에 이용될 수 있는 반도체 프로세싱 시스템(예컨대, 검사 시스템 또는 리소그래피 시스템)에 대한 여러 실시예들이 이 문서에서 서술된다. "시료"이라는 용어는 웨이퍼, 레티클, 또는 당해 기술 분야에서 알려진 수단에 의해 프로세싱될 수 있는(예컨대, 인쇄되거나 결함에 대하여 검사될 수 있는) 임의의 다른 샘플을 지칭하기 위해 이 문서에서 사용된다.Various embodiments of a semiconductor processing system (e.g., inspection system or lithography system) that may be used to process a sample are described in this document. The term " sample " is used in this document to refer to a wafer, a reticle, or any other sample that can be processed (e.g., printed or checked for defects) by means known in the art.

이 문서에서 이용되는, "웨이퍼"라는 용어는 일반적으로 반도체 또는 비반도체 재료로 형성된 기판들을 지칭한다. 예시들은, 그에 제한되는 것은 아니지만, 단결정 실리콘, 갈륨 비소, 및 인화 인듐을 포함한다. 그러한 기판들은 반도체 제조 설비에서 흔히 발견 및/또는 프로세싱될 수 있다. 몇몇 경우들에서, 웨이퍼는 오직 기판만을 포함할 수 있다(즉, 베어(bare) 웨이퍼). 대안으로, 웨이퍼는 기판 상에 형성된 각각 다른 재료들의 하나 이상의 계층들을 포함할 수 있다. 웨이퍼 상에 형성된 하나 이상의 계층들은 "패터닝되거나" "패터닝되지 않을 수 있다". 예컨대, 웨이퍼는 반복적 패턴 피처들을 가진 복수의 다이(die)들을 포함할 수 있다.As used herein, the term " wafer " refers generally to substrates formed of semiconductor or non-semiconductor materials. Examples include, but are not limited to, single crystal silicon, gallium arsenide, and indium phosphide. Such substrates can often be found and / or processed in semiconductor manufacturing facilities. In some cases, the wafer may contain only a substrate (i.e., a bare wafer). Alternatively, the wafer may comprise one or more layers of different materials formed on the substrate. One or more layers formed on the wafer may be " patterned " or " unpatterned ". For example, the wafer may comprise a plurality of dies with repeating pattern features.

"레티클"은 레티클 제조 프로세스의 임의의 단계에서의 레티클이거나, 반도체 제조 설비에서의 이용을 위해 릴리스(release)되거나 릴리스되지 않을 수 있는 완전한 레티클일 수 있다. 레티클 또는 "마스크"는 대체로 불투명한 영역들이 그 위에 형성되어 있으며 패턴으로 구성되어 있는 대체로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예컨대, 비정질 SiO2와 같은 유리 재료를 포함할 수 있다. 레티클은, 레티클 상의 패턴이 레지스트로 옮겨질 수 있도록 리소그래피 프로세스의 노출 단계 동안에 레지스트가 덮여 있는 웨이퍼 위에 배치될 수 있다.A " reticle " may be a reticle at any stage of the reticle manufacturing process, or it may be a complete reticle that may or may not be released for use in a semiconductor manufacturing facility. A reticle or " mask " is generally defined as a generally transparent substrate having opaque regions formed thereon and composed of a pattern. The substrate, for example, may include a glass material such as amorphous SiO 2. The reticle may be placed on a wafer over which the resist is covered during the exposure step of the lithographic process so that the pattern on the reticle can be transferred to the resist.

웨이퍼 상에 형성된 하나 이상의 계층들은 패터닝되어 있거나 패터닝되어 있지 않을 수 있다. 예컨대, 웨이퍼는 각각 반복적 패턴 피처들을 가지고 있는 복수의 다이들을 포함할 수 있다. 그러한 재료 계층들의 형성 및 프로세싱은 궁극적으로 완전한 디바이스들을 야기할 수 있다. 여러 다양한 유형의 디바이스들이 웨이퍼 상에 형성될 수 있으며, 이 문서에서 사용되는 웨이퍼라는 용어는 당해 기술 분야에서 알려진 임의의 유형의 디바이스가 그 위에 제조되어 있는 웨이퍼를 포괄한다.One or more layers formed on the wafer may be patterned or not patterned. For example, the wafer may comprise a plurality of dice each having repeating pattern features. The formation and processing of such material layers may ultimately lead to complete devices. A variety of different types of devices can be formed on a wafer, and the term wafer used in this document encompasses wafers on which any type of device known in the art is fabricated.

하나 이상의 예시적인 실시예들에서, 서술된 함수(function)들은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현될 경우, 함수들은 컴퓨터 판독 가능 매체 상의 하나 이상의 명령들 또는 코드로서 그에 저장되거나 그를 통해 송신될 수 있다. 컴퓨터 판독 가능 매체은 컴퓨터 저장 매체, 및 한 곳으로 부터 다른 곳으로의 컴퓨터 프로그램의 이동을 가능하게 하는 임의의 매체를 포함하는 통신 매체 양자 모두를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체들일 수 있다. 제한이 아닌 예시로서, 그러한 컴퓨터 판독 가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장소, 자기 디스크 저장소 또는 다른 자기 저장소 디바이스들, 또는 원하는 프로그램 코드 수단을 명령 또는 데이터 구조의 형태로 전달 또는 저장하는 데에 이용될 수 있고 범용 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 다른 매체, 또는 범용 또는 특수 목적 프로세서를 포함할 수 있다. 또한, 임의의 연결이 컴퓨터 판독 가능 매체라고 적절하게 지칭될 수 있다. 예컨대, 동축 케이블, 광섬유 케이블, 트위스트페어, 디지털 가입자 회선(DSL; digital subscriber line), 또는 적외선, 라디오, 또는 마이크로웨이브와 같은 무선 기술들을 이용하여 소프트웨어가 웹사이트, 서버, 또는 다른 원격 소스로부터 송신될 경우, 동축 케이블, 광섬유 케이블, 트위스트페어, DSL, 또는 적외선, 라디오, 또는 마이크로웨이브와 같은 무선 기술들은 매체의 정의 내에 포함된다. 이 문서에서 이용되는 디스크(disk) 및 디스크(disc)는 컴팩트 디스크(CD; compact disc), 레이저 디스크(disc), 광학 디스크(disc), 디지털 다기능 디스크(DVD; digital versatile disc), 플로피 디스크(disk), 및 블루레이 디스크(disc)를 포함하며, 여기에서 디스크(disk)는 보통 자기적으로 데이터를 재생하는 한편, 디스크(disc)는 레이저를 이용하여 광학적으로 데이터를 재생한다. 상기의 조합들 또한 컴퓨터 판독 가능 매체의 범위 내에 또한 포함되어야 한다.In one or more exemplary embodiments, the described functions may be implemented in hardware, software, firmware, or any combination thereof. When implemented in software, the functions may be stored on or transmitted via one or more instructions or code on a computer readable medium. Computer-readable media includes both computer storage media and communication media including any medium that enables movement of a computer program from one location to another. The storage medium may be any available media that can be accessed by a general purpose computer or special purpose computer. By way of example, and not limitation, such computer-readable media can comprise a computer-readable medium such as RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, Or any other medium that can be used to store, transmit, or store information and which can be accessed by a general purpose or special purpose computer, or a general purpose or special purpose processor. Also, any connection may be suitably referred to as a computer-readable medium. For example, using wireless technologies such as coaxial cable, fiber optic cable, twisted pair, digital subscriber line (DSL), or infrared, radio, or microwave, software may be transmitted from a website, server, Wireless technologies such as coaxial cable, fiber optic cable, twisted pair, DSL, or infrared, radio, or microwave are included within the definition of the medium. Discs and discs used in this document may be in the form of a compact disc (CD), a laser disc, an optical disc, a digital versatile disc (DVD), a floppy disc disk, and a Blu-ray disc, where the disc usually reproduces data magnetically, while discs reproduce data optically using a laser. Combinations of the above should also be included within the scope of computer readable media.

일정한 특정 실시예들이 설명의 목적을 위해 서술되긴 하였지만, 본 특허 문서의 내용은 일반적인 적용 가능성을 가지며 상술한 특정 실시예들로 제한되지 않는다. 따라서, 서술된 실시예들의 여러 특징들에 관한 다양한 변형, 적응, 및 조합들이 청구범위에서 제시되는 본 발명의 범주로부터 벗어나지 않으면서 실행될 수 있다.Although certain specific embodiments have been described for purposes of illustration, the contents of this patent document are of general applicability and are not limited to the specific embodiments described above. Accordingly, various modifications, adaptations, and combinations of the various features of the described embodiments may be made without departing from the scope of the invention as set forth in the claims.

Claims (28)

측정 시스템에 있어서,
시료(specimen) 상에 배치된 하나 이상의 구조적 요소에 제1 양(amount)의 조명 광을 공급하도록 구성된 조명 소스;
상기 하나 이상의 구조적 요소의 조명 동안에 상기 하나 이상의 구조적 요소에 기상(vapor phase)으로 제1 충전재를 포함하는 제1 가스 흐름을 공급하도록 구성된 증기 주입 시스템으로서, 상기 제1 충전재의 일부분은 액상으로 상기 하나 이상의 구조적 요소 상에 응축되는 것이고, 상기 제1 충전재의 일부분은 상기 하나 이상의 구조적 요소의 하나 이상의 기하학적 피처 사이의 공간의 적어도 일부분을 충전하는 것인, 상기 증기 주입 시스템; 및
상기 제1 양의 조명 광에 응답하여 상기 하나 이상의 구조적 요소로부터 제1 양의 수집 광을 수신하고 상기 제1 양의 수집 광을 나타내는 제1 세트의 측정 신호를 생성하도록 구성된 검출기를 포함하는, 측정 시스템.
In a measurement system,
An illumination source configured to supply a first amount of illumination light to one or more structural elements disposed on a specimen;
A vapor injection system configured to supply a first gas flow comprising a first filler in a vapor phase to the at least one structural element during illumination of the at least one structural element, Wherein at least a portion of the first filler fills at least a portion of the space between the at least one geometric feature of the at least one structural element. And
And a detector configured to receive a first amount of collection light from the one or more structural elements in response to the first amount of illumination light and to generate a first set of measurement signals representative of the first amount of collection light, system.
제1항에 있어서,
상기 증기 주입 시스템은 상기 하나 이상의 구조적 요소의 조명 동안에 상기 하나 이상의 구조적 요소에 기상으로 제2 충전재를 포함하는 제2 가스 흐름을 공급하도록 또한 구성된 것이고, 상기 제2 충전재의 일부분은 액상으로 상기 하나 이상의 구조적 요소 상에 응축되는 것이며, 상기 제2 충전재의 일부분은 상기 하나 이상의 구조적 요소의 하나 이상의 기하학적 피처 사이의 공간의 적어도 일부분을 충전하는 것인, 측정 시스템.
The method according to claim 1,
Wherein the vapor injection system is further configured to supply a second gas flow in a vapor phase to the at least one structural element during illumination of the at least one structural element, wherein a portion of the second filler is in liquid phase, Wherein a portion of the second filler fills at least a portion of the space between the at least one geometric feature of the at least one structural element.
제1항에 있어서,
상기 하나 이상의 구조적 요소의 제1 측정과 관련된 상기 제1 세트의 측정 신호를 수신하도록; 그리고
상기 제1 세트의 측정 신호에 적어도 부분적으로 기초하여 상기 하나 이상의 구조적 요소의 관심 대상 파라미터의 값을 추정하도록
구성된 컴퓨팅 시스템을 더 포함하는, 측정 시스템.
The method according to claim 1,
Receive the first set of measurement signals associated with a first measurement of the one or more structural elements; And
To estimate a value of a parameter of interest of the one or more structural elements based at least in part on the first set of measurement signals
Wherein the system further comprises a configured computing system.
제1항에 있어서,
상기 조명 소스는 상기 시료 상에 배치된 상기 하나 이상의 구조적 요소에 제2 양의 조명 광을 공급하도록 또한 구성된 것이고, 상기 증기 주입 시스템은 상기 제1 가스 흐름과는 상이한 부분 압력으로 상기 제1 충전재를 포함하는 제2 가스 흐름을 공급하도록 또한 구성된 것이며, 상기 검출기는 상기 제2 양의 조명 광에 응답하여 상기 하나 이상의 구조적 요소로부터 제2 양의 수집 광을 수신하고 상기 제2 양의 수집 광을 나타내는 제2 세트의 측정 신호를 생성하도록 또한 구성된 것인, 측정 시스템.
The method according to claim 1,
Wherein the illumination source is further configured to supply a second amount of illumination light to the one or more structural elements disposed on the sample, wherein the vapor injection system is configured to dispense the first filler at a partial pressure different than the first gas flow Wherein the detector is configured to receive a second amount of collected light from the one or more structural elements in response to the second amount of illuminated light and to receive the second amount of collected light in response to the second amount of illuminated light, And to generate a second set of measurement signals.
제4항에 있어서,
상기 하나 이상의 구조적 요소의 제1 측정과 관련된 상기 제1 세트의 측정 신호를 수신하도록;
상기 하나 이상의 구조적 요소의 제2 측정과 관련된 상기 제2 세트의 측정 신호를 수신하도록; 그리고
상기 제1 세트 및 제2 세트의 측정 신호와 멀티 타겟 측정 모델에 적어도 부분적으로 기초하여 상기 하나 이상의 구조적 요소의 관심 대상 파라미터의 값을 추정하도록
구성된 컴퓨팅 시스템을 더 포함하는, 측정 시스템.
5. The method of claim 4,
Receive the first set of measurement signals associated with a first measurement of the one or more structural elements;
Receive the second set of measurement signals associated with a second measurement of the one or more structural elements; And
Target values of the one or more structural elements based at least in part on the first set and the second set of measurement signals and the multi-target measurement model
Wherein the system further comprises a configured computing system.
제4항에 있어서,
상기 제2 가스 흐름의 상기 제1 충전재의 부분 압력은 대략 0(zero)인 것인, 측정 시스템.
5. The method of claim 4,
Wherein the partial pressure of the first filler of the second gas flow is approximately zero.
제1항에 있어서,
상기 측정 시스템은, 분광 편광 해석기(spectroscopic ellipsometer), 분광 반사계(spectroscopic reflectometer), 각 분해(angle resolved) 반사계, 암시야 검사 시스템, 명시야 검사 시스템, 및 이미징 오버레이 측정 시스템 중 어느 것로서 구성되는 것인, 측정 시스템.
The method according to claim 1,
The measurement system may be configured as either a spectroscopic ellipsometer, a spectroscopic reflectometer, an angle resolved reflectometer, a dark field inspection system, a bright field inspection system, and an imaging overlay measurement system. .
제1항에 있어서,
상기 제1 양의 조명 광은 100 나노미터 내지 2,500 나노미터의 조명 파장을 포함하는 광대역 광인 것인, 측정 시스템.
The method according to claim 1,
Wherein the first amount of illumination light is broadband light comprising an illumination wavelength of 100 nanometers to 2,500 nanometers.
제1항에 있어서,
상기 시료의 온도는 상기 제1 가스 흐름에 기화된 상기 제1 충전재의 온도와 대략적으로 동일한 온도인 것인, 측정 시스템.
The method according to claim 1,
Wherein the temperature of the sample is approximately the same as the temperature of the first filler vaporized in the first gas flow.
제1항에 있어서,
상기 증기 주입 시스템은 제1 흐름의 불포화 퍼지(purge) 가스를, 기상으로 제1 충전재로 포화된 제2 흐름의 퍼지 가스와 혼합하여 제1 가스 흐름을 공급하는 것인, 측정 시스템.
The method according to claim 1,
Wherein the vapor injection system mixes an unsaturated purge gas of a first flow with a purge gas of a second flow saturated with a first filler in a vapor phase to provide a first gas flow.
제10항에 있어서,
상기 증기 주입 시스템은 불포화 퍼지 가스 흐름과 기상으로 제1 충전재로 포화된 퍼지 가스 흐름의 비율을 변경함으로써 상기 제1 가스 흐름의 제1 충전재의 부분 압력을 조절하는 것인, 측정 시스템.
11. The method of claim 10,
Wherein the vapor injection system adjusts the partial pressure of the first filler of the first gas flow by changing the ratio of the unsaturated purge gas flow and the purge gas flow saturated with the first filler in the vapor phase.
제10항에 있어서, 상기 증기 주입 시스템은,
액상으로 상기 제1 충전재를 포함하는 버블러(bubbler)로서, 상기 액상 충전재의 일부분은 상기 제2 흐름의 퍼지 가스로 기화하여 기상으로 상기 제1 충전재로 상기 제2 흐름의 퍼지 가스를 포화시키는 것인, 상기 버블러를 포함하는 것인, 측정 시스템.
11. The system of claim 10,
A bubbler comprising a first filler in liquid phase, wherein a portion of the liquid filler is vaporized with a purge gas of the second flow to saturate the purge gas of the second flow with the first filler in a gaseous phase And the bubbler.
제1항에 있어서,
상기 충전재는 물, 에탄올, 톨루엔, 이소프로필 알코올, 메탄올, 및 벤젠 중 어느 것인 것인, 측정 시스템.
The method according to claim 1,
Wherein the filler is water, ethanol, toluene, isopropyl alcohol, methanol, and benzene.
제1항에 있어서,
상기 제1 충전재는 상기 제1 양의 조명 광에 응답하여 형광 발광을 나타내는 것인, 측정 시스템.
The method according to claim 1,
Wherein the first filler exhibits fluorescence emission in response to the first amount of illumination light.
제3항에 있어서,
상기 관심 대상 파라미터의 값을 추정하는 것은 모델 기반 회귀(regression), 모델 기반 라이브러리 검색, 모델 기반 라이브러리 회귀, 이미지 기반 분석, 및 신호 응답 계측 모델 중 어느 것을 포함하는 것인, 측정 시스템.
The method of claim 3,
Wherein estimating the value of the parameter of interest comprises any of model-based regression, model-based library search, model-based library regression, image-based analysis, and signal response metrology model.
측정 시스템이 있어서,
시료 상에 배치된 하나 이상의 구조적 요소에 상당한 양의(an amount of) 조명광을 공급하도록 구성된 조명 소스;
증기 주입 시스템으로서,
제1 흐름의 퍼지 가스의 흐름 속도를 조절하는 제1 질량(mass) 흐름 제어기,
제2 흐름의 퍼지 가스의 흐름 속도를 조절하는 제2 질량 흐름 제어기, 및
액상으로 제1 충전재를 포함하는 버블러로서, 상기 제2 흐름의 퍼지 가스는 상기 버블러를 통과하고 상기 액상 충전재의 일부분은 상기 제2 흐름의 퍼지 가스로 기화하여 기상으로 상기 제1 충전재로 상기 제2 흐름의 퍼지 가스를 포화시키는 것이고, 상기 제1 흐름의 퍼지 가스 및 상기 제1 충전재로 포화된 상기 제2 흐름의 퍼지 가스는 결합되어 상기 하나 이상의 구조적 요소의 조명 동안에 상기 시료 상에 배치된 상기 하나 이상의 구조적 요소에 공급되는 가스 흐름을 형성하는 것인, 상기 버블러를 포함하는, 상기 증기 주입 시스템; 및
제1 양(amount)의 조명 광에 응답하여 상기 하나 이상의 구조적 요소로부터 제1 양의 수집 광을 수신하고 상기 제1 양의 수집 광을 나타내는 제1 세트의 측정 신호를 생성하도록 구성된 검출기를 포함하는, 측정 시스템.
There is a measuring system,
An illumination source configured to supply an amount of illumination light to one or more structural elements disposed on a sample;
As a steam injection system,
A first mass flow controller for regulating the flow rate of the purge gas in the first flow,
A second mass flow controller for regulating the flow rate of the purge gas in the second flow, and
Wherein the purge gas of the second flow passes through the bubbler and a portion of the liquid filler is vaporized by the purge gas of the second flow to vaporize the purge gas of the second flow into the first filler Wherein the purge gas of the first flow and the purge gas of the second flow saturated with the first filler are combined so as to saturate the purge gas of the second flow, Said bubbler comprising a bubbler, said bubbler forming a gas flow to be supplied to said at least one structural element; And
And a detector configured to receive a first amount of collected light from the one or more structural elements in response to a first amount of illumination light and generate a first set of measured signals indicative of the first amount of collected light , Measurement system.
제16항에 있어서,
상기 제1 질량 흐름 제어기가 상기 제1 흐름의 퍼지 가스의 흐름 속도를 조절하도록 하는 상기 제1 질량 흐름 제어기로의 제1 명령 신호를 전달하도록; 그리고
상기 제1 흐름의 퍼지 가스의 흐름 속도와 상기 제2 흐름의 퍼지 가스의 흐름 속도의 비율이 상기 가스 흐름의 상기 제1 충전재의 원하는 부분 압력을 달성하기 위해 조절되도록, 상기 제2 질량 흐름 제어기가 상기 제2 흐름의 퍼지 가스의 흐름 속도를 조절하도록 하는 상기 제2 질량 흐름 제어기로의 제2 명령 신호를 전달하도록
구성된 컴퓨팅 시스템을 더 포함하는, 측정 시스템.
17. The method of claim 16,
To deliver a first command signal to the first mass flow controller to cause the first mass flow controller to regulate the flow rate of the purge gas of the first flow; And
Such that the ratio of the flow rate of the purge gas of the first flow to the flow rate of the purge gas of the second flow is adjusted to achieve a desired partial pressure of the first filler of the gas flow, To deliver a second command signal to the second mass flow controller to adjust the flow rate of the purge gas in the second flow
Wherein the system further comprises a configured computing system.
방법에 있어서,
시료 상에 배치된 하나 이상의 구조적 요소에 제1 양(amount)의 조명 광을 공급하는 단계;
상기 하나 이상의 구조적 요소의 조명 동안에 상기 하나 이상의 구조적 요소에 기상으로 제1 충전재를 포함하는 제1 가스 흐름을 공급하는 단계로서, 상기 제1 충전재의 일부분은 액상으로 상기 하나 이상의 구조적 요소 상에 응축되는 것이고, 상기 제1 충전재의 일부분은 상기 하나 이상의 구조적 요소의 하나 이상의 기하학적 피처 사이의 공간의 적어도 일부분을 충전하는 것인, 상기 제1 가스 흐름을 공급하는 단계;
상기 제1 양의 조명 광에 응답하여 상기 하나 이상의 구조적 요소로부터 제1 양의 수집 광을 검출하는 단계; 및
상기 제1 양의 수집 광을 나타내는 제1 세트의 측정 신호를 생성하는 단계를 포함하는, 방법.
In the method,
Providing a first amount of illumination light to one or more structural elements disposed on a sample;
Providing a first gas flow comprising a first filler in a vapor phase to the at least one structural element during illumination of the at least one structural element, wherein a portion of the first filler is condensed on the at least one structural element in liquid phase The portion of the first filler filling at least a portion of the space between the at least one geometric feature of the at least one structural element;
Detecting a first amount of collected light from the one or more structural elements in response to the first amount of illuminating light; And
Generating a first set of measurement signals representative of the first amount of collected light.
제18항에 있어서,
상기 하나 이상의 구조적 요소의 조명 동안에 상기 하나 이상의 구조적 요소에 기상으로 제2 충전재를 포함하는 제2 가스 흐름을 공급하는 단계로서, 상기 제2 충전재의 일부분은 액상으로 상기 하나 이상의 구조적 요소 상에 응축되는 것이고, 상기 제2 충전재의 일부분은 상기 하나 이상의 구조적 요소의 하나 이상의 기하학적 피처 사이의 공간의 적어도 일부분을 충전하는 것인, 상기 제2 가스 흐름을 공급하는 단계를 더 포함하는, 방법.
19. The method of claim 18,
Providing a second gas flow comprising a second filler in a vapor phase to the at least one structural element during illumination of the at least one structural element, wherein a portion of the second filler is condensed on the at least one structural element in liquid phase And wherein the portion of the second filler fills at least a portion of the space between the at least one geometric feature of the at least one structural element.
제18항에 있어서,
상기 시료 상에 배치된 상기 하나 이상의 구조적 요소에 제2 양의 조명 광을 공급하는 단계;
상기 제1 가스 흐름과는 상이한 부분 압력으로 상기 제1 충전재를 포함하는 제2 가스 흐름을 공급하는 단계;
상기 제2 양의 조명 광에 응답하여 상기 하나 이상의 구조적 요소로부터 제2 양의 수집 광을 검출하는 단계; 및
상기 제2 양의 수집 광을 나타내는 제2 세트의 측정 신호를 생성하는 단계를 더 포함하는, 방법.
19. The method of claim 18,
Supplying a second quantity of illumination light to the one or more structural elements disposed on the sample;
Providing a second gas flow comprising the first filler at a partial pressure different from the first gas flow;
Detecting a second amount of collected light from the one or more structural elements in response to the second amount of illumination light; And
And generating a second set of measurement signals representative of the second amount of collected light.
제20항에 있어서,
상기 제1 세트 및 제2 세트의 측정 신호에 적어도 부분적으로 기초하여 상기 하나 이상의 구조적 요소의 관심 대상 파라미터의 값을 추정하는 단계를 더 포함하는, 방법.
21. The method of claim 20,
Further comprising estimating a value of a parameter of interest of the one or more structural elements based at least in part on the first set and the second set of measurement signals.
제21항에 있어서,
상기 관심 대상 파라미터의 값을 추정하는 단계는, 모델 기반 회귀(regression), 모델 기반 라이브러리 검색, 모델 기반 라이브러리 회귀, 이미지 기반 분석, 및 신호 응답 계측 모델 중 어느 것을 포함하는 것인, 방법.
22. The method of claim 21,
Wherein estimating the value of the parameter of interest comprises any of model-based regression, model-based library search, model-based library regression, image-based analysis, and signal response metrology model.
제18항에 있어서,
상기 시료의 온도는 상기 제1 가스 흐름에 기화된 상기 제1 충전재의 온도와 대략적으로 동일한 온도인 것인, 방법.
19. The method of claim 18,
Wherein the temperature of the sample is approximately the same as the temperature of the first filler vaporized in the first gas flow.
제18항에 있어서,
제1 가스 흐름을 공급하는 단계는 제1 흐름의 불포화 퍼지(purge) 가스를 기상으로 제1 충전재로 포화된 제2 흐름의 퍼지 가스와 혼합하는 단계를 포함하는 것인, 방법.
19. The method of claim 18,
Wherein supplying the first gas stream comprises mixing an unsaturated purge gas of the first stream with a purge gas of the second stream saturated with the first filler in a vapor phase.
제24항에 있어서,
불포화 퍼지 가스 흐름과 기상으로 제1 충전재로 포화된 퍼지 가스 흐름의 비율을 변경함으로써 상기 제1 가스 흐름의 제1 충전재의 부분 압력을 조절하는 단계를 더 포함하는, 방법.
25. The method of claim 24,
Further comprising adjusting the partial pressure of the first filler of the first gas flow by changing the ratio of the unsaturated purge gas flow and the purge gas flow saturated with the first filler to the vapor phase.
제18항에 있어서,
상기 충전재는 물, 에탄올, 톨루엔, 이소프로필 알코올, 메탄올, 및 벤젠 중 어느 것인 것인, 방법.
19. The method of claim 18,
Wherein the filler is water, ethanol, toluene, isopropyl alcohol, methanol, and benzene.
제18항에 있어서,
상기 제1 충전재는 상기 제1 양의 조명 광에 응답하여 형광 발광을 나타내는 것인, 방법.
19. The method of claim 18,
Wherein the first filler exhibits fluorescence emission in response to the first amount of illumination light.
제18항에 있어서,
원하는 최대 피처 크기 이하의 하나 이상의 기하학적 피처 사이의 임의의 공간이 충전되도록, 상기 제1 가스 흐름의 상기 제1 충전재의 포화도를 조절하는 단계를 더 포함하는, 방법.
19. The method of claim 18,
Further comprising adjusting the degree of saturation of the first filler in the first gas flow such that any space between one or more geometric features below the desired maximum feature size is filled.
KR1020187034562A 2016-05-02 2017-04-28 Measurement of semiconductor structures using capillary condensation KR102219787B1 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662330751P 2016-05-02 2016-05-02
US62/330,751 2016-05-02
US15/204,938 US10281263B2 (en) 2016-05-02 2016-07-07 Critical dimension measurements with gaseous adsorption
US15/204,938 2016-07-07
US201762441887P 2017-01-03 2017-01-03
US62/441,887 2017-01-03
PCT/US2017/030267 WO2017192406A1 (en) 2016-05-02 2017-04-28 Measurement of semiconductor structures with capillary condensation

Publications (2)

Publication Number Publication Date
KR20180132947A true KR20180132947A (en) 2018-12-12
KR102219787B1 KR102219787B1 (en) 2021-02-23

Family

ID=60203204

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187034562A KR102219787B1 (en) 2016-05-02 2017-04-28 Measurement of semiconductor structures using capillary condensation

Country Status (5)

Country Link
JP (1) JP6790123B2 (en)
KR (1) KR102219787B1 (en)
CN (1) CN109075100B (en)
DE (1) DE112017002298T5 (en)
WO (1) WO2017192406A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050115824A1 (en) * 2001-12-31 2005-06-02 John Donohue Method of fault detection for material process system
US20090081810A1 (en) * 2004-10-06 2009-03-26 Ebara Corporation Substrate processing apparatus and substrate processing method
KR20130006986A (en) * 2011-06-28 2013-01-18 엘지전자 주식회사 Mobile terminal and method for controlling the same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3693972B2 (en) * 2002-03-19 2005-09-14 富士通株式会社 Bonded substrate manufacturing apparatus and substrate bonding method
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
JP2011514660A (en) * 2008-01-31 2011-05-06 アプライド マテリアルズ インコーポレイテッド Deposition control in closed-loop MOCVD
US20100235114A1 (en) * 2009-03-10 2010-09-16 Kla-Tencor Corporation Systems and methods for determining one or more characteristics of a specimen using radiation in the terahertz range
KR101306986B1 (en) * 2010-03-09 2013-09-26 한국전자통신연구원 Apparatus for forming thin films
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
CN103839851A (en) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 Endpoint judgment method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050115824A1 (en) * 2001-12-31 2005-06-02 John Donohue Method of fault detection for material process system
US20090081810A1 (en) * 2004-10-06 2009-03-26 Ebara Corporation Substrate processing apparatus and substrate processing method
KR20130006986A (en) * 2011-06-28 2013-01-18 엘지전자 주식회사 Mobile terminal and method for controlling the same

Also Published As

Publication number Publication date
DE112017002298T5 (en) 2019-02-14
JP2019515294A (en) 2019-06-06
JP6790123B2 (en) 2020-11-25
CN109075100B (en) 2020-06-30
WO2017192406A1 (en) 2017-11-09
CN109075100A (en) 2018-12-21
KR102219787B1 (en) 2021-02-23

Similar Documents

Publication Publication Date Title
KR102254065B1 (en) Measurement of porosity of semiconductor structures
US10145674B2 (en) Measurement of semiconductor structures with capillary condensation
KR102221069B1 (en) Critical dimension measurement using gas adsorption
US10502549B2 (en) Model-based single parameter measurement
TWI603052B (en) Method, system, and computer-readable medium to generate optimized measurement recipe
US20160003609A1 (en) Signal Response Metrology Based On Measurements Of Proxy Structures
JP4282500B2 (en) Structure inspection method and semiconductor device manufacturing method
JP6924261B2 (en) Hybrid weighing for characterizing patterned wafers
US10365225B1 (en) Multi-location metrology
KR102219787B1 (en) Measurement of semiconductor structures using capillary condensation
JP2009058516A (en) Structure inspecting technique

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant