JP6790123B2 - Measurement of semiconductor structures using capillary condensation - Google Patents

Measurement of semiconductor structures using capillary condensation Download PDF

Info

Publication number
JP6790123B2
JP6790123B2 JP2018557400A JP2018557400A JP6790123B2 JP 6790123 B2 JP6790123 B2 JP 6790123B2 JP 2018557400 A JP2018557400 A JP 2018557400A JP 2018557400 A JP2018557400 A JP 2018557400A JP 6790123 B2 JP6790123 B2 JP 6790123B2
Authority
JP
Japan
Prior art keywords
measurement
filler
amount
structural elements
illumination light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018557400A
Other languages
Japanese (ja)
Other versions
JP2019515294A (en
JP2019515294A5 (en
Inventor
シャンカー クリシュナン
シャンカー クリシュナン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/204,938 external-priority patent/US10281263B2/en
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2019515294A publication Critical patent/JP2019515294A/en
Publication of JP2019515294A5 publication Critical patent/JP2019515294A5/ja
Application granted granted Critical
Publication of JP6790123B2 publication Critical patent/JP6790123B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Description

説明される実施形態は、計測システムおよび方法に関し、より詳細には、半導体工業において製作される構造体の改善された測定のための方法およびシステムに関する。 The embodiments described relate to measurement systems and methods, and more specifically to methods and systems for improved measurement of structures manufactured in the semiconductor industry.

本特許出願は、2016年5月2日に出願された表題「Porosity and Critical Dimension Measurements Using Capillary condensation」の米国仮特許出願第62/330,751号、および2017年1月3日に出願された表題「Critical Dimension Measurements Using Liquid Filling」の米国仮特許出願第62/441,887号、および2016年7月7日に出願された表題「Critical Dimension Measurements With Capillary Condensation」の米国特許出願第15,204,938号より、米国特許法119条に基づく優先権を主張するものであり、これらの各々の主題は、その全体において本願に引用して援用する。 This patent application was filed on May 2, 2016, U.S. Provisional Patent Application Nos. 62 / 330,751 and January 3, 2017, entitled "Porosity and Critical Distance Measurement Measurement Condensation." U.S. Provisional Patent Application No. 62 / 441,887 under the title "Critical Measurement Measurements Priority Filling" and the title "Critical Dimension Measurements With Patents With Patents US Patent No. 15 Capillary" filed on July 7, 2016. , 938, claiming priority under Article 119 of the US Patent Act, each of which is incorporated herein by reference in its entirety.

倫理デバイスおよびメモリデバイスなどの半導体デバイスは、典型的には、試料に施される一連の処理工程によって製作される。半導体デバイスの様々な特徴および複数の構造レベルは、これらの処理工程によって形成される。例えば、数ある中でもリソグラフィは、半導体ウェハ上にパターンを生成することを伴う1つの半導体製作プロセスである。半導体製作プロセスのさらなる例としては、化学的機械的研磨、エッチング、堆積、およびイオン注入が挙げられるが、これらに限定されない。複数の半導体デバイスが、単一の半導体ウェハ上に製作され、その後、個々の半導体デバイスに分離される場合がある。 Semiconductor devices such as ethical devices and memory devices are typically manufactured by a series of processing steps applied to the sample. Various features and multiple structural levels of semiconductor devices are formed by these processing steps. For example, lithography, among others, is a semiconductor manufacturing process that involves generating a pattern on a semiconductor wafer. Further examples of semiconductor manufacturing processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. A plurality of semiconductor devices may be manufactured on a single semiconductor wafer and then separated into individual semiconductor devices.

計測プロセスは、ウェハ上の欠陥を検出してより高い歩留まりを促進するために半導体製造プロセス中の様々な工程において使用される。モデルベース計測技術は、サンプル破壊のリスクなしに高スループットの可能性を提供する。スキャテロメトリ、エリプソメトリ、およびリフレクトメトリの実施を含む数々のモデルベース計測ベースの技術ならびに関連する分析アルゴリズムは、ナノスケール構造体の限界寸法、膜厚、組成、オーバーレイ、および他のパラメータを特徴付けるために広く使用される。 The measurement process is used in various steps during the semiconductor manufacturing process to detect defects on the wafer and promote higher yields. Model-based measurement techniques offer high throughput potential without the risk of sample destruction. Numerous model-based measurement-based technologies, including performing scatterometry, ellipsometry, and reflectometry, and related analysis algorithms characterize the critical dimensions, film thickness, composition, overlays, and other parameters of nanoscale structures. Widely used for.

現代の半導体プロセスは、複雑な構造体を生成するために用いられる。これらの構造体を表し、プロセスおよび寸法の変化を説明するためには、複数のパラメータを用いた複雑な測定モデルが必要とされる。複雑な多パラメータモデルは、パラメータ相関により誘発される誤差、および一部のパラメータに対する低い測定感度をモデリングすることを含む。加えて、比較的大量の浮動パラメータ値を有する複雑な多パラメータモデルの回帰は、計算容易でない場合がある。 Modern semiconductor processes are used to produce complex structures. Complex measurement models with multiple parameters are needed to represent these structures and explain process and dimensional changes. Complex multi-parameter models involve modeling errors induced by parameter correlation and low measurement sensitivity for some parameters. In addition, regression of complex multi-parameter models with relatively large numbers of floating parameter values may not be easy to calculate.

これらの誤差源の影響を軽減するため、および計算量を低減するため、モデルベース測定においては、いくつかのパラメータが典型的には固定される。いくつかのパラメータの値を固定することは、計算速度を向上させ、パラメータ相関の影響を軽減し得るが、これは、パラメータ値の推定における誤差ももたらす。 In model-based measurements, some parameters are typically fixed to mitigate the effects of these error sources and to reduce complexity. Fixing the values of some parameters can speed up the calculation and reduce the effects of parameter correlations, but it also results in errors in estimating the parameter values.

現在、複雑な多パラメータ測定モデルのソリューションは、不満足な妥協を要することが多い。現在のモデル低減技術は、時に、計算容易でありかつ十分に正確である測定モデルに到達できないことがある。さらには、複雑な多パラメータモデルは、目的とする各パラメータについてシステムパラメータ選択(例えば、波長、入射角など)を最適化することを難しくするか、または不可能にする。 Today, solutions for complex multi-parameter measurement models often require unsatisfactory compromises. Current model reduction techniques sometimes fail to reach a measurement model that is easy to calculate and accurate enough. Moreover, complex multi-parameter models make it difficult or impossible to optimize system parameter selection (eg, wavelength, angle of incidence, etc.) for each parameter of interest.

米国特許出願公開第2009/0081810号明細書U.S. Patent Application Publication No. 2009/0081810

今後の計測アプリケーションは、ますます小さくなる解像度要件、マルチパラメータ相関、ますます複雑な幾何構造体、および不透明材料の使用増加に起因する課題を提示する。したがって、改善された測定のための方法およびシステムが望まれる。 Future measurement applications present challenges due to smaller and smaller resolution requirements, multi-parameter correlations, increasingly complex geometric structures, and increasing use of opaque materials. Therefore, methods and systems for improved measurements are desired.

毛管凝縮プロセスによって充填される幾何構造体の光学測定を実施するための方法およびシステムが本明細書に提示される。測定が実施されると同時に、測定下にある構造体周辺の局所的な環境は、制御された量の充填物質を含むパージガスの流れで処理される。充填物質の一部分(すなわち凝縮物)は、測定下にある構造体上に凝縮し、構造的特徴部内の開口部、構造的特徴部間の間隙、切欠き、溝、スリット、コンタクトホールなどの小容積を充填する。 Methods and systems for performing optical measurements of geometric structures filled by capillary condensation processes are presented herein. As soon as the measurement is performed, the local environment around the structure under measurement is treated with a stream of purge gas containing a controlled amount of filler. A portion of the packing material (ie, condensate) condenses onto the structure under measurement and is small, such as openings within structural features, gaps between structural features, notches, grooves, slits, contact holes, etc. Fill the volume.

1つの態様において、測定下にある構造体に提供される気体流内の蒸発物質の飽和度は、毛管凝縮によって充填される最大特徴部サイズに基づいて調整される。 In one embodiment, the saturation of the evaporated material in the gas stream provided to the structure under measurement is adjusted based on the maximum feature size filled by capillary condensation.

別の態様において、測定は、幾何特徴部が凝縮物で充填されている構造体から収集された測定信号を含むデータセットを用いて実施される。凝縮物の存在は、パージガスがいかなる充填物質も欠く測定シナリオと比較して、測定下にある構造体の光学特性を変化させる。 In another embodiment, the measurement is performed using a dataset containing measurement signals collected from a structure whose geometric features are filled with condensate. The presence of condensate alters the optical properties of the structure under measurement as compared to measurement scenarios where the purge gas lacks any filler.

いくつかの例において、異なる凝縮状態について、構造体の複数の測定が実施される。各測定は、測定下にある構造体上に凝縮される異なる量の凝縮物に対応する。幾何特徴部が異なる量の凝縮物で充填されている構造体と関連付けられた測定信号情報を収集することによって、浮動測定パラメータ間のパラメータ相関が低減され、測定精度が改善される。 In some examples, multiple measurements of the structure are performed for different condensed states. Each measurement corresponds to a different amount of condensate condensing on the structure under measurement. By collecting the measurement signal information associated with the structure in which the geometric features are filled with different amounts of condensate, the parameter correlation between the floating measurement parameters is reduced and the measurement accuracy is improved.

いくつかの例において、測定データは、構造体が毛管凝縮により充填されるときに収集され、測定データは、構造体が未充填である(すなわち毛管凝縮の対象とならない)ときに同じ構造体から収集される。 In some examples, measurement data is collected when the structure is filled by capillary condensation, and measurement data is from the same structure when the structure is unfilled (ie, not subject to capillary condensation). Will be collected.

いくつかの実施形態において、測定下にある構造体に提供される気体流内で蒸発される充填物質の量は、気体流内の充填物質の分圧を制御することによって調節される。いくつかの実施形態において、不飽和パージガスの流れは、飽和パージガスの流れと混合される。これらの流れの比は、組み合わされた流れ内の充填物質の分圧を調整するために調節される。 In some embodiments, the amount of filler vaporized in the gas stream provided to the structure under measurement is adjusted by controlling the partial pressure of the filler in the gas stream. In some embodiments, the unsaturated purge gas stream is mixed with the saturated purge gas stream. The ratio of these streams is adjusted to regulate the partial pressure of the filler in the combined stream.

いくつかの実施形態において、パージガスは、充填物質で完全に飽和されるパージガスの流れを生成するために、充填物質の液槽を通じて気泡化される。パージガス流内で蒸発される充填物質の分圧は、充填物質の液槽にわたる充填物質の平衡圧に等しい。 In some embodiments, the purge gas is bubbled through the filler tank to create a stream of purge gas that is completely saturated with the filler. The partial pressure of the filler evaporating in the purge gas stream is equal to the equilibrium pressure of the filler across the filler tank.

いくつかの実施形態において、充填物質の液槽は、測定下にある試料と同じ温度に維持される。いくつかの他の実施形態において、充填物質の液槽は、測定下にある試料より低い温度に維持される。 In some embodiments, the filler tank is maintained at the same temperature as the sample under measurement. In some other embodiments, the filler tank is maintained at a lower temperature than the sample under measurement.

いくつかの実施形態において、ウェハにおける蒸発充填物質の飽和度は、充填物質の液槽に不揮発性溶質を追加し、それにより充填物質の平衡蒸気圧を抑えることよって制御される。これらの実施形態において、蒸発充填物質の飽和度は、溶液中の溶質の濃度を制御することによって調節される。 In some embodiments, the saturation of the evaporative packing material on the wafer is controlled by adding a non-volatile solute to the packing material tank, thereby reducing the equilibrium vapor pressure of the packing material. In these embodiments, the saturation of the evaporative packing material is adjusted by controlling the concentration of solute in the solution.

いくつかの実施形態において、充填物質は、測定下にある構造体に提供される照明光に応答して蛍光を発して、特に画像ベースの測定用途において、測定コントラストを強化する。 In some embodiments, the packing material fluoresces in response to illumination light provided to the structure under measurement, enhancing measurement contrast, especially in image-based measurement applications.

前述は概要であり、したがって必然的に、詳細の簡易化、一般化、および省略を含む。したがって、当業者は、本概要が例示にすぎず、いかようにも限定するものではないことを理解するものとする。本明細書に説明されるデバイスおよび/またはプロセスの他の態様、発明の特徴、および利点は、本明細書に記される非限定的な詳細な説明において明白になるものとする。 The above is an overview and therefore necessarily includes simplifications, generalizations, and omissions of the details. Therefore, one of ordinary skill in the art understands that this outline is merely an example and is not limited in any way. Other aspects of the device and / or process described herein, features of the invention, and advantages shall be apparent in the non-limiting detailed description described herein.

毛管凝縮の対象となる半導体ウェハの構造体を測定するためのシステム100を例証する図である。It is a figure exemplifying the system 100 for measuring the structure of the semiconductor wafer which is the object of capillary condensation. 1つの実施形態においてシステム100の蒸気注入システム120を例証する図である。It is a figure exemplifying the steam injection system 120 of the system 100 in one embodiment. 別の実施形態においてシステム100の蒸気注入システム120を例証する図である。It is a figure which illustrates the steam injection system 120 of the system 100 in another embodiment. 水、トルエン、およびエタノールの蒸発のエンタルピーΔHを含む表127を描写する図である。加えて、表127は、ウェハにおいて0.9という充填物質の相対飽和を達成するためのウェハ温度と液体充填物質の槽の温度との差を例証する。FIG. 5 illustrates Table 127 containing the enthalpy of vaporization ΔH of water, toluene, and ethanol. In addition, Table 127 illustrates the difference between the wafer temperature to achieve the relative saturation of the packing material of 0.9 on the wafer and the temperature of the tank of the liquid filling material. 水槽内の塩酸の濃度の関数としての水の分圧のプロット128を描写する図である。FIG. 5 depicts a plot 128 of the partial pressure of water as a function of the concentration of hydrochloric acid in the aquarium. 波長の関数としての脱イオン水の分散特性のプロット135を描写する図である。It is a figure which depicts the plot 135 of the dispersion characteristic of deionized water as a function of wavelength. 水、トルエン、およびエタノールと関連付けられたモル体積および表面張力を例証する表129を描写する図である。FIG. 6 illustrates Table 129 illustrating molar volume and surface tension associated with water, toluene, and ethanol. 充填物質としての水、エタノール、およびトルエンについてケルビンの式に従って異なる分圧で毛管凝縮により充填され得る円筒孔の最大径を例証するプロット172を描写する図である。FIG. 5 illustrates plot 172 exemplifying the maximum diameter of a cylindrical hole that can be filled by capillary condensation at different partial pressures according to Kelvin's equation for water, ethanol, and toluene as fillers. 充填物質としての水、エタノール、およびトルエンについてケルビンの式に従って異なる分圧で毛管凝縮により充填され得る長い溝様の特徴部の最大径を例証するプロット160を描写する図である。FIG. 5 illustrates plot 160 exemplifying the maximum diameter of long groove-like features that can be filled by capillary condensation at different partial pressures according to Kelvin's equation for water, ethanol, and toluene as fillers. 基板上に製作された周期的な二次元のレジストグレーティング構造体を有する未充填の線空間計測ターゲットを例証する図である。It is a figure which illustrates the unfilled line space measurement target which has a periodic two-dimensional resist grating structure made on the substrate. 充填物質で充填された図10において例証される線空間計測ターゲットを例証する図である。It is a figure which illustrates the line space measurement target which is illustrated in FIG. 10 filled with the packing material. 円筒コンタクトホールを有する上層を含む多層を有する未充填の計測ターゲットを例証する図である。FIG. 5 illustrates an unfilled measurement target having multiple layers, including an upper layer with cylindrical contact holes. 充填物質で充填された円筒コンタクトホールを有する図10に例証される計測ターゲットを例証する図である。FIG. 5 illustrates a measurement target exemplified in FIG. 10 having a cylindrical contact hole filled with a filler. 図10において描写される計測ターゲットのいくつかのパラメータについて、形状充填なしで得られた測定結果と、形状充填ありおよびなしで収集されたデータを使用するマルチターゲットモデルにより得られた測定結果との比較を描写する図である。For some parameters of the measurement target depicted in FIG. 10, the measurement results obtained without shape filling and the measurement results obtained by a multi-target model using the data collected with and without shape filling. It is a figure which describes the comparison. 1つの例において毛管凝縮の対象となる構造体の測定を実施するための方法200を例証する図である。It is a figure exemplifying the method 200 for carrying out the measurement of the structure which is the object of capillary condensation in one example. 式(1)に関して規定されるような流れFおよびFの異なる組み合わせについての相対湿度RHのチャート210を描写する図である。FIG. 5 illustrates a chart 210 of relative humidity RH for different combinations of flows F 1 and F 2 as defined with respect to equation (1). 未充填および充填の両方の状態にある同じ構造体の測定についての分光エリプソメトリパラメータαのプロット220を描写する図である。FIG. 5 depicts a plot 220 of spectroscopic ellipsometry parameters α for measurements of the same structure in both unfilled and filled states. 図16に描写される分光エリプソメトリ測定間のスペクトル差のプロット230を描写する図である。FIG. 16 depicts a plot 230 of spectral differences between spectroscopic ellipsometry measurements depicted in FIG. 未充填および充填の両方の状態にある同じ構造体の測定についての分光エリプソメトリパラメータβのプロット240を描写する図である。FIG. 5 depicts a plot 240 of spectroscopic ellipsometry parameters β for measurements of the same structure in both unfilled and filled states. 図18に描写される分光エリプソメトリ測定間のスペクトル差のプロット250を描写する図である。FIG. 8 illustrates a plot 250 of spectral differences between spectroscopic ellipsometry measurements depicted in FIG.

これより本発明の背景事例およびいくつかの実施形態について詳細に言及するが、これらの例は添付の図面内で例証されるものである。 The background examples and some embodiments of the present invention will be described in detail below, but these examples are illustrated in the accompanying drawings.

毛管凝縮プロセスによって凝縮物で充填される幾何構造体の光学測定を実施するための方法およびシステムが本明細書に提示される。モデルベース測定は、幾何特徴部が凝縮物で充填されている計測ターゲットから収集された測定信号を含む豊富なデータセットを用いて実施される。これにより浮動測定パラメータ間のパラメータ相関を低減し、測定精度を改善する。したがって、十分に正確なモデルベース測定結果を得ることができ、かつ多くの場合計算量は低減される。 Methods and systems for performing optical measurements of geometric structures filled with condensate by capillary condensation processes are presented herein. Model-based measurements are performed using a rich data set containing measurement signals collected from measurement targets whose geometric features are filled with condensate. This reduces the parameter correlation between the floating measurement parameters and improves the measurement accuracy. Therefore, sufficiently accurate model-based measurement results can be obtained, and the amount of calculation is often reduced.

測定が実施されると同時に、測定下にある計測ターゲット周辺の局所的な環境は、制御された量の充填物質を含むパージガスの流れで処理される。充填物質の一部分(すなわち凝縮物)は、測定下にある構造体上に凝縮し、構造的特徴部内の開口部、構造的特徴部間の開口部などを充填する。凝縮物の存在は、パージガスがいかなる充填物質も欠く測定シナリオと比較して、測定下にある構造体の光学特性を変化させる。 As soon as the measurement is performed, the local environment around the measurement target under the measurement is treated with a stream of purge gas containing a controlled amount of filler. A portion of the packing material (ie, condensate) condenses onto the structure under measurement and fills openings within structural features, openings between structural features, and the like. The presence of condensate alters the optical properties of the structure under measurement as compared to measurement scenarios where the purge gas lacks any filler.

いくつかの例において、異なる凝縮状態について、計測ターゲットの複数の測定が実施される。言い換えると、各測定は、測定下にある構造体上に凝縮される異なる量の凝縮物に対応する。幾何特徴部が異なる量の凝縮物で充填されている計測ターゲットと関連付けられた測定信号情報を収集することによって、モデルベース測定は、測定データの豊富なセットを用いて実施される。 In some examples, multiple measurements of the measurement target are performed for different condensation states. In other words, each measurement corresponds to a different amount of condensate condensing on the structure under measurement. Model-based measurements are performed with a rich set of measurement data by collecting measurement signal information associated with measurement targets whose geometric features are filled with different amounts of condensate.

1つの例において、測定データは、構造体が未充填のときに収集され、追加の測定データは、同じ構造体が毛管凝縮によって充填されるときに収集される。収集されたデータは、マルチターゲットモデルベース測定において、1つ以上の目的とするパラメータの値を推定するために組み合わされ、低減されたパラメータ相関および改善された測定性能を伴う。 In one example, measurement data is collected when the structure is unfilled and additional measurement data is collected when the same structure is filled by capillary condensation. The data collected are combined to estimate the value of one or more parameters of interest in a multi-target model-based measurement, with reduced parameter correlation and improved measurement performance.

図1は、半導体ウェハの特性を測定するためのシステム100を例証する。図1に示されるように、システム100は、ウェハ位置付けシステム110上に設置された半導体ウェハ112の1つ以上の構造体114の分光エリプソメトリ測定を実施するために使用され得る。この態様において、システム100は、発光体102を備えた分光エリプソメータ101および分光計104を含み得る。システム100の発光体102は、選択された波長範囲(例えば100〜2500nm)の照明を生成し、半導体ウェハ112の表面上に設置された構造体114に向けるように構成される。次に、分光計104は、半導体ウェハ112の表面から光を受け取るように構成される。発光体102から生じる光は、偏光照明ビーム106を生成するために偏光状態生成器107を使用して偏光されることにさらに留意されたい。ウェハ112上に設置された構造体114によって反射される放射線は、偏光状態分析器109を通って分光計104へ向けられる。回収ビーム108内の分光計104によって受け取られる放射線は、偏光状態に関して分析され、分析器による通過した放射線のスペクトル分析を可能にする。検出されたスペクトル111は、構造体114の分析のために計算システム130に渡される。 FIG. 1 illustrates a system 100 for measuring the characteristics of a semiconductor wafer. As shown in FIG. 1, system 100 can be used to perform spectroscopic ellipsometry measurements of one or more structures 114 of semiconductor wafers 112 placed on a wafer positioning system 110. In this embodiment, the system 100 may include a spectroscopic ellipsometer 101 and a spectroscope 104 with a illuminant 102. The illuminant 102 of the system 100 is configured to generate illumination in a selected wavelength range (eg, 100-2500 nm) and direct it towards a structure 114 placed on the surface of the semiconductor wafer 112. Next, the spectrometer 104 is configured to receive light from the surface of the semiconductor wafer 112. It should be further noted that the light generated from the illuminant 102 is polarized using the polarization state generator 107 to generate the polarized illumination beam 106. The radiation reflected by the structure 114 placed on the wafer 112 is directed to the spectrometer 104 through the polarization state analyzer 109. The radiation received by the spectrometer 104 in the recovery beam 108 is analyzed with respect to the polarization state, allowing spectral analysis of the radiation passed by the analyzer. The detected spectrum 111 is passed to the computing system 130 for analysis of the structure 114.

計算システム130は、毛管凝縮に起因して充填される試料112の構造体114の測定(例えば、限界寸法、膜厚、組成、プロセスなど)と関連付けられた測定データ111を受信するように構成される。1つの例において、測定データ111は、分光計104からの1つ以上のサンプリングプロセスに基づいた測定システム100による試料の測定されたスペクトル応答の指標を含む。いくつかの実施形態において、計算システム130は、測定データ111から構造体114の試料パラメータ値を決定するようにさらに構成される。1つの例において、計算システム130は、リアルタイム限界寸法設定(RTCD)を用いてモデルパラメータにリアルタイムでアクセスするように構成されるか、または、計算システム130は、ターゲット構造体114と関連付けられた少なくとも1つの目的とするパラメータの値を決定するために事前計算されたモデルのライブラリにアクセスし得る。いくつかの実施形態において、1つ以上の目的とするパラメータの推定値は、メモリ(例えばメモリ132)に格納される。図1に描写される実施形態において、1つ以上の目的とするパラメータの推定値115は、外部システム(図示せず)に通信される。 The calculation system 130 is configured to receive measurement data 111 associated with measurements of structure 114 of sample 112 that is filled due to capillary condensation (eg, limit dimensions, film thickness, composition, process, etc.). To. In one example, the measurement data 111 includes an index of the measured spectral response of the sample by the measurement system 100 based on one or more sampling processes from the spectrometer 104. In some embodiments, the computational system 130 is further configured to determine sample parameter values for structure 114 from measurement data 111. In one example, the computational system 130 is configured to access model parameters in real time using real-time limit dimensioning (RTCD), or the computational system 130 is at least associated with the target structure 114. You can access a library of precomputed models to determine the values of one desired parameter. In some embodiments, estimates of one or more parameters of interest are stored in memory (eg, memory 132). In the embodiment depicted in FIG. 1, estimates of one or more parameters of interest 115 are communicated to an external system (not shown).

一般に、エリプソメトリは、検査下にある試料の物理的性質を測定する間接的な方法である。ほとんどの場合、生の測定信号(例えば、αmeasおよびβmeas)は、試料の物理的性質を直接決定するために使用することができない。公称測定プロセスは、構造体(例えば、膜厚、限界寸法、材料特性など)および機械(例えば、波長、入射角、偏光角度など)のパラメータ化からなる。測定値(例えばαmeasおよびβmeas)を予測することを試みる測定モデルが作成される。式(1)および(2)に例証されるように、このモデルは、機械(Pmachine)および試料(Pspecimen)と関連付けられたパラメータを含む。
αmodel=f(Pmachine,Pspecimen)(1)
βmodel=g(Pmachine,Pspecimen)(2)
Ellipsometry is generally an indirect method of measuring the physical properties of a sample under test. In most cases, raw measurement signals (eg, α- meas and β- meas ) cannot be used to directly determine the physical properties of the sample. The nominal measurement process consists of parameterizing the structure (eg, film thickness, critical dimensions, material properties, etc.) and machinery (eg, wavelength, angle of incidence, angle of polarization, etc.). Measurement models are created that attempt to predict measurements (eg, α meas and β meas ). As illustrated in formulas (1) and (2), this model includes parameters associated with a machine (P machine ) and a sample (P specimen ).
α model = f (P machine , P specimen ) (1)
β model = g (P machine , P specimen ) (2)

機械パラメータは、計測ツール(例えばエリプソメータ101)を特徴付けるために使用されるパラメータである。例示的な機械パラメータとしては、入射角(AOI)、分析器角度(A)、偏光子角度(P)、照明波長、開口数(NA)、補償器または波長板(存在する場合)などが挙げられる。試料パラメータは、試料(例えば、構造体114を含む試料112)を特徴付けるために使用されるパラメータである。薄膜試料の場合、例示的な試料パラメータとしては、屈折率、誘電関数テンソル、すべての層の公称層厚、層順序などが挙げられる。CD試料の場合、例示的な試料パラメータとしては、異なる層と関連付けられた幾何学的パラメータ値、異なる層と関連付けられた屈折率などが挙げられる。測定目的の場合、機械パラメータは既知として扱われ、固定パラメータ、および試料パラメータのうちの1つ以上は、未知の浮動パラメータとして扱われる。 Mechanical parameters are parameters used to characterize measuring tools (eg, ellipsometer 101). Illustrative mechanical parameters include incident angle (AOI), analyzer angle (A 0 ), polarizer angle (P 0 ), illumination wavelength, numerical aperture (NA), compensator or wave plate (if any), etc. Can be mentioned. The sample parameter is a parameter used to characterize a sample (eg, sample 112 containing structure 114). For thin film samples, exemplary sample parameters include refractive index, dielectric function tensor, nominal layer thickness of all layers, layer order, and the like. In the case of a CD sample, exemplary sample parameters include geometric parameter values associated with different layers, refractive index associated with different layers, and the like. For measurement purposes, mechanical parameters are treated as known and one or more of fixed and sample parameters are treated as unknown floating parameters.

いくつかの例において、浮動パラメータは、理論予測と実験データとの最良適合を生成する反復プロセス(例えば回帰)によって解明される。未知の試料パラメータPspecimenは変動し、モデル出力値(例えばαmodelおよびβmodel)は、試料パラメータ値のセットが、モデル出力値と実験的に測定した値(例えばαmeasおよびβmeas)との近い一致をもたらすと決定されるまで計算される。CD試料における分光エリプソメトリなどのモデルベース測定用途においては、回帰プロセス(例えば最小二乗回帰)は、機械パラメータ値の固定セットについてモデル出力値と実験的に測定した値との差を最小限にする試料パラメータ値を特定するために用いられる。 In some examples, floating parameters are elucidated by an iterative process (eg, regression) that produces the best fit between theoretical predictions and experimental data. Unknown sample parameter P Specimen varies, the model output value (e.g., alpha model and beta model) is a set of sample parameter values, the model output value and experimentally measured values (e.g., alpha meas and beta meas) and the Calculated until determined to result in a close match. In model-based measurement applications such as spectroscopic ellipsometry in CD samples, the regression process (eg, least squares regression) minimizes the difference between the model output value and the experimentally measured value for a fixed set of mechanical parameter values. Used to identify sample parameter values.

いくつかの例において、浮動パラメータは、最も近い一致を見つけるために事前計算されたソリューションのライブラリを検索することによって解明される。CD試料における分光エリプソメトリなどのモデルベース測定用途においては、ライブラリ検索プロセスは、機械パラメータ値の固定セットについて事前計算した出力値と実験的に測定した値との差を最小限にする試料パラメータ値を特定するために用いられる。 In some examples, floating parameters are elucidated by searching the library of precomputed solutions to find the closest match. For model-based measurement applications such as spectroscopic ellipsometry in CD samples, the library search process minimizes the difference between pre-calculated output values and experimentally measured values for a fixed set of machine parameter values. Is used to identify.

いくつかの他の例において、モデルベースライブラリ回帰または信号応答計測モデルが、目的とするパラメータの値を推定するために用いられる。 In some other examples, model-based library regression or signal response measurement models are used to estimate the values of the parameters of interest.

モデルベース測定用途においては、十分なスループットを維持するために、多くの場合、仮説を単純化することが必要とされる。いくつかの例において、厳密結合波解析(RCWA)の打ち切りの次数は、計算時間を最小限にするために低減されなければならない。別の例では、ライブラリ関数の数または複雑性が、検索時間を最小限にするために低減される。別の例では、浮動パラメータの数が、特定のパラメータ値を固定することによって低減される。いくつかの例において、これらの仮説単純化は、1つ以上の目的とするパラメータ(例えば、限界寸法パラメータ、オーバーレイパラメータなど)の値の推定において容認できない誤差をもたらす。本明細書に説明されるような毛管凝縮の対象となる構造体の測定を実施することによって、モデルベース測定モデルは、低減されたパラメータ相関および増大された測定精度により解決され得る。 In model-based measurement applications, it is often necessary to simplify the hypothesis in order to maintain sufficient throughput. In some examples, the order of censoring for tightly coupled wave analysis (RCWA) must be reduced to minimize computational time. In another example, the number or complexity of library functions is reduced to minimize search time. In another example, the number of floating parameters is reduced by fixing a particular parameter value. In some examples, these hypothesis simplifications result in unacceptable errors in estimating the values of one or more target parameters (eg, limit dimension parameters, overlay parameters, etc.). By performing measurements of structures subject to capillary condensation as described herein, model-based measurement models can be resolved with reduced parameter correlation and increased measurement accuracy.

図1に描写されるように、計測システム100は、測定中に気体流126を構造体114に提供するように構成される蒸気注入システム120を含む。1つの態様において、気体流126は、パージガスおよびパージガス内で蒸発される充填物質を含む。気体流が構造体114と接触すると、凝縮が発生し、充填物質の一部分(すなわち凝縮物)が、測定下にある構造体114上に凝縮する。凝縮物は、構造体114の1つ以上の構造的特徴部の少なくとも一部分を充填する。凝縮物の存在は、測定される構造体の光学特性を変化させる。 As depicted in FIG. 1, the measurement system 100 includes a steam injection system 120 configured to provide a gas flow 126 to the structure 114 during measurement. In one embodiment, the gas stream 126 comprises a purge gas and a filler that evaporates within the purge gas. When the gas stream comes into contact with the structure 114, condensation occurs and a portion of the packing material (ie, the condensate) condenses onto the structure 114 under measurement. The condensate fills at least a portion of one or more structural features of structure 114. The presence of condensate changes the optical properties of the structure being measured.

いくつかの実施形態において、測定は、パージガス流が充填物質を含まない(例えば、純窒素ガスまたは清浄な乾燥空気)ときに実施され、別の測定は、パージガス流が充填物質を含み、その結果、凝縮物が測定下にある構造的特徴部間の開口部を完全に充填するときに実施される。これら2つの測定から収集された測定データは、計算システム130に通信され、測定データの両セットに基づいて1つ以上の目的とする構造パラメータの推定が行われる。 In some embodiments, measurements are taken when the purge gas stream does not contain filler (eg, pure nitrogen gas or clean dry air), another measurement results in the purge gas stream containing filler. It is carried out when the condensate completely fills the opening between the structural features under measurement. The measurement data collected from these two measurements is communicated to the computational system 130 to estimate one or more desired structural parameters based on both sets of measurement data.

いくつかの実施形態において、一連の測定は、測定下にある構造的特徴部上への凝縮の量が各測定で異なるように、異なる凝縮条件下で実施される。一連の測定から収集された測定データは、計算システム130に通信され、収集された測定データに基づいて1つ以上の目的とする構造パラメータの推定が行われる。 In some embodiments, the series of measurements is performed under different condensation conditions such that the amount of condensation on the structural features under measurement is different for each measurement. The measurement data collected from the series of measurements is communicated to the computational system 130 to estimate one or more desired structural parameters based on the collected measurement data.

図1に描写されるように、ある量の充填物質123が、充填物質源121から蒸気注入システム120へ輸送される。加えて、パージガス124の流れは、パージガス源122から蒸気注入システムへ輸送される。蒸気注入システム120は、測定下にある構造体114に提供される気体流126を生成するために、充填物質をパージガスの流れ内へ蒸発させる。図1に描写される実施形態において、パージガスの流れおよびパージガスの流れ内に蒸発される充填物質の量は、計算システム130から蒸気注入システム120へ通信される命令信号125によって制御される。したがって、命令信号125は、気体流126の所望の組成を制御する。図1に描写されるように、気体流126は、適切な流れ特性で気体流126をウェハ110上の所望の場所へ向かわせるノズル105を通過する。いくつかの実施形態において、ノズル105は、充填物質を測定下にある構造体を包含する領域へ移送するために測定領域にごく接近して位置する。測定後、凝縮された充填物質は、全体的なウェハレベルのパージガス流内へと蒸発し、ウェハから離れる方へ移送される。いくつかの例において、気体流126は、1000〜2000立方センチメートル毎分(SCCM)の流量でウェハ112に提供される。しかしながら、一般には、任意の好適な流量が本特許文書の範囲内で企図され得る。 As depicted in FIG. 1, a certain amount of filler 123 is transported from the filler source 121 to the steam injection system 120. In addition, the flow of purge gas 124 is transported from the purge gas source 122 to the steam injection system. The steam injection system 120 evaporates the filler into the stream of purge gas to generate the gas stream 126 provided to the structure 114 under measurement. In the embodiment depicted in FIG. 1, the flow of purge gas and the amount of filler vaporized in the flow of purge gas are controlled by a command signal 125 communicated from the calculation system 130 to the steam injection system 120. Therefore, the command signal 125 controls the desired composition of the gas stream 126. As depicted in FIG. 1, the gas stream 126 passes through a nozzle 105 that directs the gas stream 126 to a desired location on the wafer 110 with appropriate flow characteristics. In some embodiments, the nozzle 105 is located very close to the measurement area to transfer the packing material to the area that includes the structure under measurement. After the measurement, the condensed filler evaporates into the overall wafer-level purge gas stream and is transferred away from the wafer. In some examples, the gas stream 126 is provided to the wafer 112 at a flow rate of 1000-2000 cubic centimeters per minute (SCCM). However, in general, any suitable flow rate can be contemplated within the scope of this patent document.

図1は、測定下にある計測ターゲットに局所的に提供される気体流126を描写する。しかしながら、一般には、気体流126は、ウェハ全体にわたって、照明光源から検出器へのビーム経路の任意の部分を通って、またはそれらの任意の組み合わせで、提供され得る。パージガス流をウェハにわたっておよび照明光源と検出器との間のビーム経路を通って提供する様々な例は、ヒドン クァク(Hidong Kwak)らによる2010年7月13日発行の米国特許第7,755,764号に記載されており、その主題は、その全体において本願に引用して援用する。 FIG. 1 depicts a gas stream 126 that is locally provided to a measurement target under measurement. However, in general, the gas stream 126 may be provided throughout the wafer through any part of the beam path from the illumination source to the detector, or in any combination thereof. Various examples of providing purge gas flow over a wafer and through a beam path between an illumination source and a detector are described in US Pat. No. 7,755, issued July 13, 2010 by Hidong Kwak et al. It is described in No. 764, the subject matter of which is incorporated herein by reference in its entirety.

図1に描写されるように、蒸気注入システム120は、測定下にある構造体114に提供される気体流126を生成するために、充填物質123をパージガス124の流れ内へ蒸発させる。しかしながら、一般には、蒸気注入システム120は、測定下にある構造体114に提供される気体流を生成するために、パージガスの流れ内への2つ以上の異なる充填物質の蒸発を制御し得る。この様式では、蒸気注入システム120は、制御された量の異なる充填物質を含む気体流126をウェハ112に提供する。 As depicted in FIG. 1, the steam injection system 120 evaporates the filler 123 into the stream of purge gas 124 in order to generate the gas stream 126 provided to the structure 114 under measurement. However, in general, the steam injection system 120 may control the evaporation of two or more different fillers into the stream of purge gas in order to generate the gas stream provided to the structure 114 under measurement. In this mode, the steam injection system 120 provides the wafer 112 with a gas stream 126 containing a controlled amount of different packing material.

図1に例証されるシステム100の実施形態は、本明細書に説明されるようにさらに構成され得る。加えて、システム100は、本明細書に説明される方法実施形態のいずれかの任意の他のブロックを実施するように構成され得る。 Embodiments of the system 100 illustrated in FIG. 1 may be further configured as described herein. In addition, system 100 may be configured to implement any other block of any of the method embodiments described herein.

図2は、1つの実施形態における蒸気注入システム120を例証する図である。この実施形態において、測定下にあるウェハ112に提供される気体流126内で蒸発される充填物質の量(すなわち凝縮物の分圧)は調節される。充填物質の分圧を調節することによって、毛管凝縮によって充填される構造寸法が制御される。 FIG. 2 is a diagram illustrating the steam injection system 120 in one embodiment. In this embodiment, the amount of filler evaporated in the gas stream 126 provided to the wafer 112 under measurement (ie, the partial pressure of the condensate) is adjusted. By adjusting the partial pressure of the packing material, the structural dimensions filled by capillary condensation are controlled.

図2に描写される実施形態において、パージガス流(例えば、窒素ガス、清浄な乾燥空気など)内で蒸発される充填物質の分圧は、充填物質の液槽にわたる充填物質の平衡圧に等しく、パージガスはこの液槽を通じて気泡化される。1つの例において、バブラー型の蒸気注入システムは、Sigma−Aldrich、St.Louis、Missouri(USA)より市販されている1.2リットル容量ステンレス鋼バブラー、モデルZ553360である。 In the embodiment depicted in FIG. 2, the partial pressure of the filler evaporated in the purge gas stream (eg, nitrogen gas, clean dry air, etc.) is equal to the equilibrium pressure of the filler across the filler tank. The purge gas is bubbled through this liquid tank. In one example, the bubbler type steam injection system is described by Sigma-Aldrich, St. Model Z553360, a 1.2 liter capacity stainless steel bubbler commercially available from Louis, Missouri (USA).

図2に描写されるように、パージガス流124の部分146は、質量流量制御器148Aを通過し、パージガス流124の別の部分145は、質量流量制御器148Bを通過する。気体流146および145の流量は、それぞれ質量流量制御器148Aおよび148Bの状態によって制御される。この様式では、充填物質がその中へ蒸発されるパージガス流124の量は、質量流量制御器148Bによって制御され、蒸発の対象とならないパージガス流124の量は、質量流量制御器148Bによって制御される。図2に描写される実施形態において、計算システム130から蒸気注入システム120へ通信される命令信号125は、複数の信号149A〜Cを含む。信号149Aは、質量流量制御器148Aの所望の状態の指標を含む。それに応じて、質量流量制御器148Aは、所望の位置に、したがって充填物質がその中へ蒸発されないパージガス流の所望の割合に調整する。信号149Bは、質量流量制御器148Bの所望の状態の指標を含む。それに応じて、質量流量制御器148Bは、所望の状態に、したがって充填物質がその中へ蒸発されるパージガス流の所望の割合に調整する。パージガス流124の部分145は、チェック弁142、質量流量制御器143を通過し、バブラー140内へと入る。バブラー140において、ある量の充填物質が、パージガス流124の部分145内へ蒸発されて、パージガスおよび充填物質の気体流147を生成する。気体流147は、バブラー140を通って流れなかったパージガスの部分146と組み合わされて気体流126を生成する。 As depicted in FIG. 2, a portion 146 of the purge gas stream 124 passes through the mass flow controller 148A and another portion 145 of the purge gas stream 124 passes through the mass flow controller 148B. The flow rates of the gas flows 146 and 145 are controlled by the states of the mass flow controllers 148A and 148B, respectively. In this mode, the amount of purge gas stream 124 into which the filler is evaporated is controlled by the mass flow controller 148B, and the amount of purge gas stream 124 that is not subject to evaporation is controlled by the mass flow controller 148B. .. In the embodiment depicted in FIG. 2, the instruction signal 125 communicated from the computing system 130 to the steam injection system 120 includes a plurality of signals 149A-C. The signal 149A includes an index of the desired state of the mass flow controller 148A. Accordingly, the mass flow controller 148A adjusts to the desired position and thus to the desired proportion of purge gas flow in which the filler is not evaporated. The signal 149B includes an index of the desired state of the mass flow controller 148B. Accordingly, the mass flow controller 148B adjusts to the desired state and thus to the desired rate of purge gas flow into which the filler material evaporates. Part 145 of the purge gas flow 124 passes through the check valve 142 and the mass flow controller 143 and enters the bubbler 140. In the bubbler 140, an amount of filler is evaporated into portion 145 of purge gas stream 124 to produce purge gas and filler gas stream 147. The gas stream 147 is combined with a portion 146 of the purge gas that did not flow through the bubbler 140 to produce a gas stream 126.

いくつかの実施形態において、質量流量制御器148Aおよび148Bは、パージガス流124の全体がバブラー140またはバイパスバブラー140のいずれかを完全に通って流れるように制御される。この様式では、気体流126が、充填物質のゼロ分圧を有する乾燥パージガス流124であるか、パージガス流124全体が充填物質の蒸発の対象となるかのいずれかである。 In some embodiments, the mass flow controllers 148A and 148B are controlled so that the entire purge gas stream 124 flows completely through either the bubbler 140 or the bypass bubbler 140. In this mode, the gas stream 126 is either a dry purge gas stream 124 having a zero partial pressure of the filler, or the entire purge gas stream 124 is subject to evaporation of the filler.

充填物質がバブラー140内で蒸発され、気体流147として運び出されると、バブラー140内の一定の充填レベルを維持するために追加の充填物質123が充填物質源121から流れる。いくつかの実施形態において、充填レベルは、レベルセンサまたは流れ制御スキームに基づいて自動的に制御される。いくつかの他の実施形態において、充填レベルは、手動の充填動作によって定期的に維持される。 As the packing material evaporates in the bubbler 140 and is carried out as a gas stream 147, additional filling material 123 flows from the filling material source 121 to maintain a constant filling level in the bubbler 140. In some embodiments, the filling level is automatically controlled based on a level sensor or flow control scheme. In some other embodiments, the filling level is regularly maintained by a manual filling operation.

1つの実施形態において、周囲温度Tでの気体流126内の蒸発充填物質の飽和度は、充填物質がその中へ蒸発されるパージガス流145の、蒸発の対象とならないパージガス流146の部分に対する割合を調整することによって制御される。好ましい実施形態において、バブラー140内の充填物質の温度は、測定下にあるウェハと同じ温度(例えば周囲温度T)に維持される。これらの条件下で、気体流126内の充填物質の相対飽和p/pは、式(1)において説明され、式中、Fは、完全に飽和した気体流147の流量であり、Fは、不飽和気体流146の流量である。
In one embodiment, the degree of saturation of the evaporation filler material in the gas stream 126 at ambient temperature T a is the purge gas flow 145 filler material is vaporized into them, for the portion of the purge gas flow 146 not covered by evaporation It is controlled by adjusting the ratio. In a preferred embodiment, the temperature of the packing material in the bubbler 140 is maintained at the same temperature as the wafer under measurement (eg, ambient temperature Ta ). Under these conditions, the relative saturation p 0 / p of the filler in the gas stream 126 is described in equation (1), where F 1 is the flow rate of the fully saturated gas stream 147, F. Reference numeral 2 denotes a flow rate of the unsaturated gas flow 146.

図2に例証されるように、気体流146および147は、組み合わされて、測定下にあるウェハに提供される気体流126を形成する。したがって、測定下にあるウェハに提供される総流量は、命令信号149Aおよび149Bを通信してFおよびFの合計を調節することによって制御される。測定下にあるウェハに提供される流れの相対飽和は、命令信号149Aおよび149Bを通信してFおよびFの比を調節することによって制御される。 As illustrated in FIG. 2, the gas streams 146 and 147 combine to form the gas stream 126 provided to the wafer under measurement. Thus, the total flow rate to be provided to the wafer under measurement is controlled by adjusting the sum of F 1 and F 2 to communicate command signals 149A and 149B. The relative saturation of the flow provided to the wafer under measurement is controlled by communicating the instruction signals 149A and 149B to adjust the ratio of F 1 and F 2 .

図15は、式(1)に関して規定されるような流れFおよびFの異なる組み合わせについての相対湿度RHのチャート210を描写する。 FIG. 15 depicts a chart 210 of relative humidity RH for different combinations of flows F 1 and F 2 as defined for equation (1).

別の実施形態において、周囲温度Tでの蒸発充填物質の飽和度は、液槽を周囲温度未満の温度Tに維持することによって制御される。純物質の平衡蒸気圧pと温度Tとの関係は、式(2)によって例証されるクラウジウス−クラペイロンの式によって得られ、式中、ΔHは、純物質の蒸発のエンタルピーであり、Rは理想のガス定数であり、これは8.31J/mole・°Kである。
In another embodiment, the degree of saturation of the evaporation filler material at ambient temperature T a is controlled by maintaining the liquid bath to a temperature T below ambient temperature. The relationship between the equilibrium vapor pressure p 0 and the temperature T of the pure substance, Clausius illustrated by equation (2) - is obtained by the equation Clapeyron, wherein, [Delta] H is the enthalpy of evaporation of the pure substance, R represents It is an ideal gas constant, which is 8.31 J / mole · ° K.

式(2)に基づいて、周囲温度Ta未満である温度Tで飽和される充填物質についての相対飽和p/pは、式(3)によって例証される。
Based on formula (2), the relative saturation p / p 0 for a filler saturated at a temperature T below the ambient temperature Ta is illustrated by formula (3).

図4は、水、トルエン、およびエタノールの蒸発のエンタルピーΔHを含む表127を描写する。これらの物質の各々は、本明細書に説明されるような充填物質として好適であり得る。加えて、表127は、周囲温度が摂氏25度であり、充填物質の所望の相対飽和p/pが0.9であるときの周囲温度(すなわちウェハ温度)と槽温度との差を例証する。表127に例証されるように、槽温度を例証される量だけ周囲温度を下回って維持することによって、列挙された各充填物質について0.9の分圧が維持される。これらの物質のいずれかを充填物質として利用することは、これがウェハとバブラー140の液槽との間のおよそ摂氏2度の温度差を維持するのは比較的簡単なことであることから有利であり得る。この実施形態では、乾燥パージガス146の流れを飽和パージガス147の流れと組み合わせることなく、周囲温度Tでの気体流126内の蒸発充填物質の飽和度を制御することが可能である。言い換えると、流れ146は、ゼロに設定され得、周囲温度Tでの気体流126内の蒸発充填物質の飽和度は、バブラー温度とウェハ温度との間の温度差によって制御される。いくつかの他の例において、乾燥パージガス146の流れは、飽和パージガス147の流れと組み合わされ、周囲温度Tでの気体流126内の蒸発充填物質の飽和度は、バブラー温度とウェハ温度との間の温度差ならびに気体流146および気体流147の流量の比の組み合わせによって制御される。 FIG. 4 depicts Table 127 containing the enthalpy ΔH of evaporation of water, toluene, and ethanol. Each of these substances may be suitable as a filler as described herein. In addition, Table 127 illustrates the difference between the ambient temperature (ie wafer temperature) and the tank temperature when the ambient temperature is 25 degrees Celsius and the desired relative saturation p / p 0 of the packing material is 0.9. To do. By keeping the tank temperature below the ambient temperature by an illustrated amount, as illustrated in Table 127, a partial pressure of 0.9 is maintained for each of the listed fillers. Utilizing any of these materials as a filler is advantageous as it is relatively easy to maintain a temperature difference of approximately 2 degrees Celsius between the wafer and the bubble tank of the bubbler 140. possible. In this embodiment, without combining a flow of dry purge gas 146 and the flow of saturated purge gas 147, it is possible to control the degree of saturation of the evaporation filler material in the gas stream 126 at ambient temperature T a. In other words, the flow 146 may be set to zero, the degree of saturation of the evaporation filler material in the gas stream 126 at ambient temperature T a, is controlled by the temperature difference between the bubbler temperature and wafer temperature. In some other examples, the flow of dry purge gas 146 is combined with the flow of saturated purge gas 147, saturation evaporation filler material in the gas stream 126 at ambient temperature T a is the bubbler temperature and wafer temperature It is controlled by the combination of the temperature difference between them and the ratio of the flow rates of the gas flow 146 and the gas flow 147.

いくつかの実施形態において、槽温度およびウェハ温度が測定されて、計算システム130に通信される。計算システムは、ウェハ温度と槽温度との間の差を決定し、所望のウェハ温度、槽温度、または両方を計算する。いくつかの実施形態において、計算システム130は、蒸気注入システム120に対する所望の槽温度を示す命令信号149Cを生成する。それに応じて、蒸気注入システム120は、局所加熱または冷却装置(図示せず)を使用して槽温度を所望の値に調整する。いくつかの実施形態において、計算システム130は、ウェハ調整サブシステム(図示せず)に対する所望のウェハ温度を示す命令信号(図示せず)を生成する。それに応じて、ウェハ調整サブシステムは、ウェハ加熱または冷却装置(図示せず)を使用してウェハ温度を所望の値に調整する。いくつかの実施形態において、計算システム130は、局所ウェハ加熱要素103に対する所望のウェハ温度を示す命令信号113(図1に描写される)を生成する。それに応じて、加熱装置103は、放射加熱要素を使用してウェハ温度を局所的に(すなわち測定場所のごく近辺において)所望の値に調整する。 In some embodiments, the tank temperature and wafer temperature are measured and communicated to the computing system 130. The calculation system determines the difference between the wafer temperature and the tank temperature and calculates the desired wafer temperature, tank temperature, or both. In some embodiments, the computing system 130 produces a command signal 149C indicating the desired bath temperature for the steam injection system 120. Accordingly, the steam injection system 120 adjusts the tank temperature to the desired value using a local heating or cooling device (not shown). In some embodiments, the computational system 130 produces a command signal (not shown) indicating the desired wafer temperature for the wafer conditioning subsystem (not shown). Accordingly, the wafer conditioning subsystem uses a wafer heating or cooling device (not shown) to adjust the wafer temperature to the desired value. In some embodiments, the computational system 130 produces an instruction signal 113 (as depicted in FIG. 1) indicating the desired wafer temperature for the local wafer heating element 103. Accordingly, the heating device 103 uses a radiant heating element to locally (ie, in the immediate vicinity of the measurement location) adjust the wafer temperature to the desired value.

いくつかの実施形態において、ウェハと槽との間の温度差の制御は、蒸気注入システム120と関連付けられた計算システムによって制御される。この意味で、計算システム130によるウェハと槽との間の温度差の制御は、非限定的な例として提供される。任意の好適な制御アーキテクチャおよび温度調節スキームは、本特許文書の範囲内で企図され得る。 In some embodiments, control of the temperature difference between the wafer and the tank is controlled by a computational system associated with the steam injection system 120. In this sense, the control of the temperature difference between the wafer and the tank by the calculation system 130 is provided as a non-limiting example. Any suitable control architecture and temperature control scheme can be contemplated within the scope of this patent document.

図3は、別の実施形態における蒸気注入システム120を例証する図である。同様の番号の付いた要素は、図2を参照して説明されるものと類似している。 FIG. 3 is a diagram illustrating the steam injection system 120 in another embodiment. The similarly numbered elements are similar to those described with reference to FIG.

図3に描写されるように、パージガス124の流れは、三方弁141を通過する。いくつかの実施形態において、三方弁141は、三方弁の位置に基づいて、バブラー140を通って流れるパージガス流124の部分145を、バブラー140を通って流れない部分146と比例させる。この様式では、充填物質がその中へ蒸発されるパージガス流124の量は、三方弁141によって制御される。図3に描写される実施形態において、計算システム130から蒸気注入システム120へ通信される命令信号125は、複数の信号149C〜Dを含む。図3に描写される実施形態において、信号149Dは、三方弁141の所望の位置の指標を含む。それに応じて、三方弁141は、所望の位置に、したがって充填物質がその中へ蒸発されるパージガス流の所望の割合に調整する。パージガス流124の部分145は、チェック弁142、質量流量制御器143を通過し、バブラー140内へと入る。バブラー140において、ある量の充填物質が、パージガス流124の部分145内へ蒸発されて、パージガスおよび充填物質の気体流147を生成する。気体流147は、バブラー140を通って流れなかったパージガスの部分146と組み合わされて気体流126を生成する。 As depicted in FIG. 3, the flow of purge gas 124 passes through the three-way valve 141. In some embodiments, the three-way valve 141 proportions portion 145 of the purge gas flow 124 flowing through the bubbler 140 to portion 146 that does not flow through the bubbler 140, based on the position of the three-way valve. In this mode, the amount of purge gas stream 124 at which the filler evaporates is controlled by the three-way valve 141. In the embodiment depicted in FIG. 3, the instruction signal 125 communicated from the computing system 130 to the steam injection system 120 includes a plurality of signals 149C-D. In the embodiment depicted in FIG. 3, the signal 149D includes an indicator of the desired position of the three-way valve 141. Accordingly, the three-way valve 141 is adjusted to the desired position and thus to the desired rate of purge gas flow in which the filler evaporates. Part 145 of the purge gas flow 124 passes through the check valve 142 and the mass flow controller 143 and enters the bubbler 140. In the bubbler 140, an amount of filler is evaporated into portion 145 of purge gas stream 124 to produce purge gas and filler gas stream 147. The gas stream 147 is combined with a portion 146 of the purge gas that did not flow through the bubbler 140 to produce a gas stream 126.

いくつかの実施形態において、三方弁141は、パージガス流124の全体が、三方弁の位置に基づいて、バブラー140またはバイパスバブラー140のいずれかを完全に通って流れるように制御される。この様式では、三方弁141の状態に応じて、気体流126が、充填物質のゼロ分圧を有する乾燥パージガス流124であるか、パージガス流124全体が充填物質の蒸発の対象となるかのいずれかである。 In some embodiments, the three-way valve 141 is controlled so that the entire purge gas stream 124 flows completely through either the bubbler 140 or the bypass bubbler 140, based on the position of the three-way valve. In this mode, depending on the state of the three-way valve 141, either the gas stream 126 is a dry purge gas stream 124 with zero partial pressure of the filler, or the entire purge gas stream 124 is subject to evaporation of the filler. Is it?

図3を参照して説明されるように、測定下にあるウェハに提供される充填物質の量は、充填物質の蒸発の対象となるパージガス流124の部分145をそうでないパージガス流124の部分146に対して調節することによって制御される。加えて、ウェハ温度での蒸発充填物質の飽和度は、ウェハ温度と槽温度との間の差を調節することによって制御される。 As described with reference to FIG. 3, the amount of filler provided to the wafer under measurement is the portion 145 of the purge gas stream 124 that is subject to evaporation of the filler and the portion 146 of the purge gas stream 124 that is not. It is controlled by adjusting against. In addition, the saturation of the evaporative filling material at the wafer temperature is controlled by adjusting the difference between the wafer temperature and the tank temperature.

別の実施形態において、周囲温度での蒸発充填物質の飽和度は、溶媒(すなわち充填物質)の液槽内に、溶媒のみの平衡蒸気圧と比較して溶媒の平衡蒸気圧を抑える不揮発性溶質を添加することによって制御される。1つの例において、溶媒としての水および不揮発性溶質(例えば、塩化ナトリウム、塩酸など)から形成される溶液は、純水の平衡蒸気圧より低い水の蒸気圧を呈する。図5は、水槽内の塩酸の濃度の関数としての水の分圧のプロット128を描写する。水に溶解された塩化ナトリウムの溶液について同様の結果が存在する。例えば、水に溶解された6パーセント塩化ナトリウムの溶液は、90%の相対湿度p/pをもたらす。 In another embodiment, the saturation of the evaporative filler at ambient temperature is a non-volatile solute in the solvent (ie, filler) tank that suppresses the solvent's equilibrium vapor pressure compared to the solvent's equilibrium vapor pressure. Is controlled by adding. In one example, a solution formed from water as a solvent and a non-volatile solute (eg, sodium chloride, hydrochloric acid, etc.) exhibits a water vapor pressure lower than the equilibrium vapor pressure of pure water. FIG. 5 depicts a plot 128 of the partial pressure of water as a function of the concentration of hydrochloric acid in the aquarium. Similar results exist for solutions of sodium chloride dissolved in water. For example, a solution of 6 percent sodium chloride dissolved in water results in 90% relative humidity p / p 0 .

これらの実施形態において、蒸発充填物質(すなわち溶媒)の飽和度は、溶液中の溶質の濃度を制御することによって調節される。いくつかの実施形態において、槽内の溶媒の量は、所望の濃度、したがって蒸発溶媒の所望の分圧を維持するように制御される。これらの実施形態において、槽温度が名目上は周囲温度(すなわちウェハ温度)に維持される限りは正確な温度制御は必要ではない。 In these embodiments, the saturation of the evaporative packing material (ie, solvent) is adjusted by controlling the concentration of solute in the solution. In some embodiments, the amount of solvent in the tank is controlled to maintain the desired concentration and thus the desired partial pressure of the evaporative solvent. In these embodiments, accurate temperature control is not required as long as the tank temperature is nominally maintained at ambient temperature (ie, wafer temperature).

一般には、任意の好適なパージガスおよび充填物質が、本明細書に説明されるような測定の実施における使用のために選択され得る。例示的なパージガスとしては、不活性ガス、窒素、および清浄な乾燥空気が挙げられる。好適なパージガスの選択は、半導体製作工場における可用性により主に決まる。例示的な充填物質としては、水、エタノール、イソプロピルアルコール、メタノール、ベンゼン、トルエンなどが挙げられる。好適な充填物質の選択は、蒸気圧、空隙充填特性、光学特性、および充填物質と測定下にある試料との任意の化学的相互作用を制御する能力により決まる。 In general, any suitable purge gas and filler may be selected for use in performing measurements as described herein. Exemplary purge gases include inert gases, nitrogen, and clean dry air. The choice of suitable purge gas is largely determined by availability in the semiconductor manufacturing plant. Exemplary fillers include water, ethanol, isopropyl alcohol, methanol, benzene, toluene and the like. The choice of suitable packing material depends on the vapor pressure, void filling properties, optical properties, and the ability to control any chemical interaction between the filling material and the sample under measurement.

例えば、液体充填物質は入射光を屈折させるだけでなく入射光を吸収するため、充填物質の屈折率および充填物質の吸収係数が、根本となる測定モデルにおいて考慮される。これらの特性の両方は、特に比較的短い照明波長(例えば、120ナノメートル〜190ナノメートルの範囲にわたる真空紫外波長)では、充填ありで実施される測定と充填なしで実施される測定との間に差を生み出す。したがって、屈折率および吸収係数の両方において空気とは実質的に異なる液体充填物質の選択は、マルチターゲット測定分析において低減されたパラメータ相関の有利な条件を提供する。 For example, since the liquid filler not only refracts the incident light but also absorbs the incident light, the refractive index of the filler and the absorption coefficient of the filler are taken into account in the underlying measurement model. Both of these properties are between measurements performed with and without filling, especially at relatively short illumination wavelengths (eg, vacuum UV wavelengths ranging from 120 nanometers to 190 nanometers). Make a difference. Therefore, the selection of liquid fillers that are substantially different from air in both refractive index and absorption coefficient provides favorable conditions for reduced parameter correlation in multi-target measurement analysis.

加えて、屈折率および吸収係数の両方が照明波長の関数として変化する液体充填物質の選択は、スペクトル測定分析において低減されたパラメータ相関の有利な条件を提供する。図6は、波長の関数としての脱イオン水の分散のプロット135を描写する。プロット線136は吸光係数を描写し、プロット線137は屈折率を描写する。図6に描写されるように、脱イオン水は、紫外、真空紫外、および深紫外領域、ならびに赤外領域において強い分散変化を呈する。これらの波長範囲において操作する分光装置は、周期構造体において水が凝縮物として使用されるときに分散変化を利用する。 In addition, the selection of liquid fillers in which both the index of refraction and the absorption coefficient change as a function of the illumination wavelength provides favorable conditions for reduced parameter correlation in spectral measurement analysis. FIG. 6 depicts plot 135 of the dispersion of deionized water as a function of wavelength. Plot lines 136 depict the extinction coefficient and plot lines 137 depict the refractive index. As depicted in FIG. 6, deionized water exhibits strong dispersion changes in the ultraviolet, vacuum ultraviolet, and deep ultraviolet and infrared regions. Spectroscopes operating in these wavelength ranges utilize dispersion changes when water is used as a condensate in periodic structures.

いくつかの実施形態において、測定は、100ナノメートル〜2,500ナノメートルの幅広い範囲の波長を捕捉するいくつかの異なるスペクトル計測学技術により、充填物質として脱イオン水を使用して実施される。例示的な計測学技術としては、分光エリプソメトリ、ミュラー行列エリプソメトリ、分光リフレクトメトリ、角度分解リフレクトメトリなどが挙げられる。 In some embodiments, the measurements are performed using deionized water as the packing material by several different spectral metrology techniques that capture a wide range of wavelengths from 100 nanometers to 2,500 nanometers. .. Illustrative metrological techniques include spectroscopic ellipsometry, Muller matrix ellipsometry, spectroscopic reflectometry, and angle-resolved reflectometry.

さらなる態様において、照明波長で蛍光を発する液体充填物質の選択は、画像ベースの測定分析において低減されたパラメータ相関の有利な条件を提供する。いくつかの実施形態において、充填物質の蛍光は、画像コントラストを強化し、画像ベースのオーバーレイ、画像ベースの検査(例えば、暗視野および明視野検査)などの画像ベースの測定技術の測定性能を改善する。 In a further embodiment, the selection of a liquid filler that fluoresces at the illumination wavelength provides an advantageous condition for reduced parameter correlation in image-based measurement analysis. In some embodiments, the fluorescence of the filler enhances image contrast and improves the measurement performance of image-based measurement techniques such as image-based overlays, image-based inspections (eg, darkfield and brightfield inspections). To do.

さらなる態様において、毛管凝縮が用いられて、毛管凝縮による計測ターゲットの測定中に計測ターゲット自体(例えば、限界寸法(CD)構造体、グレーティング構造体、オーバーレイ構造体など)の幾何学的な構造的特徴部間の空間を充填する。一般には、気体流126内の蒸発物質の所望の飽和度は、毛管凝縮によって充填されるべき最大特徴部サイズに基づいて決定される。毛管凝縮は、小さい特徴部(例えば、切欠き、溝、スリット、コンタクトホールなどの小容積)に充填物質を充填するために用いられる。ケルビンの式は、特定の充填材料、充填物質の分圧、および周囲温度(例えばウェハ温度)について、充填され得る最大特徴部サイズの近似値を提供する。式(3)は、2つの異なる半径rおよびrを有する凝縮メニスカスについてのケルビンの式を例証し、式中、Rは理想のガス定数であり、Tは周囲温度であり、Vは充填物質のモル体積であり、γは充填物質と関連付けられた表面張力定数であり、p/pは、充填物質の分圧である。
In a further embodiment, capillary condensation is used to geometrically structure the measurement target itself (eg, critical dimension (CD) structure, grating structure, overlay structure, etc.) during measurement of the measurement target by capillary condensation. Fill the space between the features. In general, the desired saturation of the evaporated material in the gas stream 126 is determined based on the maximum feature size to be filled by capillary condensation. Capillary condensation is used to fill small features (eg, small volumes such as notches, grooves, slits, contact holes, etc.) with filler. The Kelvin equation provides an approximation of the maximum feature size that can be filled for a particular filling material, partial pressure of the packing material, and ambient temperature (eg, wafer temperature). Equation (3) illustrates Kelvin's equation for a condensed meniscus with two different radii r 1 and r 2 , where R is the ideal gas constant, Ta is the ambient temperature, and V is It is the molar volume of the packing material, γ is the surface tension constant associated with the filling material, and p / p 0 is the partial pressure of the filling material.

図7は、水、トルエン、およびエタノールと関連付けられたモル体積および表面張力を例証する表129を描写する。 FIG. 7 illustrates Table 129 exemplifying the molar volume and surface tension associated with water, toluene, and ethanol.

円筒孔特徴部の場合、rはrと等しい。図8は、式(3)に従って毛管凝縮により充填され得る円筒孔の最大径を例証するプロット172を描写する。プロット172は、水(プロット線175)、エタノール(プロット線174)、およびトルエン(プロット線173)によって充填され得る円筒孔の最大径を、摂氏25度の周囲温度での各充填物質の様々な分圧に対して描写する。図8に描写されるように、最大40ナノメートルの直径を有する円筒孔は、気体流126が95%以上の水またはエタノールの分圧で計測ターゲットに提供されるときに充填され得る。さらに図8に描写されるように、最大90ナノメートルの直径を有する円筒孔は、気体流126が95%以上のトルエンの分圧で計測ターゲットに提供されるときに充填され得る。 In the case of a cylindrical hole feature, r 1 is equal to r 2 . FIG. 8 depicts a plot 172 exemplifying the maximum diameter of a cylindrical hole that can be filled by capillary condensation according to equation (3). Plot 172 shows the maximum diameter of cylindrical holes that can be filled with water (plot line 175), ethanol (plot line 174), and toluene (plot line 173), with a variety of packing materials at ambient temperatures of 25 degrees Celsius. Depict for partial pressure. As depicted in FIG. 8, a cylindrical hole with a diameter of up to 40 nanometers can be filled when the gas stream 126 is provided to the measurement target with a partial pressure of 95% or more water or ethanol. Further, as depicted in FIG. 8, a cylindrical hole having a diameter of up to 90 nanometers can be filled when the gas stream 126 is provided to the measurement target with a partial pressure of 95% or more of toluene.

線および空間の場合、rはゼロである。図9は、式(3)に従って毛管凝縮により充填され得る長い溝様特徴部の最大径を例証するプロット160を描写する。プロット160は、水(プロット線164)、エタノール(プロット線163)、およびトルエン(プロット線162)によって充填され得る溝の最大径を、摂氏25度の周囲温度での各充填物質の様々な分圧に対して描写する。例証されるように、長い溝様特徴部を横切る最大径は、円筒孔特徴部の最大径の半分である。図8および図9に描写されるように、充填物質としてのエタノールの性能が水に非常に類似していることが理由で、水およびエタノールのプロット線は重複すると思われる。 For lines and spaces, r 2 is zero. FIG. 9 depicts plot 160 exemplifying the maximum diameter of long groove-like features that can be filled by capillary condensation according to equation (3). Plot 160 shows the maximum diameter of a groove that can be filled with water (plot line 164), ethanol (plot line 163), and toluene (plot line 162) for various fractions of each filling material at an ambient temperature of 25 degrees Celsius. Depict against pressure. As illustrated, the maximum diameter across a long groove-like feature is half the maximum diameter of the cylindrical hole feature. As depicted in FIGS. 8 and 9, the plot lines of water and ethanol appear to overlap because the performance of ethanol as a filler is very similar to that of water.

1つの態様において、周囲温度Tでの蒸発充填物質の飽和度は、所望の最大特徴部サイズ未満のすべての特徴部が充填されるように調整される。いくつかの実施形態において、これは、本明細書内で先に説明されるように蒸発の対象となるパージガスの流れと蒸発の対象とならないパージガスの流れとの比を制御することによって達成される。いくつかの実施形態において、これは、ウェハと充填物質の液槽との間の温度差を制御することによって達成される。いくつかの他の実施形態において、これは、充填物質の液槽に溶解される不揮発性溶質の濃度を制御することによって達成される。 In one embodiment, saturation of evaporation filler material at ambient temperature T a, all of the features of less than a desired maximum feature size is adjusted to be filled. In some embodiments, this is achieved by controlling the ratio of the flow of purge gas that is subject to evaporation to the flow of purge gas that is not subject to evaporation, as described earlier herein. .. In some embodiments, this is achieved by controlling the temperature difference between the wafer and the liquid tank of the filler. In some other embodiments, this is achieved by controlling the concentration of the non-volatile solute dissolved in the tank of the filler.

さらなる態様において、最大特徴部サイズの範囲未満のすべての特徴部が充填されるように、測定は、周囲温度での蒸発充填物質の異なる飽和度で実施される。測定は、マルチターゲットモデルベース測定において、1つ以上の目的とするパラメータの値を推定するために組み合わされ、低減されたパラメータ相関および改善された測定性能を伴う。 In a further embodiment, the measurements are performed at different saturations of the evaporative packing material at ambient temperature so that all features below the range of maximum feature size are filled. The measurements are combined to estimate the value of one or more parameters of interest in a multi-target model-based measurement, with reduced parameter correlation and improved measurement performance.

図10は、基板151上に製作された周期的な二次元のレジストグレーティング構造体152を有する未充填の線空間計測ターゲット150を例証する。グレーティング構造体152は、7ナノメートルの公称上部限界寸法(TCD)および50ナノメートルの高さHを有する。 FIG. 10 illustrates an unfilled linespace measurement target 150 having a periodic two-dimensional resist grating structure 152 made on a substrate 151. The grating structure 152 has a nominal upper limit dimension (TCD) of 7 nanometers and a height H of 50 nanometers.

図11は、充填された線空間計測ターゲット155を例証する。線空間計測ターゲット155は、基板151上に製作された同じ周期的な2次元のレジストグレーティング構造体152を含むが、レジストグレーティング構造体152間の空間は充填物質153で充填される。これは、1つの例において、気体流126をおよそ70%以上の分圧でトルエンを含んで計測ターゲット155に提供することによって達成され得る。別の例では、グレーティング構造体152の充填は、気体流126をおよそ85%以上の分圧で水またはエタノールを含んで計測ターゲット155に提供することによって達成され得る。 FIG. 11 illustrates a filled line space measurement target 155. The line space measurement target 155 includes the same periodic two-dimensional resist grating structure 152 made on the substrate 151, but the space between the resist grating structures 152 is filled with the filler 153. This can be achieved in one example by providing the gas stream 126 with toluene at a partial pressure of approximately 70% or greater to the measurement target 155. In another example, filling of the grating structure 152 can be achieved by providing a gas stream 126 to the measurement target 155 with water or ethanol at a partial pressure of approximately 85% or greater.

図12Aは、円筒コンタクトホールを有する上層を含む多層を有する未充填の計測ターゲット156を描写する。図12Aに例証されるように、計測ターゲット156は、135ナノメートルの公称高さを有する、第1の層166、第2の層167、第3の層168、および第4の層169を含む。第4の層は、10ナノメートルの公称直径を有する、第4の層を通る円筒孔特徴部170を含む。計測ターゲット165の構造体は、40ナノメートルの公称幅および40ナノメートルの公称長さを有する。 FIG. 12A depicts an unfilled measurement target 156 with multiple layers, including an upper layer with cylindrical contact holes. As illustrated in FIG. 12A, the measurement target 156 includes a first layer 166, a second layer 167, a third layer 168, and a fourth layer 169, having a nominal height of 135 nanometers. .. The fourth layer includes a cylindrical hole feature 170 through the fourth layer, which has a nominal diameter of 10 nanometers. The structure of the measurement target 165 has a nominal width of 40 nanometers and a nominal length of 40 nanometers.

図12Bは、円筒孔170がある量の充填物質171で充填されていることを除き、同じ計測ターゲット156を含む充填された計測ターゲット157を描写する。これは、1つの例において、気体流126をおよそ85%以上の分圧でトルエンを含んで計測ターゲット156に提供することによって達成され得る。別の例では、円筒孔170の充填は、気体流126をおよそ95%以上の分圧で水またはエタノールを含んで計測ターゲット155に提供することによって達成され得る。 FIG. 12B depicts a filled measurement target 157 containing the same measurement target 156, except that the cylindrical hole 170 is filled with a certain amount of filling material 171. This can be achieved in one example by providing the gas stream 126 with toluene at a partial pressure of approximately 85% or greater to the measurement target 156. In another example, filling of the cylindrical hole 170 can be achieved by providing a gas stream 126 to the measurement target 155 with water or ethanol at a partial pressure of approximately 95% or greater.

図10〜図12Bに描写される計測ターゲットは、非限定的な例として提供される。一般に、測定箇所は、測定システム(例えば、図1に描写される計測システム100)によって測定される1つ以上の計測ターゲットを含む。一般に、測定データ収集は、ウェハ全体またはウェハ面積の部分集合にわたって実施され得る。加えて、いくつかの実施形態において、計測ターゲットは、印刷適性、およびプロセスパラメータ、目的とする構造パラメータ、または両方における変化への感受性について設計される。いくつかの例において、計測ターゲットは、特殊用途ターゲットである。いくつかの実施形態において、計測ターゲットは、従来型の線/空間ターゲットに基づく。非限定的な例として、KLA−Tencor Corporation、Milpitas、California(USA)より入手可能なCDターゲット、SCOLターゲット、またはAiM(商標)ターゲットが用いられ得る。いくつかの他の実施形態において、計測ターゲットは、デバイス様の構造体である。いくつかの他の例において、計測ターゲットは、デバイス構造体、またはデバイス構造体の部分である。用いられる計測ターゲットの種類にかかわらず、調査されているプロセス変動、構造的変動、または両方に対する感受性を呈する計測ターゲットのセットは、本明細書に説明されるように毛管凝縮による形状充填を使用して測定される。 The measurement targets depicted in FIGS. 10-10B are provided as non-limiting examples. Generally, the measurement location includes one or more measurement targets measured by a measurement system (eg, the measurement system 100 depicted in FIG. 1). In general, measurement data collection can be performed over the entire wafer or a subset of the wafer area. In addition, in some embodiments, the measurement target is designed for printability and sensitivity to changes in process parameters, structural parameters of interest, or both. In some examples, the measurement target is a special purpose target. In some embodiments, the measurement target is based on a conventional line / spatial target. As non-limiting examples, CD targets, SCOL targets, or AiM ™ targets available from KLA-Tencor Corporation, Milpitas, California (USA) can be used. In some other embodiments, the measurement target is a device-like structure. In some other examples, the measurement target is a device structure, or part of a device structure. Regardless of the type of measurement target used, a set of measurement targets that are sensitive to process variation, structural variation, or both being investigated uses shape filling by capillary condensation as described herein. Is measured.

別の態様において、測定データは、構造体が充填される(すなわち本明細書に説明されるような毛管凝縮の対象となる)とき、および構造体が充填されていない(すなわち毛管凝縮の対象とならない)ときに構造体(例えば、CD構造体、オーバーレイ構造体など)から収集される。収集されたデータは、マルチターゲットモデルベース測定において、測定性能を改善するために組み合わされる。1つの例において、測定データは、計測ターゲット156が図12Aに描写されるように未充填であるときに収集される。このシナリオでは、気体流126は、流れの中に蒸発される充填物質なしに計測ターゲット156に提供される。加えて、測定データは、計測ターゲット156が図12Bに描写されるように充填されるときに収集される。このシナリオでは、気体流126は、図12Bを参照して説明されるように円筒孔170を充填するために充填物質の十分な飽和を伴って計測ターゲット156に提供される。収集されたデータは、計算システム130によって受信される。計算システム130は、目的とするパラメータの値を推定するためにマルチターゲットモデルを用いて両方の測定データセットを利用してモデルベース測定分析を実施する。いくつかの例において、本明細書に説明されるマルチターゲットモデルは、例えば、KLA−Tencor Corporation、Milpitas、California、USAから入手可能なAcuShape(登録商標)ソフトウェアを実装する計算システムによってオフラインで実施される。結果として生じるマルチターゲットモデルは、マルチターゲットモデルを使用した測定を実施する計測システムによってアクセス可能であるAcuShape(登録商標)ライブラリの要素として組み込まれる。 In another embodiment, the measurement data is when the structure is filled (ie subject to capillary condensation as described herein) and when the structure is unfilled (ie subject to capillary condensation). When it does not) it is collected from a structure (eg, a CD structure, an overlay structure, etc.). The data collected are combined to improve measurement performance in multi-target model-based measurements. In one example, measurement data is collected when the measurement target 156 is unfilled as depicted in FIG. 12A. In this scenario, the gas stream 126 is provided to the measurement target 156 without the filler evaporating into the stream. In addition, measurement data is collected when the measurement target 156 is filled as depicted in FIG. 12B. In this scenario, the gas stream 126 is provided to the measurement target 156 with sufficient saturation of the filling material to fill the cylindrical holes 170 as described with reference to FIG. 12B. The collected data is received by the computing system 130. Computational system 130 uses both measurement data sets to perform model-based measurement analysis using a multi-target model to estimate the values of the parameters of interest. In some examples, the multi-target model described herein is performed offline by a computing system that implements AcuShape® software available from, for example, KLA-Tencor Corporation, Milpitas, California, USA. To. The resulting multi-target model is incorporated as an element of the AcuShape® library, which is accessible by measurement systems that perform measurements using the multi-target model.

図13は、図12Aにおいて描写される計測ターゲット156のいくつかのパラメータについて、形状充填なしで得られた測定結果と、形状充填ありおよびなしで収集されたデータを使用するマルチターゲットモデルにより得られた測定結果との比較を描写する。パラメータL1_HTは、図12Aに描写される計測ターゲット156の第1の層166の高さを指す。L2_HTは、第2の層167の高さを指す。L3_HTは、第3の層168の高さを指す。G4_TCDは、円筒孔170の上部限界寸法を指す。G4_BCDは、円筒孔170の下部限界寸法を指す。G4_ELは、円筒孔170の楕円率を指す。図13に描写されるように、L1_HT、L2_HT、L3_HT、G4_TCD、G4_BCD、およびG4_ELの各々の測定精度の改善は、それぞれ測定棒線177A〜Fによって例証されるような著しい割合で改善される。同様に、L1_HT、L2_HT、L3_HT、G4_TCD、G4_BCD、およびG4_ELの各々の測定相関は、それぞれ測定棒線178A〜Fによって例証されるような著しい割合で改善(すなわち低減)される。 FIG. 13 is obtained by a multi-target model using the measurement results obtained without shape filling and the data collected with and without shape filling for some parameters of the measurement target 156 depicted in FIG. 12A. Describe the comparison with the measurement results. The parameter L1_HT refers to the height of the first layer 166 of the measurement target 156 depicted in FIG. 12A. L2_HT refers to the height of the second layer 167. L3_HT refers to the height of the third layer 168. G4_TCD refers to the upper limit dimension of the cylindrical hole 170. G4_BCD refers to the lower limit dimension of the cylindrical hole 170. G4_EL refers to the ellipticity of the cylindrical hole 170. As depicted in FIG. 13, the improvement in measurement accuracy of each of L1_HT, L2_HT, L3_HT, G4_TCD, G4_BCD, and G4_EL is improved at a significant rate as illustrated by the measurement bars 177A-F, respectively. Similarly, the measurement correlations of L1_HT, L2_HT, L3_HT, G4_TCD, G4_BCD, and G4_EL are improved (ie, reduced) at a significant rate, as illustrated by the measurement bars 178A-F, respectively.

図16は、未充填および充填の両方の状態にある同じ構造体の測定についての分光エリプソメトリパラメータαのプロット220を描写する。プロット線221は、構造体が未充填のときの測定シナリオについてのスペクトル結果を描写する。プロット線222は、構造体が充填されているときの測定シナリオのスペクトル結果を描写する。 FIG. 16 depicts a plot 220 of spectroscopic ellipsometry parameters α for measurements of the same structure in both unfilled and filled states. Plot line 221 depicts the spectral results for a measurement scenario when the structure is unfilled. Plot line 222 depicts the spectral results of the measurement scenario when the structure is filled.

図17は、図16に描写される分光エリプソメトリ測定間のスペクトル差のプロット230を描写する。プロット線231は、パラメータαについての測定結果間の差を描写する。図17に描写されるように、スペクトル差は極めて劇的である。これらのデータセットは、相関を崩し、測定性能を改善するためにマルチターゲット分析に効果的に用いられる。 FIG. 17 depicts a plot 230 of spectral differences between spectroscopic ellipsometry measurements depicted in FIG. Plot line 231 depicts the difference between the measurement results for parameter α. As depicted in FIG. 17, the spectral differences are extremely dramatic. These datasets are effectively used in multi-target analysis to break correlations and improve measurement performance.

図18は、未充填および充填の両方の状態にある同じ構造体の測定についての分光エリプソメトリパラメータβのプロット240を描写する。プロット線241は、構造体が未充填のときの測定シナリオについてのスペクトル結果を描写する。プロット線242は、構造体が充填されているときの測定シナリオのスペクトル結果を描写する。 FIG. 18 depicts a plot 240 of spectroscopic ellipsometry parameters β for measurements of the same structure in both unfilled and filled states. Plot line 241 depicts the spectral results for a measurement scenario when the structure is unfilled. Plot line 242 depicts the spectral results of the measurement scenario when the structure is filled.

図19は、図18に描写される分光エリプソメトリ測定間のスペクトル差のプロット250を描写する。プロット線251は、パラメータαについての測定結果間の差を描写する。図19に描写されるように、スペクトル差は極めて劇的である。ここでも、これらのデータセットは、相関を崩し、測定性能を改善するためにマルチターゲット分析に効果的に用いられる。 FIG. 19 depicts a plot 250 of spectral differences between spectroscopic ellipsometry measurements depicted in FIG. Plot line 251 depicts the difference between the measurement results for parameter α. As depicted in FIG. 19, the spectral differences are extremely dramatic. Again, these datasets are effectively used in multi-target analysis to break correlations and improve measurement performance.

別の態様において、一連の測定は、計測ターゲット構造体が異なる充填物質または異なる充填物質の組み合わせで充填されるときに測定データの各セットが計測ターゲット構造体から収集されるように実施される。収集されたデータは、マルチターゲットモデルベース測定において、パラメータ相関を低減し測定性能を改善するために組み合わされる。 In another embodiment, the series of measurements is performed such that each set of measurement data is collected from the measurement target structure when the measurement target structure is filled with different fillers or combinations of different fillers. The data collected are combined to reduce parameter correlation and improve measurement performance in multi-target model-based measurements.

別の態様において、測定データは、凝縮プロセスが安定状態に達したときに凝縮の対象となる計測ターゲットから収集される。言い換えると、凝縮プロセスにより提供される充填物の量は安定状態に達している。 In another embodiment, the measurement data is collected from the measurement target to be condensed when the condensation process reaches a stable state. In other words, the amount of filling provided by the condensation process has reached a stable state.

さらに別の態様において、測定データは、凝縮プロセスが安定状態に達する前に凝縮の対象となる計測ターゲットから収集される。言い換えると、凝縮プロセスにより提供される充填物の量は、測定の期間にわたって変化している。 In yet another embodiment, the measurement data is collected from the measurement target to be condensed before the condensation process reaches a stable state. In other words, the amount of filling provided by the condensation process varies over the duration of the measurement.

図14は、毛管凝縮の対象となる構造体の測定を実施するための方法200を例証する。方法200は、本発明の図1に例証される計測システム100などの計測システムによる実施に好適である。1つの態様において、方法200のデータ処理ブロックは、計算システム130または任意の他の汎用計算システムの1つ以上のプロセッサによって実行される事前プログラムされたアルゴリズムを介して行われ得ることが理解される。計測システム100の特定の構造的態様は、制限を意味するものではなく、例証としてのみ解釈されるべきであることが本明細書において理解される。 FIG. 14 illustrates method 200 for performing measurements of structures subject to capillary condensation. The method 200 is suitable for implementation by a measurement system such as the measurement system 100 illustrated in FIG. 1 of the present invention. In one embodiment, it is understood that the data processing block of method 200 can be performed via a preprogrammed algorithm executed by one or more processors of computing system 130 or any other general purpose computing system. .. It is understood herein that a particular structural aspect of the measurement system 100 does not imply any limitation and should only be construed as an example.

ブロック201では、第1の量の照明光が、試料上に設置された1つ以上の構造要素に提供される。 At block 201, a first amount of illumination light is provided to one or more structural elements placed on the sample.

ブロック202では、気相の第1の充填物質を含む第1の気体流が、1つ以上の構造要素の照明の間、1つ以上の構造要素に提供される。第1の充填物質の一部分は、液相の1つ以上の構造要素上に凝縮される。この第1の充填物質の一部分は、1つ以上の構造要素の1つ以上の幾何特徴部間の空間の少なくともの一部分を充填する。 At block 202, a first gas stream containing the first filler in the gas phase is provided to the one or more structural elements during the illumination of the one or more structural elements. A portion of the first filler is condensed onto one or more structural elements of the liquid phase. A portion of this first filling material fills at least a portion of the space between one or more geometric features of one or more structural elements.

ブロック203では、第1の量の集光された光が、第1の量の照明光に応答して1つ以上の構造要素から検出される。 At block 203, a first amount of focused light is detected from one or more structural elements in response to a first amount of illumination light.

ブロック204では、第1の量の集光された光を示す測定信号の第1のセットが生成される。 At block 204, a first set of measurement signals indicating a first amount of focused light is generated.

図1に描写される実施形態において、様々な量の液体充填物質を有する気体流の対象となる計測ターゲットの分光エリプソメータ測定が実施される。しかしながら、一般には、任意の好適な計測技術が、本明細書に記載される方法およびシステムに従って、様々な量の液体充填物質を有する気体流の対象となる計測ターゲットの測定を実施するために用いられ得る。 In the embodiment depicted in FIG. 1, spectroscopic ellipsometer measurements of measurement targets of gas flow with varying amounts of liquid filler are performed. However, in general, any suitable measurement technique is used to perform measurements of measurement targets subject to gas flow with varying amounts of liquid filler according to the methods and systems described herein. Can be.

好適な計測技術としては、限定されるものではないが、単一波長、多波長、および角度分解実装形態を含む分光エリプソメトリおよび分光リフレクトメトリ、分光スキャテロメトリ、スキャテロメトリオーバーレイ、角度分解および偏光分解実装形態を含むビームプロファイルリフレクトメトリおよびビームプロファイルエリプソメトリ、撮像オーバーレイ、暗視野および明視野パターン化ウェハ検査が挙げられ、個々に、または任意の組み合わせで企図され得る。 Suitable measurement techniques include, but are not limited to, spectroscopic ellipsometry and spectroscopic reflectometry, spectroscopic scatterometry, scatterometry overlays, angle resolution and, including, but not limited to, single wavelength, multi-wavelength, and angle-resolved implementations. Beam profile reflectometry and beam profile ellipsometry, imaging overlays, dark-field and bright-field patterned wafer inspections, including polarization resolution implementations, can be contemplated individually or in any combination.

1つの例において、充填された構造体の画像および未充填状態にある同じ構造体の画像が、オーバーレイ、パターン化ウェハ欠陥などの画像ベースの測定において利用される。別の例においては、充填された構造体の画像のみが、オーバーレイ、パターン化ウェハ欠陥などの画像ベースの測定において利用される。撮像オーバーレイ例においては、AIMターゲットまたはボックスインボックスターゲットが、オーバーレイ誤差を推定するために充填され測定され分析される。これらの例において、画像ベースの分析は、目的とするパラメータの値を推定するために用いられる。 In one example, an image of a filled structure and an image of the same unfilled structure are utilized in image-based measurements of overlays, patterned wafer defects, and the like. In another example, only images of the filled structure are utilized in image-based measurements such as overlays, patterned wafer defects, etc. In the imaging overlay example, an AIM target or box-in-box target is filled, measured and analyzed to estimate overlay error. In these examples, image-based analysis is used to estimate the values of the parameters of interest.

一般に、前述の測定技術は、プロセスパラメータ、構造パラメータ、レイアウトパラメータ、分散パラメータ、またはそれらの任意の組み合わせの測定に適用され得る。非限定的な例として、オーバーレイ、プロファイル幾何形状パラメータ(例えば、限界寸法、高さ、側壁角度)、プロセスパラメータ(例えば、リソグラフィ焦点、およびリソグラフィ照射量)、分散パラメータ、レイアウトパラメータ(例えば、ピッチウォーク、載置縁誤差)、膜厚、組成パラメータ、またはパラメータの任意の組み合わせが、前述の技術を使用して測定され得る。 In general, the measurement techniques described above can be applied to the measurement of process parameters, structural parameters, layout parameters, distribution parameters, or any combination thereof. Non-limiting examples include overlays, profile geometry parameters (eg, limit dimensions, height, sidewall angles), process parameters (eg, lithography focus, and lithography dose), dispersion parameters, layout parameters (eg, pitchwalk). , Placement edge error), film thickness, composition parameters, or any combination of parameters can be measured using the techniques described above.

非限定的な例として、形状充填により測定される構造体は、線空間グレーティング構造体、FinFet構造体、SRAMデバイス構造体、フラッシュメモリ構造体、およびDRAMメモリ構造体を含む。 As a non-limiting example, the structures measured by shape filling include line space grating structures, FinFet structures, SRAM device structures, flash memory structures, and DRAM memory structures.

別のさらなる態様において、ウェハ上に位置する計測ターゲットは、設計基準寸法ターゲットである。言い換えると、計測ターゲットは、根本となる半導体製造プロセスに適用可能な設計基準寸法を忠実に守る。いくつかの例において、計測ターゲットは、好ましくは、アクティブダイ領域内に位置する。いくつかの例において、計測ターゲットは、15マイクロメートル×15マイクロメートル以下の寸法を有する。いくつかの他の例において、計測ターゲットは、スクライブ線内に位置するか、または別の方式でアクティブダイ領域の外側に位置する。 In another further aspect, the measurement target located on the wafer is a design reference dimension target. In other words, the measurement target adheres to the design reference dimensions applicable to the underlying semiconductor manufacturing process. In some examples, the measurement target is preferably located within the active die region. In some examples, the measurement target has dimensions of 15 micrometers x 15 micrometers or less. In some other examples, the measurement target is located within the scribe line or otherwise outside the active die region.

いくつかの例において、モデルベース測定は、1つの目的とするパラメータを推定するために形状充填を用いて実施される。したがって、目的とするパラメータと関連付けられた測定モデルは、個別に最適化される。各々の目的とするパラメータを個別に測定することによって、計算負荷が低減され、根本となる測定の性能は、異なる波長、測定サブシステム、および各々の個別のパラメータに対して最適化される測定方法を選択することによって最大限にされ得る。加えて、各々の目的とするパラメータに対して、異なるモデルベース測定ソルバが、選択され得るか、または異なって構成され得る。 In some examples, model-based measurements are performed using shape filling to estimate one parameter of interest. Therefore, the measurement model associated with the parameter of interest is individually optimized. By measuring each desired parameter individually, the computational load is reduced and the underlying measurement performance is optimized for different wavelengths, measurement subsystems, and each individual parameter. Can be maximized by choosing. In addition, different model-based measurement solvers may be selected or configured differently for each desired parameter.

しかしながら、いくつかの他の例において、モデルベース測定は、複数の目的とするパラメータを並行して推定するために形状充填を用いて実施される。したがって、測定モデルは、複数の目的のパラメータを解明するように開発される。 However, in some other examples, model-based measurements are performed using shape filling to estimate multiple parameters of interest in parallel. Therefore, measurement models are developed to elucidate multiple parameters of interest.

いくつかの例において、特定の測定箇所で実施される目的とするパラメータの測定は、データがウェハ上の複数箇所から収集され得るとしても、その特定の測定箇所のみから収集されるデータに依存する。いくつかの他の例において、ウェハまたはウェハのサブセットにわたって複数箇所から収集される測定データが測定分析のために使用される。これは、ウェハにわたるパラメータ変動を捕捉するのに望ましい場合がある。 In some examples, the measurement of the desired parameter performed at a particular measurement point depends on the data collected only from that particular measurement point, even if the data can be collected from multiple points on the wafer. .. In some other examples, measurement data collected from multiple locations over a wafer or a subset of wafers is used for measurement analysis. This may be desirable to capture parameter variation across wafers.

いくつかの例において、目的とするパラメータの測定は、シングルターゲット技術、マルチターゲット技術、およびスペクトル前方フィード技術を含む複数の異なる測定技術を用いて、充填された計測ターゲットに基づいて実施される。測定されるパラメータの精度は、側方フィード分析、前方フィード分析、および並行分析の任意の組み合わせによって改善され得る。側方フィード分析は、同じ試料の異なる領域において複数のデータセットを取り、第1のデータセットから決定された共通パラメータを分析のために第2のデータセットに渡すことを指す。前方フィード分析は、異なる試料においてデータセットを取り、段階的な複製精密パラメータ前方フィード手法を使用して共通パラメータを次の分析へと前へ渡すことを指す。並行分析は、少なくとも1つの共通パラメータがフィッティング中に結合される複数のデータセットに対する非線形フィッティング方法論の並行または同時応用を指す。 In some examples, measurements of the parameters of interest are performed based on the filled measurement target using a number of different measurement techniques, including single-target techniques, multi-target techniques, and spectral forward feed techniques. The accuracy of the measured parameters can be improved by any combination of side feed analysis, forward feed analysis, and parallel analysis. Lateral feed analysis refers to taking multiple datasets in different regions of the same sample and passing the common parameters determined from the first dataset to a second dataset for analysis. Forward feed analysis refers to taking datasets in different samples and using a stepwise replication precision parameter forward feed technique to pass common parameters forward to the next analysis. Parallel analysis refers to the parallel or simultaneous application of nonlinear fitting methodologies to multiple datasets in which at least one common parameter is combined during fitting.

複数のツールおよび構造体分析は、回帰、ルックアップテーブル(すなわち「ライブラリ」一致)、または複数データベースの別のフィッティング手順に基づいた、前方フィード、側方フィード、平行分析を指す。複数のツールおよび構造体分析のための例示的な方法およびシステムは、2009年1月13日発行のKLA−Tencor Corp.に対する米国特許第7,478,019号に記載されており、その全体は本願に引用して援用する。 Multiple tool and structure analysis refers to forward feed, side feed, parallel analysis based on regression, look-up table (ie, "library" match), or different fitting procedures for multiple databases. An exemplary method and system for multiple tools and structure analysis is available from KLA-Tencor Corp., published January 13, 2009. U.S. Pat. No. 7,478,019, which is hereby incorporated by reference in its entirety.

さらに別の態様において、本明細書に説明されるように得られた測定結果は、プロセスツール(例えば、リソグラフィツール、エッチングツール、堆積ツールなど)にアクティブフィードバックを提供するために使用され得る。例えば、本明細書に説明される方法およびシステムを使用して決定される限界寸法の値は、リソグラフィシステムを調整して所望の出力を達成するためにリソグラフィツールへ通信され得る。同様のやり方で、エッチングパラメータ(例えば、エッチング時間、拡散率など)または堆積パラメータ(例えば、時間、濃度など)が、それぞれエッチングツールまたは堆積ツールにアクティブフィードバックを提供するために測定モデルに含まれ得る。いくつかの例において、測定されたデバイスパラメータ値に基づいて決定されるプロセスパラメータに対する修正が、リソグラフィツール、エッチングツール、または堆積ツールに通信され得る。 In yet another embodiment, the measurement results obtained as described herein can be used to provide active feedback to process tools (eg, lithography tools, etching tools, deposition tools, etc.). For example, limit dimension values determined using the methods and systems described herein can be communicated to a lithographic tool to tune the lithographic system to achieve the desired output. In a similar manner, etching parameters (eg, etching time, diffusivity, etc.) or deposition parameters (eg, time, concentration, etc.) may be included in the measurement model to provide active feedback to the etching tool or deposition tool, respectively. .. In some examples, modifications to process parameters determined based on measured device parameter values may be communicated to a lithography tool, etching tool, or deposition tool.

本開示全体にわたって説明される様々なステップは、単一のコンピュータシステム130、複数のコンピュータシステム130、または複数の異なるコンピュータシステム130によって実行され得ることが理解されるべきである。さらには、分光エリプソメータ101など、システム100の異なるサブシステムが、本明細書に説明されるステップの少なくとも一部分を実行するのに好適なコンピュータシステムを含み得る。したがって、前述の説明は、本発明に対する制限として解釈されるべきではなく、単なる例証として解釈されるべきである。さらに、計算システム130は、本明細書に説明される方法実施形態のいずれかの任意の他のステップを実施するように構成され得る。 It should be understood that the various steps described throughout this disclosure can be performed by a single computer system 130, multiple computer systems 130, or multiple different computer systems 130. Furthermore, different subsystems of the system 100, such as the spectroscopic ellipsometer 101, may include a computer system suitable for performing at least a portion of the steps described herein. Therefore, the above description should not be construed as a limitation on the present invention, but as merely an example. In addition, the computational system 130 may be configured to perform any other step of any of the method embodiments described herein.

計算システム130は、限定されるものではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、画像コンピュータ、パラレルプロセッサ、または当該技術において知られている任意の他のデバイスを含み得る。一般に、「計算システム」という用語は、メモリ媒体からの命令を実行する1つ以上のプロセッサを有する任意のデバイスまたはデバイスの組み合わせを包含するように広く定義され得る。一般に、計算システム130は、測定システム100などの測定システムと統合され得るか、または代替的に、任意の測定システムとは完全にもしくは部分的に別個であり得る。この意味では、計算システム130は、遠隔に位置し、任意の測定源から測定データを受信し、計測システム100の任意の要素に命令信号を伝送し得る。 The computing system 130 may include, but is not limited to, a personal computer system, a mainframe computer system, a workstation, an image computer, a parallel processor, or any other device known in the art. In general, the term "computational system" can be broadly defined to include any device or combination of devices having one or more processors that execute instructions from a memory medium. In general, the computational system 130 can be integrated with a measurement system such as the measurement system 100, or, optionally, completely or partially separate from any measurement system. In this sense, the computing system 130 may be located remotely, receive measurement data from any measurement source, and transmit instruction signals to any element of the measurement system 100.

本明細書に説明されるものなどの方法を実施するプログラム命令134は、ワイヤ、ケーブル、ワイヤレス伝送リンクなどの伝送媒体を介して伝送され得る。プログラム命令134を格納するメモリ132は、リードオンリメモリ、ランダムアクセスメモリ、磁気もしくは光学ディスク、または磁気テープなどのコンピュータ可読媒体を含み得る。 Program instructions 134 that implement methods such as those described herein can be transmitted via transmission media such as wires, cables, and wireless transmission links. The memory 132 for storing the program instructions 134 may include a computer-readable medium such as read-only memory, random access memory, magnetic or optical disc, or magnetic tape.

加えて、計算システム130は、当該技術において知られている任意の様式で、分光計104、またはエリプソメータ101の発光体サブシステム102に通信可能に接続され得る。 In addition, the computational system 130 may be communicably connected to the spectroscope 104, or the illuminant subsystem 102 of the ellipsometer 101, in any manner known in the art.

計算システム130は、ワイヤ線および/またはワイヤレス部分を含み得る伝送媒体によって、システムのサブシステム(例えば、分光計104、発光体102、蒸気注入システム120、および同様のもの)からデータまたは情報を受信および/または獲得するように構成され得る。この様式では、伝送媒体は、コンピュータシステム130とシステム100の他のサブシステムとの間のデータリンクとしての役割を果たし得る。さらに、計算システム130は、記憶媒体(すなわちメモリ)を介して測定データを受信するように構成され得る。例えば、エリプソメータ101の分光計を使用して得られたスペクトル結果は、永久または半永久メモリデバイス(図示せず)に格納され得る。これに関して、スペクトル結果は、外部システムからインポートされ得る。さらには、コンピュータシステム130は、伝送媒体を介して外部システムからデータを受信し得る。 Computational system 130 receives data or information from system subsystems (eg, spectrometer 104, illuminant 102, steam injection system 120, and the like) by means of a transmission medium that may include wire and / or wireless parts. And / or can be configured to acquire. In this manner, the transmission medium can serve as a data link between the computer system 130 and other subsystems of the system 100. Further, the computing system 130 may be configured to receive measurement data via a storage medium (ie, memory). For example, the spectral results obtained using the spectrometer of the ellipsometer 101 can be stored in a permanent or semi-permanent memory device (not shown). In this regard, spectral results can be imported from external systems. Further, the computer system 130 may receive data from an external system via a transmission medium.

計算システム130は、ワイヤ線および/またはワイヤレス部分を含み得る伝送媒体によって、システムのサブシステム(例えば、分光計104、発光体102、蒸気注入システム120、および同様のもの)にデータまたは情報を伝送するように構成され得る。この様式では、伝送媒体は、コンピュータシステム130とシステム100の他のサブシステムとの間のデータリンクとしての役割を果たし得る。さらに、計算システム130は、記憶媒体(すなわちメモリ)を介して命令信号および測定結果を伝送するように構成され得る。例えば、スペクトルデータの分析により得られた測定結果115は、永久または半永久メモリデバイス(図示せず)に格納され得る。これに関して、スペクトル結果は、外部システムへエクスポートされ得る。さらには、コンピュータシステム130は、伝送媒体を介して外部システムにデータを送信し得る。加えて、目的とするパラメータの決定された値は、メモリに格納される。例えば、この値は、オンボード測定システム100、例えば、メモリ132に格納され得るか、または(例えば出力信号115により)外部メモリデバイスに通信され得る。 Computational system 130 transmits data or information to system subsystems (eg, spectrometer 104, illuminant 102, steam injection system 120, and the like) by means of a transmission medium that may include wire and / or wireless parts. Can be configured to. In this manner, the transmission medium can serve as a data link between the computer system 130 and other subsystems of the system 100. Further, the computing system 130 may be configured to transmit instruction signals and measurement results via a storage medium (ie, memory). For example, the measurement result 115 obtained by analyzing the spectral data can be stored in a permanent or semi-permanent memory device (not shown). In this regard, the spectral results can be exported to an external system. Further, the computer system 130 may transmit data to an external system via a transmission medium. In addition, the determined values of the parameters of interest are stored in memory. For example, this value may be stored in the onboard measurement system 100, eg, memory 132, or communicated to an external memory device (eg, by output signal 115).

本明細書に説明されるように、「毛管凝縮」という用語は、蒸発充填物質が液体形態で測定下にある構造体上に堆積される任意のプロセスを含む。これは、吸収および任意の他の関連する物理的機序を含む。そのようなものとして、充填物質は、同じ意味で凝縮物質または吸収物質と称され得る。 As described herein, the term "capillary condensation" includes any process by which the evaporative filling material is deposited in liquid form onto the structure under measurement. This includes absorption and any other related physical mechanism. As such, the filler may be referred to interchangeably as a condensing or absorbing material.

本明細書に説明されるように、「限界寸法」という用語は、構造体の任意の限界寸法(例えば、下部限界寸法、中部限界寸法、上部限界寸法、側壁角度、グレーティング高さなど)、任意の2つ以上の構造体間の限界寸法(例えば、2つの構造体間の距離)、および2つ以上の構造体間の変位(例えば、オーバーレイしているグレーティング構造体間のオーバーレイ変位)を含む。構造体は、3次元構造体、パターン化構造体、オーバーレイ構造体等を含み得る。 As described herein, the term "limit dimension" refers to any limit dimension of a structure (eg, lower limit dimension, middle limit dimension, top limit dimension, side wall angle, grating height, etc.). Includes limit dimensions between two or more structures (eg, distance between two structures) and displacement between two or more structures (eg, overlay displacement between overlay grating structures). .. The structure may include a three-dimensional structure, a patterned structure, an overlay structure, and the like.

本明細書に説明されるように、「限界寸法応用」または「限界寸法測定応用」という用語は、任意の限界寸法測定を含む。 As described herein, the terms "limit dimension application" or "limit dimension measurement application" include any limit dimension measurement.

本明細書に説明されるように、「計測システム」という用語は、限界寸法計測、オーバーレイ計測、焦点/照射量計測、および組成計測などの測定用途を含む、試料を任意の見方で特徴付けるために少なくとも部分的に用いられる任意のシステムを含む。しかしながら、そのような技術用語は、本明細書に記載されるような「計測システム」という用語の範囲を制限しない。加えて、計測システム100は、パターン化されたウェハおよび/またはパターン化されていないウェハの測定のために構成され得る。計測システムは、LED検査ツール、端部検査ツール、裏面検査ツール、マクロ検査ツール、または多モード検査ツール(同時に1つ以上のプラットフォームからのデータを伴う)などの検査ツール、および限界寸法データに基づいたシステムパラメータの較正から恩恵を得る任意の他の計測または検査ツールとして構成され得る。この特許文書の目的においては、「計測」システムおよび「検査」システムは同義語である。 As described herein, the term "measurement system" is used to characterize a sample in any way, including measurement applications such as marginal dimension measurement, overlay measurement, focus / irradiation measurement, and composition measurement. Includes any system that is used at least partially. However, such terminology does not limit the scope of the term "measurement system" as described herein. In addition, the measurement system 100 may be configured for measurement of patterned and / or unpatterned wafers. The measurement system is based on inspection tools such as LED inspection tools, edge inspection tools, backside inspection tools, macro inspection tools, or multimode inspection tools (with data from one or more platforms at the same time), and critical dimension data. It can be configured as any other measurement or inspection tool that benefits from calibration of system parameters. For the purposes of this patent document, "measurement" and "inspection" systems are synonymous.

試料を処理するために使用され得る半導体処理システム(例えば、検査システムまたはリソグラフィシステム)について、様々な実施形態が本明細書において説明される。「試料」という用語は、本明細書においては、当該技術において知られている手段で処理され得る(例えば、印刷される、または欠陥を検査される)ウェハ、レチクル、または任意の他のサンプルを指すために使用される。 Various embodiments are described herein of semiconductor processing systems (eg, inspection systems or lithography systems) that can be used to process a sample. The term "sample" as used herein refers to a wafer, reticle, or any other sample that can be processed (eg, printed or inspected for defects) by means known in the art. Used to point.

本明細書で使用される場合、「ウェハ」という用語は、概して、半導体または非半導体材料で形成された基板を指す。例としては、限定するものではないが、単結晶シリコン、ガリウムひ素、およびリン化インジウムが挙げられる。そのような基板は、半導体製作設備において一般的に見られるおよび/または処理され得る。いくつかの場合において、ウェハは、基板のみを含み得る(すなわちベアウェハ)。代替的に、ウェハは、基板上に形成された異なる材料の1つ以上の層を含み得る。ウェハ上に形成された1つ以上の層は、「パターン化されている」場合と、「パターン化されていない」場合がある。例えば、ウェハは、繰り返し可能なパターン特徴を有する複数のダイを含み得る。 As used herein, the term "wafer" generally refers to a substrate made of a semiconductor or non-semiconductor material. Examples include, but are not limited to, single crystal silicon, gallium arsenide, and indium phosphide. Such substrates can be commonly found and / or processed in semiconductor manufacturing equipment. In some cases, the wafer may contain only the substrate (ie, bare wafer). Alternatively, the wafer may include one or more layers of different materials formed on the substrate. The one or more layers formed on the wafer may be "patterned" or "unpatterned". For example, a wafer may include multiple dies with repeatable pattern features.

「レチクル」は、レチクル製作プロセスの任意の段階にあるレチクルまたは完成したレチクルであり得、完成したレチクルは、半導体製作設備における使用のために解放される場合とそうでない場合がある。レチクル、または「マスク」は、概して、実質的に透明の基板であって、その上に実質的に不透明の領域が形成され、かつパターンで構成される基板として定義される。基板は、例えば、アルモファスSiO2などのガラス材料を含み得る。レチクルは、レチクル上のパターンがレジストに転写され得るように、リソグラフィプロセスの曝露ステップの間にレジスト被覆されたウェハの上に設置され得る。 A "reticle" can be a reticle at any stage of the reticle manufacturing process or a finished reticle, and the finished reticle may or may not be released for use in semiconductor manufacturing equipment. A reticle, or "mask," is generally defined as a substrate that is substantially transparent, on which substantially opaque regions are formed and composed of patterns. The substrate may include, for example, a glass material such as Almofus SiO2. The reticle can be placed on a resist-coated wafer during the exposure step of the lithography process so that the pattern on the reticle can be transferred to the resist.

ウェハ上に形成された1つ以上の層は、パターン化されている場合とパターン化されていない場合がある。例えば、ウェハは、各々が繰り返し可能なパターン特徴を有する複数のダイを含み得る。そのような材料層の形成および処理は、最終的に完成したデバイスをもたらし得る。多くの異なる種類のデバイスがウェハ上に形成され得、ウェハという用語は、本明細書で使用される場合、当該技術において知られている任意の種類のデバイスが上に製作されるウェハを包含することが意図される。 One or more layers formed on the wafer may or may not be patterned. For example, a wafer may include multiple dies, each with repeatable pattern features. The formation and processing of such a material layer can result in the final finished device. Many different types of devices can be formed on a wafer, and the term wafer, as used herein, includes wafers on which any type of device known in the art is made. Is intended.

1つ以上の例示的な実施形態において、説明される機能は、ハードウェア、ソフトウェア、ファームウェア、またはそれらの任意の組み合わせにおいて実装され得る。ソフトウェアに実装される場合、機能は、コンピュータ可読媒体上の1つ以上の命令またはコードとして格納され得るか、伝送され得る。コンピュータ可読媒体は、コンピュータ記憶媒体、および1つの場所から別の場所へのコンピュータプログラムの移送を促進する任意の媒体を含む通信媒体の両方を含む。記憶媒体は、汎用または特殊目的コンピュータによってアクセスされ得る任意の利用可能な媒体であり得る。限定するものでなく、例として、そのようなコンピュータ可読媒体は、RAM、ROM、EEPROM、CD−ROM、もしくは他の光学ディスクストレージ、磁気ディスクストレージもしくは他の磁気ストレージデバイス、あるいは、命令またはデータ構造の形態で所望のプログラムコード手段を携帯または格納するために使用され得、かつ汎用もしくは特殊目的コンピュータまたは汎用もしくは特殊目的プロセッサによってアクセスされ得る任意の他の媒体を含み得る。また、任意の接続が、コンピュータ可読媒体と適切に呼ばれる。例えば、ソフトウェアが、同軸ケーブル、光ファイバーケーブル、ツイストペア、デジタル加入者線(DSL)、または赤外、無線、およびマイクロ波などのワイヤレス技法を使用してウェブサイト、サーバ、または他のリモートソースから伝送される場合、同軸ケーブル、光ファイバーケーブル、ツイストペア、DSL、または赤外、無線、およびマイクロ波などのワイヤレス技法は、媒体の定義に含まれる。ディスクは、本明細書で使用される場合、コンパクトディスク(CD)、レーザディスク、光学ディスク、デジタル多目的ディスク(DVD)、フロッピ(登録商標)ディスク、およびブルーレイディスク(登録商標)を含み、ディスク(disk)は通常データを磁気的に再生し、ディスク(disc)はレーザを用いてデータを光学的に再生する。上の組み合わせもまた、コンピュータ可読媒体の範囲内に含まれるべきである。 In one or more exemplary embodiments, the functions described may be implemented in hardware, software, firmware, or any combination thereof. When implemented in software, features can be stored or transmitted as one or more instructions or codes on a computer-readable medium. Computer-readable media include both computer storage media and communication media, including any medium that facilitates the transfer of computer programs from one location to another. The storage medium can be any available medium that can be accessed by a general purpose or special purpose computer. By way of example, such computer readable media are RAM, ROM, EEPROM, CD-ROM, or other optical disk storage, magnetic disk storage or other magnetic storage device, or instruction or data structure. Can be used to carry or store the desired program code means in the form of, and may include any other medium that can be accessed by a general purpose or special purpose computer or general purpose or special purpose processor. Also, any connection is appropriately referred to as a computer-readable medium. For example, software transmits from a website, server, or other remote source using coaxial cable, optical fiber cable, twist pair, digital subscriber line (DSL), or wireless techniques such as infrared, wireless, and microwave. Where so, wireless techniques such as coaxial cable, optical fiber cable, twisted pair, DSL, or infrared, wireless, and microwave are included in the definition of medium. Discs, as used herein, include compact discs (CDs), laser discs, optical discs, digital multipurpose discs (DVDs), floppy (registered trademark) discs, and Blu-ray discs (registered trademarks). The disk) normally reproduces the data magnetically, and the disk (disk) optically reproduces the data using a laser. The above combinations should also be included within the scope of computer readable media.

ある特定の実施形態が教示的目的のために上に説明されるが、本特許文書の教示は、汎用性を有し、上に説明される特定の実施形態に制限されない。したがって、説明された実施形態の様々な特徴の様々な変形、適合、および組み合わせが、特許請求の範囲に記されるように本発明の範囲から逸脱することなく実践され得る。 Although certain embodiments are described above for teaching purposes, the teachings of this patent document are versatile and are not limited to the particular embodiments described above. Thus, various variations, adaptations, and combinations of the various features of the described embodiments can be practiced without departing from the scope of the invention as described in the claims.

Claims (79)

第1の吸着状態にある、ウェハ上に設置された1つ以上の計測ターゲットの1つ以上の幾何学的形状特徴部に第1の量の照明光を提供するように構成される照明光源を備え、
前記1つ以上の幾何学的形状特徴部が、限界寸法によって特徴づけられ、半導体製作プロセスによって成形され、
前記1つ以上の計測ターゲットの照明の間、気相の充填物質を含む気体流を前記1つ以上の計測ターゲットに提供するように構成される蒸気注入システムであって、前記充填物質の一部分が、液相の前記1つ以上の計測ターゲット上に凝縮され、前記充填物質の前記一部分が、前記1つ以上の計測ターゲットの前記1つ以上の幾何学的形状特徴部の少なくとも一部分を充填する、蒸気注入システムと、
前記第1の量の照明光に応答して前記1つ以上の計測ターゲットから第1の量の集光された光を受け取り、前記第1の量の集光された光を示す測定信号の第1のセットを生成するように構成される検出器と、を備えることを特徴とする測定システム。
An illumination source configured to provide a first amount of illumination light to one or more geometric features of one or more measurement targets placed on a wafer in a first adsorption state. Prepare,
The one or more geometric feature features are characterized by marginal dimensions and molded by a semiconductor manufacturing process.
A steam injection system configured to provide a gas stream containing a gas phase filler to the one or more measurement targets during illumination of the one or more measurement targets, wherein a portion of the filling material is present. , Condensed on the one or more measurement targets of the liquid phase, and the portion of the packing material fills at least a portion of the one or more geometric features of the one or more measurement targets. With a steam injection system,
A measurement signal that receives a first amount of focused light from the one or more measurement targets in response to the first amount of illumination light and indicates the first amount of focused light. A measurement system comprising a detector configured to generate a set of ones.
請求項1に記載の測定システムであって、
計算システムをさらに備え、前記計算システムが、
前記測定信号の第1のセットを受信し、
前記測定信号の第1のセットおよび測定モデルに少なくとも部分的に基づいて、前記1つ以上の幾何学的形状特徴部の前記限界寸法の値を推定するように構成されることを特徴とする測定システム。
The measurement system according to claim 1.
Further equipped with a calculation system, the calculation system
Upon receiving the first set of measurement signals,
Measurements characterized in that they are configured to estimate the value of the limit dimension of the one or more geometric feature features, at least in part, based on a first set of measurement signals and a measurement model. system.
請求項2に記載の測定システムであって、前記照明光源が、前記第1の吸着状態とは異なる第2の吸着状態にある、前記ウェハ上に設置された前記1つ以上の計測ターゲットに第2の量の照明光を提供するようにさらに構成され、前記検出器が、前記第2の量の照明光に応答して前記1つ以上の計測ターゲットから第2の量の集光された光を受け取り、前記第2の量の集光された光を示す測定信号の第2のセットを生成するようにさらに構成され、
前記計算システムが、
前記測定信号の第2のセットを受信し、
前記測定信号の第1のセットおよび第2のセット、ならびにマルチターゲット測定モデルに少なくとも部分的に基づいて、前記1つ以上の幾何学的形状特徴部の前記限界寸法の値を推定するように構成されることを特徴とする測定システム。
The measurement system according to claim 2, wherein the illumination light source is on the one or more measurement targets installed on the wafer in a second adsorption state different from the first adsorption state. Further configured to provide two quantities of illumination light, the detector responds to the second quantity of illumination light by a second amount of focused light from the one or more measurement targets. Is further configured to receive and generate a second set of measurement signals indicating the second amount of focused light.
The calculation system
Upon receiving the second set of measurement signals,
Configured to estimate the value of the limit dimension of the one or more geometric features based at least in part on the first and second sets of measurement signals and the multi-target measurement model. A measurement system characterized by being
請求項3に記載の測定システムであって、前記第1の量の照明光が、前記充填物質の第1の分圧で、前記1つ以上の計測ターゲットに提供され、前記第2の量の照明光が、前記充填物質の第2の分圧で前記1つ以上の計測ターゲットに提供されることを特徴とする測定システム。 In the measurement system according to claim 3, the first amount of illumination light is provided to the one or more measurement targets at a first partial pressure of the filler to provide the second amount of illumination light. A measurement system characterized in that illumination light is provided to the one or more measurement targets at a second partial pressure of the filler. 請求項4に記載の測定システムであって、前記充填物質の前記第2の分圧がおよそゼロであることを特徴とする測定システム。 The measuring system according to claim 4, wherein the second partial pressure of the filling material is approximately zero. 請求項3に記載の測定システムであって、前記充填物質が第1の充填物質である間に、前記第1の量の照明光が前記1つ以上の計測ターゲットに提供され、前記充填物質が第2の充填物質である間に、前記第2の量の照明光が前記1つ以上の計測ターゲットに提供される、ことを特徴とする測定システム。 In the measurement system of claim 3, while the filler is the first filler, the first amount of illumination light is provided to the one or more measurement targets and the filler is provided. A measurement system characterized in that a second amount of illumination light is provided to the one or more measurement targets while being a second filling material. 請求項2に記載の測定システムであって、前記限界寸法の前記値の前記推定が、モデルベース回帰、モデルベースライブラリ検索、モデルベースライブラリ回帰、画像ベースの分析、および信号応答計測モデルのうちのいずれかを伴うことを特徴とする測定システム。 The measurement system according to claim 2, wherein the estimation of the value of the limit dimension is among model-based regression, model-based library search, model-based library regression, image-based analysis, and signal response measurement model. A measurement system characterized by being accompanied by either. 請求項1に記載の測定システムであって、前記蒸気注入システムが、
第1の温度にある液体充填物質を含むバブラーであって、前記液体充填物質の一部分が、前記1つ以上の計測ターゲットに提供される前記気体流内へ蒸発し、前記1つ以上の計測ターゲットが、前記第1の温度より高い第2の温度にある、バブラーを備えることを特徴とする測定システム。
The measurement system according to claim 1, wherein the steam injection system
A bubbler containing a liquid filling material at a first temperature, wherein a portion of the liquid filling material evaporates into the gas stream provided to the one or more measurement targets and the one or more measurement targets. However, a measurement system comprising a bubbler at a second temperature higher than the first temperature.
請求項1に記載の測定システムであって、前記蒸気注入システムが、
液体充填物質に溶解された不揮発性溶質を含むバブラーであって、前記液体充填物質の一部分が前記1つ以上の計測ターゲットに提供される前記気体流内に蒸発する、バブラーを備えることを特徴とする測定システム。
The measurement system according to claim 1, wherein the steam injection system
A bubbler containing a non-volatile solute dissolved in a liquid filling material, characterized in that a portion of the liquid filling material evaporates into the gas stream provided to the one or more measurement targets. Measurement system to do.
請求項1に記載の測定システムであって、前記充填物質が、水、エタノール、およびトルエンのいずれかであることを特徴とする測定システム。 The measuring system according to claim 1, wherein the filling substance is any one of water, ethanol, and toluene. 請求項1に記載の測定システムであって、前記充填物質が複数の異なる物質を含むことを特徴とする測定システム。 The measurement system according to claim 1, wherein the filling substance contains a plurality of different substances. 請求項1に記載の測定システムであって、吸着プロセスが安定状態に達したときに、前記第1の量の照明光が前記1つ以上の計測ターゲットに提供されることを特徴とする測定システム。 The measurement system according to claim 1, wherein when the adsorption process reaches a stable state, the first amount of illumination light is provided to the one or more measurement targets. .. 請求項1に記載の測定システムであって、吸着プロセスが安定状態に達する前に、前記第1の量の照明光が前記1つ以上の計測ターゲットに提供されることを特徴とする測定システム。 The measurement system according to claim 1, wherein the first amount of illumination light is provided to the one or more measurement targets before the adsorption process reaches a stable state. 請求項1に記載の測定システムであって、前記照明光源および前記検出器が、分光エリプソメータ、分光反射計、ビームプロファイル反射計、ビームプロファイルエリプソメータ、またはそれらの任意の組み合わせ、のいずれかであることを特徴とする測定システム。 The measurement system according to claim 1, wherein the illumination light source and the detector are any one of a spectroscopic ellipsometer, a spectroscopic reflector, a beam profile reflector, a beam profile ellipsometer, or any combination thereof. A measurement system characterized by. 請求項1に記載の測定システムであって、前記第1の量の照明光が、120ナノメートルから190ナノメートルの間の範囲にある波長を含むことを特徴とする測定システム。 The measurement system according to claim 1, wherein the first amount of illumination light includes wavelengths in the range of 120 nanometers to 190 nanometers. 第1の吸着状態にある、ウェハ上に設置された1つ以上の計測ターゲットの1つ以上の幾何学的形状特徴部に第1の量の照明光を提供するステップを含み、
前記1つ以上の幾何学的形状特徴部が、限界寸法によって特徴づけられ、半導体製作プロセスによって成形され、
前記1つ以上の計測ターゲットの照明の間、気相の充填物質を含む気体流を前記1つ以上の計測ターゲットに提供するステップであって、前記充填物質の一部分が、液相の前記1つ以上の計測ターゲット上に凝縮され、前記充填物質の前記一部分が、前記1つ以上の計測ターゲットの1つ以上の幾何学的形状特徴部の少なくとも一部分を充填する、ステップと、
前記第1の量の照明光に応答して前記1つ以上の計測ターゲットから第1の量の集光された光を検出するステップと、
前記第1の量の集光された光を示す測定信号の第1のセットを通信するステップと、
を含むことを特徴とする方法。
It comprises the step of providing a first amount of illumination light to one or more geometric features of one or more measurement targets placed on the wafer in the first adsorption state.
The one or more geometric feature features are characterized by marginal dimensions and molded by a semiconductor manufacturing process.
During the illumination of the one or more measurement targets, a step of providing a gas stream containing the gas phase packing material to the one or more measurement targets, wherein a part of the filling material is one of the liquid phases. A step that is condensed onto the measurement target and the portion of the packing material fills at least a portion of one or more geometric features of the one or more measurement targets.
A step of detecting a first amount of focused light from the one or more measurement targets in response to the first amount of illumination light.
With the step of communicating a first set of measurement signals indicating the first amount of focused light,
A method characterized by including.
請求項16に記載の方法であって、
前記測定信号の第1のセットを受信するステップと、
前記測定信号の第1のセットおよび測定モデルに少なくとも部分的に基づいて、前記1つ以上の幾何学的形状特徴部の前記限界寸法の値を推定するステップと、
をさらに含むことを特徴とする方法。
The method according to claim 16.
The step of receiving the first set of measurement signals and
A step of estimating the value of the critical dimension of the one or more geometric features, at least partially based on the first set of measurement signals and the measurement model.
A method characterized by further including.
請求項16に記載の方法であって、
前記第1の吸着状態とは異なる第2の吸着状態にある、前記ウェハ上に設置された前記1つ以上の計測ターゲットに第2の量の照明光を提供するステップと、
前記第2の量の照明光に応答して前記1つ以上の計測ターゲットから第2の量の集光された光を検出するステップと、
前記第2の量の集光された光を示す測定信号の第2のセットを生成するステップと、
前記測定信号の第1のセットおよび第2のセット、ならびにマルチターゲット測定モデルに少なくとも部分的に基づいて、前記1つ以上の幾何学的形状特徴部の前記限界寸法の値を推定するステップと、
をさらに含むことを特徴とする方法。
The method according to claim 16.
A step of providing a second amount of illumination light to the one or more measurement targets placed on the wafer in a second adsorption state different from the first adsorption state.
A step of detecting a second amount of focused light from the one or more measurement targets in response to the second amount of illumination light.
The step of generating a second set of measurement signals indicating the second amount of focused light,
A step of estimating the value of the limit dimension of the one or more geometric feature features, at least partially based on the first and second sets of measurement signals, and the multi-target measurement model.
A method characterized by further including.
請求項18に記載の方法であって、前記第1の量の照明光が前記1つ以上の計測ターゲットに、前記充填物質の第1の分圧で提供され、前記第2の量の照明光が前記1つ以上の計測ターゲットに、前記充填物質の第2の分圧で提供されることを特徴とする方法。 18. The method of claim 18, wherein the first amount of illumination light is provided to the one or more measurement targets at a first partial pressure of the filler and the second amount of illumination light. Is provided to the one or more measurement targets at a second partial pressure of the filler. 請求項18に記載の方法であって、前記充填物質が第1の充填物質である間に、前記第1の量の照明光が前記1つ以上の計測ターゲットに提供され、前記充填物質が第2の充填物質である間に、前記第2の量の照明光が前記1つ以上の計測ターゲットに提供されることを特徴とする方法。 18. The method of claim 18, wherein while the filler is the first filler, the first amount of illumination light is provided to the one or more measurement targets and the filler is the first. A method characterized in that a second amount of illumination light is provided to the one or more measurement targets while being the filling material of 2. 請求項16に記載の方法であって、前記気体流を提供するステップが、
第1の温度にある前記液体充填物質の槽を通じてパージガスを気泡化するステップであって、前記液体充填物質の前記槽の一部分が、前記1つ以上の計測ターゲットに提供される前記気体流内へ蒸発し、前記1つ以上の計測ターゲットが、前記第1の温度より高い第2の温度にある、ステップを伴うことを特徴とする方法。
16. The step of providing the gas stream according to claim 16.
A step of bubble-forming the purge gas through a tank of the liquid-filled material at a first temperature, wherein a portion of the tank of the liquid-filled material into the gas stream provided to the one or more measurement targets. A method comprising evaporating and involving a step in which the one or more measurement targets are at a second temperature above the first temperature.
請求項16に記載の方法であって、前記気体流を提供するステップが、
前記液体充填物質に溶解された不揮発性溶質を含む槽を通じてパージガスを気泡化するステップであって、前記液体充填物質の一部分が、前記1つ以上の計測ターゲットに提供される前記気体流内に蒸発する、ステップを伴うことを特徴とする方法。
16. The step of providing the gas stream according to claim 16.
A step of bubble-forming the purge gas through a tank containing a non-volatile solute dissolved in the liquid-filled material, wherein a portion of the liquid-filled material evaporates into the gas stream provided to the one or more measurement targets. A method characterized by involving steps.
請求項16に記載の方法であって、前記充填物質が、水、エタノール、およびトルエンのうちのいずれかであることを特徴とする方法。 The method according to claim 16, wherein the filling substance is any one of water, ethanol, and toluene. 請求項16に記載の方法であって、前記充填物質が複数の異なる物質を含むことを特徴とする方法。 The method according to claim 16, wherein the filling substance contains a plurality of different substances. 請求項16に記載の方法であって、吸着プロセスが安定状態に達したときに、前記第1の量の照明光が前記1つ以上の計測ターゲットに提供されることを特徴とする方法。 The method according to claim 16, wherein when the adsorption process reaches a stable state, the first amount of illumination light is provided to the one or more measurement targets. 請求項18に記載の方法であって、吸着プロセスが安定状態に達する前に、前記第1の量の照明光が前記1つ以上の計測ターゲットに提供されることを特徴とする方法。 18. The method of claim 18, wherein the first amount of illumination light is provided to the one or more measurement targets before the adsorption process reaches a stable state. 請求項16に記載の方法であって、所望の最大特徴部サイズ未満の前記1つ以上の幾何学的形状特徴部も充填されるように、前記充填物質の飽和度を調整するステップをさらに含むことを特徴とする方法。 The method according to claim 16, optionally the one or more geometric shapes features less than the maximum feature size as is also filled, the step of adjusting the pre KiTakashi Hama saturation substances A method characterized by further inclusion. 請求項27に記載の方法であって、前記飽和度を調整するステップが、前記1つ以上の計測ターゲットと前記充填物質の液槽との間の温度差を制御するステップを伴うことを特徴とする方法。 27. The method of claim 27, wherein the step of adjusting the degree of saturation involves controlling the temperature difference between the one or more measurement targets and the liquid tank of the filler. how to. 気相の充填物質を含む第1の気体流を光学測定システムによる測定下にある1つ以上の計測ターゲットに提供するステップであって、前記充填物質の一部分が、液相の前記1つ以上の計測ターゲット上に吸着され、前記充填物質の前記一部分が、第1の吸着状態にある前記1つ以上の計測ターゲットの1つ以上の幾何学的形状特徴部間の空間の少なくとも一部分を充填する、ステップと、を含み、
前記1つ以上の幾何学的形状特徴部が、限界寸法によって特徴づけられ、半導体製作プロセスによって成形され、
第2の吸着状態において、前記光学測定システムによる測定下にある前記1つ以上の計測ターゲットに第2の気体流を提供するステップと、
前記第1の吸着状態において前記光学測定システムによって前記1つ以上の計測ターゲットから検出された光学測定信号の第1のセット、前記第2の吸着状態において前記光学測定システムによって前記1つ以上の計測ターゲットから検出された光学測定信号の第2のセット、およびマルチターゲット測定モデルに少なくとも部分的に基づいて、前記1つ以上の計測ターゲットの前記限界寸法値を推定するステップと、を含むことを特徴とする方法。
A step of providing a first gas stream containing a gas phase filler to one or more measurement targets under measurement by an optical measurement system, wherein a portion of the filler is one or more of the liquid phase. The portion of the filling material that is adsorbed onto the measurement target fills at least a portion of the space between one or more geometrical features of the one or more measurement targets in the first adsorption state. Including steps and
The one or more geometric feature features are characterized by marginal dimensions and molded by a semiconductor manufacturing process.
In the second adsorption state, a step of providing a second gas flow to the one or more measurement targets under measurement by the optical measurement system.
A first set of optical measurement signals detected from the one or more measurement targets by the optical measurement system in the first adsorption state, and one or more measurements by the optical measurement system in the second adsorption state. It comprises a second set of optical measurement signals detected from the target, and a step of estimating the limit dimension value of the one or more measurement targets based at least in part based on a multi-target measurement model. How to.
請求項29に記載の方法であって、前記第1の気体流を提供するステップが、気相の前記充填物質を第1の分圧で前記1つ以上の計測ターゲットに提供するステップを伴い、前記第2の気体流を提供するステップが、気相の前記充填物質を第2の分圧で前記1つ以上の計測ターゲットに提供するステップを伴うことを特徴とする方法。 29. The method of claim 29, wherein the step of providing the first gas stream comprises providing the filler in the gas phase to the one or more measurement targets at a first partial pressure. A method characterized in that the step of providing the second gas stream involves providing the filler in the gas phase to the one or more measurement targets at a second partial pressure. 請求項29に記載の方法であって、前記第2の気体流を提供するステップが、気相の第2の充填物質を前記1つ以上の計測ターゲットに提供するステップを伴い、前記第2の充填物質が前記充填物質とは異なることを特徴とする方法。 29. The second method of claim 29, wherein the step of providing the second gas stream comprises providing a second filler of the gas phase to the one or more measurement targets. A method characterized in that the filler is different from the filler. 試料上に設置された1つ以上の構造要素に第1の量の照明光を提供するように構成される照明光源と、
前記1つ以上の構造要素の照明の間、気相の第1の充填物質を含む第1の気体流を前記1つ以上の構造要素に提供するように構成される蒸気注入システムであって、前記第1の充填物質の一部分が、液相の前記1つ以上の構造要素上に凝縮され、前記第1の充填物質の前記一部分が、前記1つ以上の構造要素の1つ以上の幾何特徴部間の空間の少なくとも一部分を充填する、蒸気注入システムであって、
不飽和パージガスの第1の流れを気相の前記第1の充填物質で飽和されたパージガスの第2の流れと混合して、前記第1の気体流を提供する、蒸気注入システムと、
前記第1の量の照明光に応答して前記1つ以上の構造要素から第1の量の集光された光を受け取り、前記第1の量の集光された光を示す測定信号の第1のセットを生成するように構成される検出器と、を備えることを特徴とする測定システム。
An illumination source configured to provide a first amount of illumination light to one or more structural elements placed on the sample.
A steam injection system configured to provide a first gas stream containing a first filler in the gas phase to the one or more structural elements during illumination of the one or more structural elements. A portion of the first filler is condensed onto the one or more structural elements of the liquid phase, and the portion of the first filler is one or more geometric features of the one or more structural elements. A steam injection system that fills at least a portion of the space between parts.
A steam injection system that mixes a first stream of unsaturated purge gas with a second stream of purge gas saturated with said first filler in the gas phase to provide the first stream of gas.
A measurement signal that receives a first amount of focused light from the one or more structural elements in response to the first amount of illumination light and indicates the first amount of focused light. A measurement system comprising a detector configured to generate a set of ones.
請求項32に記載の測定システムであって、前記蒸気注入システムが、前記1つ以上の構造要素の照明の間、気相の第2の充填物質を含む第2の気体流を前記1つ以上の構造要素に提供するようにさらに構成され、前記第2の充填物質の一部分が、液相の前記1つ以上の構造要素上に凝縮され、前記第2の充填物質の前記一部分が、前記1つ以上の構造要素の1つ以上の幾何特徴部間の前記空間の少なくとも一部分を充填することを特徴とする測定システム。 32. The measurement system of claim 32, wherein the steam injection system provides one or more second gas streams containing a second filler in the gas phase during illumination of the one or more structural elements. Further configured to provide for the structural elements of the second filler, a portion of the second filler is condensed onto the one or more structural elements of the liquid phase, and the portion of the second filler is the 1 A measurement system comprising filling at least a portion of said space between one or more geometric features of one or more structural elements. 請求項32に記載の測定システムであって、
計算システムをさらに備え、前記計算システムが、
前記測定信号の第1のセットを受信し、
前記測定信号の第1のセットに少なくとも部分的に基づいて、前記1つ以上の構造要素の目的とするパラメータの値を推定する、ように構成されることを特徴とする測定システム。
The measurement system according to claim 32.
Further equipped with a calculation system, the calculation system
Upon receiving the first set of measurement signals,
A measurement system configured to estimate the value of a desired parameter of the one or more structural elements based at least in part on a first set of measurement signals.
請求項34に記載の測定システムであって、前記目的とするパラメータの前記値の前記推定が、モデルベース回帰、モデルベースライブラリ検索、モデルベースライブラリ回帰、画像ベースの分析、および信号応答計測モデルのうちのいずれかを伴うことを特徴とする測定システム。 34. The measurement system of claim 34, wherein the estimation of the value of the parameter of interest is for model-based regression, model-based library retrieval, model-based library regression, image-based analysis, and signal response measurement model. A measurement system characterized by involving one of them. 請求項32に記載の測定システムであって、前記照明光源が、前記試料上に設置された前記1つ以上の構造要素に第2の量の照明光を提供するようにさらに構成され、前記蒸気注入システムが、前記第1の気体流とは異なる分圧で前記第1の充填物質を含む第2の気体流を提供するようにさらに構成され、前記検出器が、前記第2の量の照明光に応答して前記1つ以上の構造要素から第2の量の集光された光を受け取り、前記第2の量の集光された光を示す測定信号の第2のセットを生成するようにさらに構成されることを特徴とする測定システム。 32. The measurement system of claim 32, wherein the illumination light source is further configured to provide a second amount of illumination light to the one or more structural elements placed on the sample, said steam. The injection system is further configured to provide a second gas stream containing the first filler at a partial pressure different from the first gas stream, and the detector is the second amount of illumination. To receive a second amount of focused light from the one or more structural elements in response to light and generate a second set of measurement signals indicating the second amount of focused light. A measurement system characterized by being further configured in. 請求項36に記載の測定システムであって、
計算システムをさらに備え、前記計算システムが、
前記測定信号の第1のセットを受信し、
前記測定信号の第2のセットを受信し、
前記測定信号の第1のセットおよび第2のセット、ならびにマルチターゲット測定モデルに少なくとも部分的に基づいて、前記1つ以上の構造要素の目的とするパラメータの値を推定するように構成されることを特徴とする測定システム。
The measurement system according to claim 36.
Further equipped with a calculation system, the calculation system
Upon receiving the first set of measurement signals,
Upon receiving the second set of measurement signals,
Configured to estimate the value of the desired parameter of the one or more structural elements based at least in part on the first and second sets of measurement signals and the multi-target measurement model. A measurement system featuring.
請求項36に記載の測定システムであって、前記第2の気体流内の前記第1の充填物質の前記分圧がおよそゼロであることを特徴とする測定システム。 The measuring system according to claim 36, wherein the partial pressure of the first filling substance in the second gas stream is approximately zero. 請求項32に記載の測定システムであって、前記測定システムが、分光エリプソメータ、分光反射計、角度分解反射計、暗視野検査システム、明視野検査システム、および撮像オーバーレイ測定システムのうちのいずれかとして構成されることを特徴とする測定システム。 32. The measurement system according to claim 32, wherein the measurement system is one of a spectroscopic ellipsometer, a spectroscopic reflector, an angle-resolved reflector, a dark-field inspection system, a bright-field inspection system, and an imaging overlay measurement system. A measurement system characterized by being configured. 請求項32に記載の測定システムであって、前記第1の量の照明光が、100ナノメートルから2,500ナノメートルの照明波長を含む広帯域光であることを特徴とする測定システム。 The measurement system according to claim 32, wherein the first amount of illumination light is broadband light including an illumination wavelength of 100 nanometers to 2,500 nanometers. 請求項32に記載の測定システムであって、前記試料の温度が、前記第1の気体流内で蒸発される前記第1の充填物質の温度とおよそ同じ温度であることを特徴とする測定システム。 The measuring system according to claim 32, wherein the temperature of the sample is substantially the same as the temperature of the first packing material that is evaporated in the first gas stream. .. 請求項41に記載の測定システムであって、前記蒸気注入システムが、不飽和パージガスの流れと気相の前記第1の充填物質で飽和されたパージガスの流れとの比を変化させることによって、前記第1の気体流内の前記充填物質の分圧を調整することを特徴とする測定システム。 41. The measurement system of claim 41, wherein the steam injection system changes the ratio of the unsaturated purge gas flow to the gas phase saturated purge gas flow of the first filler. A measurement system characterized in that the partial pressure of the filling substance in the first gas stream is adjusted. 請求項32に記載の測定システムであって、前記蒸気注入システムが、
液相の前記第1の充填物質を含むバブラーであって、前記液体充填物質の一部分が、前記パージガスの第2の流れ内へ蒸発して、気相の前記第1の充填物質で前記パージガスの第2の流れを飽和する、バブラーを備えることを特徴とする測定システム。
The measurement system according to claim 32, wherein the steam injection system
A bubbler containing the first filler in the liquid phase, a portion of the liquid filler evaporates into a second stream of the purge gas, and the first filler in the gas phase of the purge gas. A measurement system characterized by having a bubbler that saturates the second flow.
請求項32に記載の測定システムであって、前記充填物質が、水、エタノール、トルエン、イソプロピルアルコール、メタノール、およびベンゼンのうちのいずれかであることを特徴とする測定システム。 The measuring system according to claim 32, wherein the filling substance is any one of water, ethanol, toluene, isopropyl alcohol, methanol, and benzene. 請求項32に記載の測定システムであって、前記第1の充填物質が、前記第1の量の照明光に応答して蛍光を発することを特徴とする測定システム。 The measuring system according to claim 32, wherein the first filling material fluoresces in response to the first amount of illumination light. 試料上に設置された1つ以上の構造要素にある量の照明光を提供するように構成される照明光源と、
蒸気注入システムであって、
パージガスの第1の流れの流量を調節する第1の質量流量制御器、
前記パージガスの第2の流れの流量を調節する第2の質量流量制御器、ならびに
液相の第1の充填物質を含むバブラーであって、前記パージガスの前記第2の流れが、前記バブラーを通過し、前記液体充填物質の一部分が、前記パージガスの前記第2の流れ内へ蒸発して、気相の前記第1の充填物質で前記パージガスの前記第2の流れを飽和する、バブラー、を備え、
前記パージガスの前記第1の流れを気相の前記第1の充填物質で飽和された前記パージガスの前記第2の流れと混合して、気体流を提供する、蒸気注入システムと、
前記試料上の前記1つ以上の構造要素に近接して配置されたノズルであって、前記1つ以上の構造要素の照明の間、前記試料上に設置された前記1つ以上の構造要素に前記気体流を局所的に提供するノズルと、を備え、
前記第1の充填物質の一部が液相の前記1つ以上の構造要素上に凝縮され、前記第1の充填物質の前記一部分が、前記1つ以上の構造要素の1つ以上の幾何特徴部間の空間の少なくとも一部分を充填し、
前記第1の量の照明光に応答して前記1つ以上の構造要素から第1の量の集光された光を受け取り、前記第1の量の集光された光を示す測定信号の第1のセットを生成するように構成される検出器と、を備えることを特徴とする測定システム。
An illumination light source configured to provide an amount of illumination light in one or more structural elements placed on the sample.
It ’s a steam injection system.
A first mass flow controller that regulates the flow rate of the first flow of purge gas,
A bubbler comprising a second mass flow controller that regulates the flow rate of the second flow of the purge gas and a first filler in the liquid phase, the second flow of the purge gas passing through the bubbler. A bubbler is provided in which a portion of the liquid filling material evaporates into the second flow of the purge gas and saturates the second flow of the purge gas with the first filling material in the gas phase. ,
A steam injection system that mixes the first stream of the purge gas with the second stream of the purge gas saturated with the first filler in the gas phase to provide a gas stream.
Nozzles arranged in close proximity to the one or more structural elements on the sample to the one or more structural elements placed on the sample during illumination of the one or more structural elements. A nozzle that locally provides the gas flow is provided.
A portion of the first filler is condensed onto the one or more structural elements of the liquid phase, and the portion of the first filler is one or more geometric features of the one or more structural elements. Fill at least part of the space between the parts and
A measurement signal that receives a first amount of focused light from the one or more structural elements in response to the first amount of illumination light and indicates the first amount of focused light. A measurement system comprising a detector configured to generate a set of ones.
請求項46に記載の測定システムであって、
計算システムをさらに備え、前記計算システムが、
前記第1の質量流量制御器に第1の命令信号を通信し、それにより前記第1の質量流量制御器に前記パージガスの前記第1の流れの流量を調整させ、
前記第2の質量流量制御器に第2の命令信号を通信し、それにより前記第2の質量流量制御器に、前記パージガスの前記第1の流れの流量と前記パージガスの前記第2の流れの流量との比が前記気体流内の前記第1の充填物質の所望の分圧を達成するように調整されるように、前記パージガスの前記第2の流れの流量を調整させるように構成されることを特徴とする測定システム。
The measuring system according to claim 46.
Further equipped with a calculation system, the calculation system
A first command signal is communicated to the first mass flow controller, thereby causing the first mass flow controller to adjust the flow rate of the first flow of the purge gas.
A second command signal is transmitted to the second mass flow controller, whereby the flow rate of the first flow of the purge gas and the second flow of the purge gas are transmitted to the second mass flow controller. It is configured to adjust the flow rate of the second stream of the purge gas so that the ratio to the flow rate is adjusted to achieve the desired partial pressure of the first filler in the gas stream. A measurement system characterized by that.
試料上に設置された1つ以上の構造要素に第1の量の照明光を提供するステップと、
前記1つ以上の構造要素の照明の間、気相の第1の充填物質を含む第1の気体流を前記1つ以上の構造要素に提供するステップであって、前記第1の充填物質の一部分が、液相の前記1つ以上の構造要素上に凝縮され、前記第1の充填物質の前記一部分が、前記1つ以上の構造要素の1つ以上の幾何特徴部間の空間の少なくとも一部分を充填する、ステップと、を含み
前記第1の気体流を提供するステップが、不飽和パージガスの第1の流れを気相の前記第1の充填物質で飽和されたパージガスの第2の流れと混合するステップを伴い、
前記第1の量の照明光に応答して前記1つ以上の構造要素から第1の量の集光された光を検出するステップと、
前記第1の量の集光された光を示す測定信号の第1のセットを生成するステップと
を含むことを特徴とする方法。
A step of providing a first amount of illumination light to one or more structural elements placed on the sample,
During the illumination of the one or more structural elements, a step of providing a first gas stream containing the first filler of the gas phase to the one or more structural elements of the first filler. A portion is condensed onto the one or more structural elements of the liquid phase, and the portion of the first filler is at least a portion of the space between the one or more geometric features of the one or more structural elements. The step of providing the first gas flow, including the step of filling the gas, is such that the first flow of the unsaturated purge gas is combined with the second flow of the purge gas saturated with the first filling material in the gas phase. With the step of mixing,
A step of detecting a first amount of focused light from the one or more structural elements in response to the first amount of illumination light.
A method comprising the step of generating a first set of measurement signals indicating the first amount of focused light.
請求項48に記載の方法であって、
前記1つ以上の構造要素の照明の間、気相の第2の充填物質を含む第2の気体流を前記1つ以上の構造要素に提供するステップであって、前記第2の充填物質の一部分が、液相の前記1つ以上の構造要素上に凝縮され、前記第2の充填物質の前記一部分が、前記1つ以上の構造要素の1つ以上の幾何特徴部間の前記空間の少なくとも一部分を充填する、ステップをさらに含むことを特徴とする方法。
The method according to claim 48.
A step of providing a second gas stream containing a second filler in the gas phase to the one or more structural elements during illumination of the one or more structural elements of the second filler. A portion is condensed onto the one or more structural elements of the liquid phase, and the portion of the second filler is at least the space between one or more geometric features of the one or more structural elements. A method comprising further stepping, filling a portion.
請求項48に記載の方法であって、
前記試料上に設置された前記1つ以上の構造要素に第2の量の照明光を提供するステップと、
前記第1の充填物質を含む第2の気体流を前記第1の気体流とは異なる分圧で提供するステップと、
前記第2の量の照明光に応答して前記1つ以上の構造要素から第2の量の集光された光を検出するステップと、
前記第2の量の集光された光を示す測定信号の第2のセットを生成するステップをさらに含むことを特徴とする方法。
The method according to claim 48.
A step of providing a second amount of illumination light to the one or more structural elements placed on the sample.
A step of providing a second gas stream containing the first packing material at a partial pressure different from that of the first gas stream.
A step of detecting a second amount of focused light from the one or more structural elements in response to the second amount of illumination light.
A method further comprising the step of producing a second set of measurement signals indicating the second amount of focused light.
請求項50に記載の方法であって、
前記測定信号の第1のセットおよび第2のセットに少なくとも部分的に基づいて、前記1つ以上の構造要素の目的とするパラメータの値を推定するステップをさらに含むことを特徴とする方法。
The method according to claim 50.
A method further comprising estimating the value of a desired parameter of the one or more structural elements based at least in part on a first set and a second set of measurement signals.
請求項51に記載の方法であって、前記目的とするパラメータの前記値の前記推定が、モデルベース回帰、モデルベースライブラリ検索、モデルベースライブラリ回帰、画像ベースの分析、および信号応答計測モデルのうちのいずれかを伴うことを特徴とする方法。 51. Of the methods of claim 51, the estimation of the value of the parameter of interest is model-based regression, model-based library retrieval, model-based library regression, image-based analysis, and signal response measurement model. A method characterized by being accompanied by any of. 請求項48に記載の方法であって、前記試料の温度が、前記第1の気体流内で蒸発される前記第1の充填物質の温度とおよそ同じ温度であることを特徴とする方法。 The method according to claim 48, wherein the temperature of the sample is substantially the same as the temperature of the first filler that is evaporated in the first gas stream. 請求項48に記載の方法であって、
不飽和パージガスの流れと気相の前記第1の充填物質で飽和されたパージガスの流れとの比を変化させることによって、前記第1の気体流内の前記第1の充填物質の分圧を調整するステップをさらに含むことを特徴とする方法。
The method according to claim 48.
The partial pressure of the first filling material in the first gas flow is adjusted by changing the ratio of the unsaturated purge gas flow to the flow of the purge gas saturated with the first filling material in the gas phase. A method characterized by further including steps to be performed.
請求項48に記載の方法であって、前記充填物質が、水、エタノール、トルエン、イソプロピルアルコール、メタノール、およびベンゼンのうちのいずれかであることを特徴とする方法。 The method according to claim 48, wherein the packing material is any one of water, ethanol, toluene, isopropyl alcohol, methanol, and benzene. 請求項48に記載の方法であって、前記第1の充填物質が、前記第1の量の照明光に応答して蛍光を発することを特徴とする方法。 The method according to claim 48, wherein the first filling material fluoresces in response to the first amount of illumination light. 請求項48に記載の方法であって、
所望の最大特徴部サイズ未満の前記1つ以上の幾何特徴部間のいかなる空間も充填されるように、前記第1の気体流内の前記第1の充填物質の飽和度を調整するステップをさらに含むことを特徴とする方法。
The method according to claim 48.
Further steps are taken to adjust the saturation of the first filling material in the first gas stream so that any space between the one or more geometric features smaller than the desired maximum feature size is filled. A method characterized by inclusion.
試料上に設置された1つ以上の計測ターゲットに第1の量の照明光を提供するように構成される照明光源と、
前記1つ以上の計測ターゲットの照明の間、気相の第1の充填物質を含む第1の気体流を前記1つ以上の計測ターゲットに提供するように構成される蒸気注入システムであって、前記充填物質の一部分が、液相の前記1つ以上の計測ターゲット上に凝縮され、前記充填物質の前記一部分が、前記1つ以上の計測ターゲットの1つ以上の幾何構造特徴部間の空間の少なくとも一部分を充填する、蒸気注入システムと、
前記第1の量の照明光に応答して前記1つ以上の計測ターゲットから第1の量の集光された光を受け取り、前記第1の量の集光された光を示す測定信号の第1のセットを生成するように構成される検出器と、
前記測定信号の第1のセットと測定モデルとに少なくとも部分的に基づいて、前記1つ以上の計測ターゲットの多孔率を示す値を推定するように構成された計算システムと、
を備えることを特徴とする測定システム。
An illumination source configured to provide a first amount of illumination light to one or more measurement targets placed on the sample.
A steam injection system configured to provide a first gas stream containing a first filler in the gas phase to the one or more measurement targets during illumination of the one or more measurement targets. A portion of the filler is condensed onto the one or more measurement targets in the liquid phase, and the portion of the filler is a space between one or more geometric features of the one or more measurement targets. A steam injection system that fills at least a portion,
A measurement signal that receives a first amount of focused light from the one or more measurement targets in response to the first amount of illumination light and indicates the first amount of focused light. A detector configured to generate a set of 1 and
A computational system configured to estimate a value indicating the porosity of one or more measurement targets, at least in part, based on a first set of measurement signals and a measurement model.
A measurement system characterized by being equipped with.
請求項58に記載の測定システムであって、前記計算システムが、前記測定信号の第1のセットと前記測定モデルとに少なくとも部分的に基づいて、前記1つ以上の計測ターゲットの限界寸法の値を推定するように構成される、ことを特徴とする測定システム。 58. The measurement system according to claim 58, wherein the calculation system is at least partially based on the first set of measurement signals and the measurement model, and the value of the limit dimension of the one or more measurement targets. A measurement system characterized by being configured to estimate. 請求項58に記載の測定システムであって、前記照明光源が、前記試料上に設置された前記1つ以上の計測ターゲットに第2の量の照明光を提供するようにさらに構成され、前記蒸気注入システムが、前記1つ以上の計測ターゲットを前記第2の量の照明光で照明する間、第2の気体流を前記1つ以上の計測ターゲットに提供するようにさらに構成され、前記検出器が、前記第2の量の照明光に応答して前記1つ以上の計測ターゲットから第2の量の集光された光を受け取り、前記第2の量の集光された光を示す測定信号の第2のセットを生成し、前記計算システムが、
前記測定信号の第1のセットおよび第2のセット、ならびにマルチターゲット測定モデルに少なくとも部分的に基づいて、前記1つ以上の計測ターゲットの前記多孔率を示す値と前記1つ以上の計測ターゲットの限界寸法の値を推定するように構成されることを特徴とする測定システム。
58. The measurement system of claim 58, wherein the illumination light source is further configured to provide a second amount of illumination light to the one or more measurement targets placed on the sample, said steam. The detector is further configured to provide a second gas stream to the one or more measurement targets while the injection system illuminates the one or more measurement targets with the second amount of illumination light. Receives a second amount of focused light from the one or more measurement targets in response to the second amount of illumination light, and a measurement signal indicating the second amount of focused light. The calculation system generated a second set of
A value indicating the porosity of the one or more measurement targets and the one or more measurement targets based on the first set and the second set of the measurement signals, and at least partially based on the multi-target measurement model. A measurement system characterized in that it is configured to estimate the value of a critical dimension.
請求項60に記載の測定システムであって、前記第1の気体流が前記第1の充填物質を第1の分圧で含み、前記第2の気体流が前記第1の充填物質を第2の分圧で含む、ことを特徴とする測定システム。 In the measurement system according to claim 60, the first gas flow contains the first filler at a first partial pressure, and the second gas stream contains the first filler in a second. A measurement system characterized by containing by partial pressure of. 請求項61に記載の測定システムであって、前記第1の充填物質の前記第2の分圧がおよそゼロであることを特徴とする測定システム。 The measuring system according to claim 61, wherein the second partial pressure of the first filling material is approximately zero. 請求項60に記載の測定システムであって、前記第1の気体流が前記第1の充填物質を含み、前記第2の気体流が第2の充填物質を含むことを特徴とする測定システム。 The measuring system according to claim 60, wherein the first gas flow contains the first filler and the second gas stream contains a second filler. 請求項58に記載の測定システムであって、前記試料の温度が、前記第1の気体流内で蒸発される前記第1の充填物質の温度とおよそ同じ温度であることを特徴とする測定システム。 The measuring system according to claim 58, wherein the temperature of the sample is substantially the same as the temperature of the first packing material that is evaporated in the first gas stream. .. 請求項58に記載の測定システムであって、前記蒸気注入システムが、不飽和パージガスの第1の流れを気相の前記第1の充填物質で飽和されたパージガスの第2の流れと混合して、前記第1の気体流を提供することを特徴とする測定システム。 The measurement system of claim 58, wherein the steam injection system mixes a first stream of unsaturated purge gas with a second stream of purge gas saturated with the first filler in the gas phase. , A measuring system comprising providing the first gas flow. 請求項58に記載の測定システムであって、前記蒸気注入システムが、不飽和パージガスの流れと気相の前記第1の充填物質で飽和されたパージガスの流れとの比を変化させることによって、前記第1の気体流内の前記充填物質の分圧を調整することを特徴とする測定システム。 58. The measurement system of claim 58, wherein the steam injection system changes the ratio of the unsaturated purge gas flow to the gas phase saturated purge gas flow of the first filler. A measurement system characterized in that the partial pressure of the filling substance in the first gas stream is adjusted. 請求項58に記載の測定システムであって、前記蒸気注入システムが、
液相の前記第1の充填物質を含むバブラーであって、前記液体充填物質の一部分が、前記パージガスの第2の流れ内へ蒸発して、気相の前記第1の充填物質で前記パージガスの第2の流れを飽和する、バブラーを備えることを特徴とする測定システム。
The measurement system according to claim 58, wherein the steam injection system
A bubbler containing the first filler in the liquid phase, a portion of the liquid filler evaporates into a second stream of the purge gas, and the first filler in the gas phase of the purge gas. A measurement system characterized by having a bubbler that saturates the second flow.
請求項58に記載の測定システムであって、前記充填物質が、水、エタノール、トルエン、イソプロピルアルコール、メタノール、およびベンゼンのうちのいずれかであることを特徴とする測定システム。 The measuring system according to claim 58, wherein the filling substance is any one of water, ethanol, toluene, isopropyl alcohol, methanol, and benzene. 請求項58に記載の測定システムであって、前記第1の充填物質が、前記第1の量の照明光に応答して蛍光を発することを特徴とする測定システム。 The measuring system according to claim 58, wherein the first filling material fluoresces in response to the first amount of illumination light. 請求項59に記載の測定システムであって、前記多孔率および前記限界寸法の前記値の前記推定が、モデルベース回帰、モデルベースライブラリ検索、モデルベースライブラリ回帰、画像ベースの分析、および信号応答計測モデルのうちのいずれかを伴うことを特徴とする測定システム。 The measurement system of claim 59, wherein the estimation of the values of the porosity and the critical dimensions is model-based regression, model-based library retrieval, model-based library regression, image-based analysis, and signal response measurement. A measurement system characterized by involving any of the models. 請求項58に記載の測定システムであって、前記測定システムが、分光エリプソメータ、分光反射計、角度分解反射計、暗視野検査システム、明視野検査システム、および撮像オーバーレイ測定システムのうちのいずれかとして構成されることを特徴とする測定システム。 58. The measurement system according to claim 58, wherein the measurement system is one of a spectroscopic ellipsometer, a spectroscopic reflector, an angle-resolved reflector, a dark-field inspection system, a bright-field inspection system, and an imaging overlay measurement system. A measurement system characterized by being configured. 試料上に設置された1つ以上の構造要素にある量の照明光を提供するように構成される照明光源と、
蒸気注入システムであって、
パージガスの第1の流れの流量を調節する第1の質量流量制御器、
前記パージガスの第2の流れの流量を調節する第2の質量流量制御器、ならびに
液相の第1の充填物質を含むバブラーであって、前記パージガスの前記第2の流れが、前記バブラーを通過し、前記液体充填物質の一部分が、前記パージガスの前記第2の流れ内へ蒸発して、気相の前記第1の充填物質で前記パージガスの前記第2の流れを飽和し、前記パージガスの前記第1の流れと前記第1の充填物質で飽和された前記パージガスの前記第2の流れとが組み合わされて、前記1つ以上の構造要素の照明の間、前記試料上に設置された前記1つ以上の構造要素に提供される気体流を形成する、バブラーを備える、蒸気注入システムと、
前記第1の量の照明光に応答して前記1つ以上の構造要素から第1の量の集光された光を受け取り、前記第1の量の集光された光を示す測定信号の第1のセットを生成する検出器と、
前記測定信号の第1のセットに少なくとも部分的に基づいて、前記1つ以上の構造要素の多孔率を示す値を推定するように構成された計算システムと、
を備えることを特徴とする測定システム。
An illumination light source configured to provide an amount of illumination light in one or more structural elements placed on the sample.
It ’s a steam injection system.
A first mass flow controller that regulates the flow rate of the first flow of purge gas,
A bubbler comprising a second mass flow controller that regulates the flow rate of the second flow of the purge gas and a first filler in the liquid phase, the second flow of the purge gas passing through the bubbler. Then, a part of the liquid filling substance evaporates into the second flow of the purge gas to saturate the second flow of the purge gas with the first filling substance in the gas phase, and the purge gas. The first stream and the second stream of the purge gas saturated with the first filler are combined to place the 1 on the sample during illumination of the one or more structural elements. A steam injection system with a bubbler that forms the gas flow provided to one or more structural elements, and
A measurement signal that receives a first amount of focused light from the one or more structural elements in response to the first amount of illumination light and indicates the first amount of focused light. A detector that produces a set of 1 and
A computational system configured to estimate a value indicating the porosity of the one or more structural elements based at least in part on the first set of measurement signals.
A measurement system characterized by being equipped with.
請求項72に記載の測定システムであって、
前記計算システムが、
前記第1の質量流量制御器に第1の命令信号を通信し、それにより前記第1の質量流量制御器に前記パージガスの前記第1の流れの流量を調整させ、
前記第2の質量流量制御器に第2の命令信号を通信し、それにより前記第2の質量流量制御器に、前記パージガスの前記第1の流れの流量と前記パージガスの前記第2の流れの流量との比が前記気体流内の前記第1の充填物質の所望の分圧を達成するように、前記パージガスの前記第2の流れの流量を調整させるように構成されることを特徴とする測定システム。
The measuring system according to claim 72.
The calculation system
A first command signal is communicated to the first mass flow controller, thereby causing the first mass flow controller to adjust the flow rate of the first flow of the purge gas.
A second command signal is transmitted to the second mass flow controller, whereby the flow rate of the first flow of the purge gas and the second flow of the purge gas are transmitted to the second mass flow controller. It is characterized in that the flow rate of the second flow of the purge gas is adjusted so that the ratio with the flow rate achieves the desired partial pressure of the first filling material in the gas flow. Measurement system.
請求項72に記載の測定システムであって、前記1つ以上の構造要素が、多孔性平坦フィルムを含むことを特徴とする測定システム。 The measuring system according to claim 72, wherein the one or more structural elements include a porous flat film. 試料上に設置された1つ以上の構造要素に第1の量の照明光を提供するステップと、
前記1つ以上の構造要素の照明の間、気相の第1の充填物質を含む第1の気体流を前記1つ以上の構造要素に提供するステップであって、前記充填物質の一部分が、液相の前記1つ以上の構造要素上に凝縮され、前記充填物質の前記一部分が、前記1つ以上の構造要素の1つ以上の幾何構造特徴部間の空間の少なくとも一部分を充填する、ステップと、
前記第1の量の照明光に応答して前記1つ以上の構造要素から第1の量の集光された光を検出するステップと、
前記第1の量の集光された光を示す測定信号の第1のセットを生成するステップと、
前記測定信号の第1のセットと測定モデルとに少なくとも部分的に基づいて、前記1つ以上の構造要素の多孔率を示す値を推定するステップと、
を含むことを特徴とする方法。
A step of providing a first amount of illumination light to one or more structural elements placed on the sample,
During the illumination of the one or more structural elements, a step of providing a first gas stream containing the first filler of the gas phase to the one or more structural elements, wherein a portion of the filler. A step of condensing on the one or more structural elements of the liquid phase, the portion of the packing material filling at least a portion of the space between the one or more geometric features of the one or more structural elements. When,
A step of detecting a first amount of focused light from the one or more structural elements in response to the first amount of illumination light.
The step of generating a first set of measurement signals indicating the first amount of focused light,
A step of estimating a value indicating the porosity of the one or more structural elements, at least partially based on the first set of measurement signals and the measurement model.
A method characterized by including.
請求項75に記載の方法であって、
前記測定信号の第1のセットと前記測定モデルとに少なくとも部分的に基づいて、前記1つ以上の構造要素の限界寸法の値を推定するステップをさらに含むことを特徴とする方法。
The method according to claim 75.
A method further comprising estimating the value of the critical dimension of the one or more structural elements based at least in part on the first set of measurement signals and the measurement model.
請求項75に記載の方法であって、
前記試料上に設置された前記1つ以上の構造要素に第2の量の照明光を提供するステップと、
前記1つ以上の構造要素を前記第2の量の照明光で照明する間、第2の気体流を前記1つ以上の構造要素に提供するステップと、
前記第2の量の照明光に応答して前記1つ以上の構造要素から第2の量の集光された光を検出するステップと、
前記第2の量の集光された光を示す測定信号の第2のセットを生成するステップと、
前記測定信号の第1のセットおよび第2のセットと、マルチターゲット測定モデルとに少なくとも部分的に基づいて、前記1つ以上の構造要素の前記多孔率を示す値と前記1つ以上の構造要素の限界寸法の値を推定するステップと、をさらに含むことを特徴とする方法。
The method according to claim 75.
A step of providing a second amount of illumination light to the one or more structural elements placed on the sample.
A step of providing a second gas stream to the one or more structural elements while illuminating the one or more structural elements with the second amount of illumination light.
A step of detecting a second amount of focused light from the one or more structural elements in response to the second amount of illumination light.
The step of generating a second set of measurement signals indicating the second amount of focused light,
A value indicating the porosity of the one or more structural elements and the one or more structural elements, at least partially based on the first and second sets of measurement signals and the multi-target measurement model. A method characterized by further including, and a step of estimating the value of the limit dimension of.
請求項75に記載の方法であって、前記第1の気体流を提供するステップが、不飽和パージガスの第1の流れを気相の前記第1の充填物質で飽和されたパージガスの第2の流れと混合するステップを伴うことを特徴とする方法。 The method of claim 75, wherein the step of providing the first gas flow is a second flow of the purge gas saturated with the first filler in the gas phase in the first flow of the unsaturated purge gas. A method characterized by involving steps to mix with the flow. 請求項75に記載の方法であって、前記1つ以上の構造要素が多孔性平坦フィルムを含むことを特徴とする方法。 The method according to claim 75, wherein the one or more structural elements include a porous flat film.
JP2018557400A 2016-05-02 2017-04-28 Measurement of semiconductor structures using capillary condensation Active JP6790123B2 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662330751P 2016-05-02 2016-05-02
US62/330,751 2016-05-02
US15/204,938 2016-07-07
US15/204,938 US10281263B2 (en) 2016-05-02 2016-07-07 Critical dimension measurements with gaseous adsorption
US201762441887P 2017-01-03 2017-01-03
US62/441,887 2017-01-03
PCT/US2017/030267 WO2017192406A1 (en) 2016-05-02 2017-04-28 Measurement of semiconductor structures with capillary condensation

Publications (3)

Publication Number Publication Date
JP2019515294A JP2019515294A (en) 2019-06-06
JP2019515294A5 JP2019515294A5 (en) 2020-06-11
JP6790123B2 true JP6790123B2 (en) 2020-11-25

Family

ID=60203204

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018557400A Active JP6790123B2 (en) 2016-05-02 2017-04-28 Measurement of semiconductor structures using capillary condensation

Country Status (5)

Country Link
JP (1) JP6790123B2 (en)
KR (1) KR102219787B1 (en)
CN (1) CN109075100B (en)
DE (1) DE112017002298T5 (en)
WO (1) WO2017192406A1 (en)

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003058699A1 (en) * 2001-12-31 2003-07-17 Tokyo Electron Limited Method of fault detection for material process system
JP3693972B2 (en) * 2002-03-19 2005-09-14 富士通株式会社 Bonded substrate manufacturing apparatus and substrate bonding method
WO2006038472A1 (en) * 2004-10-06 2006-04-13 Ebara Corporation Substrate treatment apparatus and substrate treatment method
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
JP2011514660A (en) * 2008-01-31 2011-05-06 アプライド マテリアルズ インコーポレイテッド Deposition control in closed-loop MOCVD
US20100235114A1 (en) * 2009-03-10 2010-09-16 Kla-Tencor Corporation Systems and methods for determining one or more characteristics of a specimen using radiation in the terahertz range
KR101306986B1 (en) * 2010-03-09 2013-09-26 한국전자통신연구원 Apparatus for forming thin films
KR20130006986A (en) * 2011-06-28 2013-01-18 엘지전자 주식회사 Mobile terminal and method for controlling the same
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
CN103839851A (en) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 Endpoint judgment method

Also Published As

Publication number Publication date
CN109075100B (en) 2020-06-30
JP2019515294A (en) 2019-06-06
DE112017002298T5 (en) 2019-02-14
KR102219787B1 (en) 2021-02-23
CN109075100A (en) 2018-12-21
WO2017192406A1 (en) 2017-11-09
KR20180132947A (en) 2018-12-12

Similar Documents

Publication Publication Date Title
JP6876721B2 (en) Porosity measurement of semiconductor structures
JP6775601B2 (en) Limit dimension measurement using gas adsorption
US10145674B2 (en) Measurement of semiconductor structures with capillary condensation
US10502549B2 (en) Model-based single parameter measurement
KR102196370B1 (en) Signal response metrology based on measurements of proxy structures
US10354929B2 (en) Measurement recipe optimization based on spectral sensitivity and process variation
US10365225B1 (en) Multi-location metrology
JP6790123B2 (en) Measurement of semiconductor structures using capillary condensation

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200424

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200424

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20200424

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20200616

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200914

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201006

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201104

R150 Certificate of patent or registration of utility model

Ref document number: 6790123

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250