KR20180113901A - Semiconductor structures and methods - Google Patents

Semiconductor structures and methods Download PDF

Info

Publication number
KR20180113901A
KR20180113901A KR1020170164999A KR20170164999A KR20180113901A KR 20180113901 A KR20180113901 A KR 20180113901A KR 1020170164999 A KR1020170164999 A KR 1020170164999A KR 20170164999 A KR20170164999 A KR 20170164999A KR 20180113901 A KR20180113901 A KR 20180113901A
Authority
KR
South Korea
Prior art keywords
substrate
carrier
semiconductor package
cte
reflow process
Prior art date
Application number
KR1020170164999A
Other languages
Korean (ko)
Other versions
KR102051055B1 (en
Inventor
첸-후아 우
영-치 린
웬-치 치오우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/674,388 external-priority patent/US11304290B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20180113901A publication Critical patent/KR20180113901A/en
Application granted granted Critical
Publication of KR102051055B1 publication Critical patent/KR102051055B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/073Apertured devices mounted on one or more rods passed through the apertures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0271Arrangements for reducing stress or warp in rigid printed circuit boards, e.g. caused by loads, vibrations or differences in thermal expansion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/30Assembling printed circuits with electric components, e.g. with resistor
    • H05K3/32Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits
    • H05K3/34Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits by soldering
    • H05K3/341Surface mounted components
    • H05K3/3431Leadless components
    • H05K3/3436Leadless components having an array of bottom contacts, e.g. pad grid array or ball grid array components
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/30Assembling printed circuits with electric components, e.g. with resistor
    • H05K3/32Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits
    • H05K3/34Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits by soldering
    • H05K3/3494Heating methods for reflowing of solder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/211Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/8121Applying energy for connecting using a reflow oven
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/832Applying energy for connecting
    • H01L2224/8321Applying energy for connecting using a reflow oven
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83801Soldering or alloying
    • H01L2224/83815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/19011Structure including integrated passive components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/11Printed elements for providing electric connections to or between printed circuits
    • H05K1/111Pads for surface mounting, e.g. lay-out
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/06Thermal details
    • H05K2201/068Thermal details wherein the coefficient of thermal expansion is important
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09009Substrate related
    • H05K2201/09136Means for correcting warpage
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/16Inspection; Monitoring; Aligning
    • H05K2203/163Monitoring a manufacturing process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/16Inspection; Monitoring; Aligning
    • H05K2203/166Alignment or registration; Control of registration
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0058Laminating printed circuit boards onto other substrates, e.g. metallic substrates
    • H05K3/0061Laminating printed circuit boards onto other substrates, e.g. metallic substrates onto a metallic substrate, e.g. a heat sink
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0058Laminating printed circuit boards onto other substrates, e.g. metallic substrates
    • H05K3/0067Laminating printed circuit boards onto other substrates, e.g. metallic substrates onto an inorganic, non-metallic substrate
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/007Manufacture or processing of a substrate for a printed circuit board supported by a temporary or sacrificial carrier
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

A method comprises the steps of: attaching a carrier to a substrate; aligning an external connector on a first surface of a first semiconductor package on a first conductive pad of a first surface of the substrate spaced apart from and opposing to the carrier; and performing a reflow process, wherein a difference in coefficient of thermal expansion (CTE) between the substrate and the carrier causes a first shape for the first surface of the substrate during the reflow process, a difference in CTE between materials of the first semiconductor package causes a second shape for the first surface of the first semiconductor package during the reflow process, and the first shape substantially coincides with the second shape. The method further includes a step of separating the carrier from the substrate after the reflow process.

Description

반도체 구조 및 방법{SEMICONDUCTOR STRUCTURES AND METHODS}[0001] SEMICONDUCTOR STRUCTURES AND METHODS [0002]

<우선권 주장 및 교차 참조> <Priority claim and cross reference>

본 출원은 2017년 4월 7일에 출원한 발명의 명칭이 "Semiconductor Structures and Methods"인 미국 가특허출원 번호 제62/483,198호에 대해 우선권을 주장하며, 이 우선권 출원은 참조에 의해 본 명세서에 포함된다.This application claims priority to U.S. Provisional Patent Application No. 62 / 483,198, entitled " Semiconductor Structures and Methods, " filed April 7, 2017, which is incorporated herein by reference in its entirety. .

<배경><Background>

반도체 산업은 다양한 전자 부품(예컨대, 트랜지스터, 다이오드, 레지스터, 커패시터 등)의 집적 밀도의 지속적인 개선으로 인해 급속도로 성장하고 있다. 대부분 이러한 집적 밀도의 개선은 최소 피처 사이즈의 되풀이된 축소로부터 유래되어, 더 많은 부품들이 주어진 면적 내에 집적될 수 있다. 전자 디바이스를 축소시키려고 하는 요구가 증가함에 따라, 반도체 다이의 더 작고 더 독창적인 패키징 기술에 대한 필요성이 대두되고 있다. 이러한 패키징 시스템의 일례가 PoP(Package-on-Package) 기술이다. PoP 디바이스의 경우, 상부 반도체 패키지가 하부 반도체 패키지의 상측에 적층되어 높은 수준의 집적도와 부품 밀도를 제공한다. PoP 기술은 일반적으로 향상된 기능성 및 소(小) 풋프린트를 가진 반도체 디바이스의 생산을 가능하게 한다. 또 다른 예는 반도체 칩이 웨이퍼(예컨대, 인터포저)에 부착되어 CoW(Chip-On-Wafer) 구조를 형성하는 CoWoS(Chip-On-Wafer-On-Substrate)이다. CoW 구조가 기판(예컨대, 인쇄 회로 기판)에 부착됨으로써 CoWoS 구조를 형성한다. BACKGROUND OF THE INVENTION The semiconductor industry is rapidly growing due to the continuous improvement in the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.). Most of these improvements in integration density result from repeated shrinking of the minimum feature size, so that more parts can be integrated in a given area. As the demand to shrink electronic devices grows, there is a need for smaller and more original packaging techniques for semiconductor die. One example of such a packaging system is a package-on-package (PoP) technology. In the case of PoP devices, the upper semiconductor package is stacked on top of the lower semiconductor package to provide a high degree of integration and component density. PoP technology generally enables the production of semiconductor devices with enhanced functionality and small footprint. Another example is a chip-on-wafer-on-substrate (CoWoS) in which a semiconductor chip is attached to a wafer (e.g., an interposer) to form a chip-on-wafer structure. A CoW structure is attached to a substrate (e.g., a printed circuit board) to form a CoWoS structure.

본 개시내용의 양태들은 첨부 도면을 참조한 이하의 상세한 설명으로부터 가장 잘 이해된다. 해당 산업계의 표준 관행에 따라, 다양한 피처를 비율에 따라 도시하지는 않는다. 사실상, 다양한 피처의 치수는 설명의 편의상 임의대로 확대 또는 축소될 수 있다.
도 1 내지 도 4는 일 실시형태에 따른 다양한 제조 단계에서의 반도체 디바이스의 단면도를 도시한다.
도 5 내지 도 8은 일 실시형태에 따른 다양한 제조 단계에서의 반도체 디바이스의 단면도를 도시한다.
도 9 내지 도 13은 일 실시형태에 따른 다양한 제조 단계에서의 반도체 디바이스의 단면도를 도시한다.
도 14 내지 도 17은 일 실시형태에 따른 다양한 제조 단계에서의 반도체 디바이스의 단면도를 도시한다.
도 18 내지 도 21은 다양한 실시형태에 따른 캐리어의 단면도를 도시한다.
도 22는 일부 실시형태에 따른 반도체 디바이스 제조 방법의 흐름도를 도시한다.
BRIEF DESCRIPTION OF THE DRAWINGS The aspects of the present disclosure are best understood from the following detailed description with reference to the accompanying drawings. Depending on the industry standard practice, the various features are not shown in scale. In practice, the dimensions of the various features may be scaled up or down arbitrarily for convenience of explanation.
Figures 1-4 illustrate cross-sectional views of semiconductor devices at various fabrication steps in accordance with one embodiment.
Figures 5-8 illustrate cross-sectional views of semiconductor devices at various fabrication steps in accordance with one embodiment.
Figures 9-13 illustrate cross-sectional views of semiconductor devices at various fabrication steps in accordance with one embodiment.
14-17 illustrate cross-sectional views of semiconductor devices at various fabrication steps in accordance with one embodiment.
Figures 18-21 illustrate cross-sectional views of a carrier according to various embodiments.
22 shows a flow chart of a method of manufacturing a semiconductor device according to some embodiments.

이하의 개시내용은 본 발명의 상이한 특징을 구현하기 위해 다수의 상이한 실시형태 또는 실시예를 제공한다. 본 개시내용을 단순화하기 위해 구성요소 및 구성의 특정 실시예에 대해 후술한다. 물론 이들은 예시일 뿐이며, 한정되는 것을 목적으로 하지 않는다. 예를 들어, 이어지는 설명에 있어서 제2 피처 위(over) 또는 상(on)의 제1 피처의 형성은 제1 및 제2 피처가 직접 접촉으로 형성되는 실시형태를 포함할 수도 있고, 제1 및 제2 피처가 직접 접촉하지 않도록 제1 및 제2 피처 사이에 추가 피처가 형성될 수 있는 실시형태도 또한 포함할 수 있다. The following disclosure provides a number of different embodiments or embodiments for implementing the different features of the present invention. Specific embodiments of components and configurations are described below to simplify the present disclosure. Of course, these are merely examples, and are not intended to be limiting. For example, in the following description, formation of a first feature of a second feature over or on may include embodiments wherein the first and second features are formed in direct contact, Embodiments may also include that additional features may be formed between the first and second features such that the second features are not in direct contact.

또한, "아래(beneath)", "밑(below)", "하위(lower)", "위(above)", "상위(upper)" 등의 공간 관련 용어는 도면에 나타내는 바와 같이 한 요소 또는 피처와 다른 요소(들) 또는 피처(들)와의 관계를 설명함에 있어서 설명의 용이성을 위해 본 명세서에 이용될 수 있다. 공간 관련 용어는 도면에 나타내는 방향 외에, 사용 또는 동작 시의 디바이스의 상이한 방향도 포함하는 것을 의도한다. 장치는 다른 식으로 지향(90도 또는 다른 방향으로 회전)될 수 있으며 본 명세서에 사용한 공간 관련 기술자(descriptor)는 그에 따라 마찬가지로 해석될 수 있다.Also, terms related to space such as "beneath", "below", "lower", "above", "upper" May be used herein for ease of description in describing the relationship of the feature to the other element (s) or feature (s). The term space-related is intended to encompass different orientations of the device during use or operation, as well as the directions shown in the figures. The device may be oriented differently (rotated 90 degrees or in other directions) and the spatial related descriptor used herein may be similarly interpreted accordingly.

본 개시내용의 실시형태들을 반도체 제조의 상황에서, 더 구체적으로 3차원(3D) 반도체 구조를 형성하는 상황에서 설명한다. 일부 실시형태에 있어서, 3D 반도체 구조는 기판의 제1 면 상의 전도성 패드에 부착된 반도체 패키지를 포함한다. 제1 면과 반대측의 기판의 제2 면이 캐리어에 부착된다. 일부 실시형태에서는, 열팽창계수의 불일치로, 리플로우 공정 동안에 반도체 패키지와 기판에 휨(warpage)이 발생한다. 일부 실시형태에 따르면, 캐리어는 기판의 제1 면의 제1 휨을 반도체 패키지의 하부 표면의 제2 휨과 실질적으로 일치시키기 위하여 기판 내에 미리 결정된 수준의 휨을 유도하도록 설계된다. 본 개시내용에 의해 골드 조인트(cold joint)가 감소하거나 방지되며 공정 수율이 개선된다.Embodiments of the present disclosure will be described in the context of semiconductor manufacturing, and more particularly in the context of forming a three-dimensional (3D) semiconductor structure. In some embodiments, the 3D semiconductor structure includes a semiconductor package attached to a conductive pad on a first side of the substrate. A second side of the substrate opposite the first side is attached to the carrier. In some embodiments, mismatch in the thermal expansion coefficient causes warpage in the semiconductor package and the substrate during the reflow process. According to some embodiments, the carrier is designed to induce a predetermined level of deflection within the substrate to substantially match the first deflection of the first surface of the substrate with the second deflection of the bottom surface of the semiconductor package. This disclosure reduces or prevents cold joints and improves process yield.

도 1 내지 도 4는 다양한 제조 단계에서의 반도체 디바이스(100)의 단면도를 도시한다. 도 1을 참조하면, 기판(105)이 접착층(103)을 통해 캐리어(101)에 부착된다. 기판(105)은 BT(bismaleimide triazine) 수지, FR-4(난연성 에폭시 수지 바인더와 함께 직조된 섬유 유리 직물로 구성된 복합 재료), 세라믹, 유리, 플라스틱, 테이프, 필름, 또는 기타 지지재로 제조될 수 있다. 도 1에 도시하는 바와 같이, 알루미늄 패드 또는 구리 패드 등의 전도성 패드(107)가 기판(105)의 상부 표면 상에 형성된다. 전도성 패드(107)는 기판(105)에 형성된 전도성 피처(예컨대, 도시하지 않는 전도성 라인 또는 비아)에 전기적으로 접속될 수 있다. 전도성 패드(107)는 기판(105)에 본딩된 다른 전기 디바이스 또는 부품(예컨대, 반도체 다이, 반도체 패키지, 커패시터, 인덕터, 레지스터, 다이오드 등)에 기판(105)을 전기적으로 (예컨대, 솔더링을 통해) 결합하는데 사용될 수 있다. 일부 실시형태의 경우, 기판(105)은 레지스터, 커패시터, 신호 분배 회로, 이들의 조합 등의 전기 부품을 포함할 수도 있다. 이들 전기 부품은 능동형, 수동형, 또는 이들의 조합일 수 있다. 다른 실시형태에서는, 기판(105) 내부에 능동 및 수동의 양 전기 부품이 없다. 이러한 모든 조합이 본 실시형태의 범위 내에 포함되는 것이 전적으로 의도된다.1-4 illustrate cross-sectional views of semiconductor device 100 at various stages of fabrication. Referring to FIG. 1, a substrate 105 is attached to the carrier 101 through an adhesive layer 103. The substrate 105 may be made of BT (bismaleimide triazine) resin, FR-4 (a composite material composed of a fiberglass fabric woven with a flame retardant epoxy resin binder), ceramic, glass, plastic, tape, film, . As shown in Fig. 1, conductive pads 107, such as aluminum pads or copper pads, are formed on the top surface of the substrate 105. The conductive pad 107 may be electrically connected to a conductive feature (e.g., a conductive line or via, not shown) formed in the substrate 105. The conductive pad 107 may be electrically connected to another electrical device or component (e.g., a semiconductor die, semiconductor package, capacitor, inductor, resistor, diode, etc.) ). &Lt; / RTI &gt; In some embodiments, the substrate 105 may include electrical components such as resistors, capacitors, signal distribution circuits, combinations thereof, and the like. These electrical components may be active, passive, or a combination thereof. In another embodiment, there are no active and passive electrical components inside the substrate 105. It is entirely intended that all such combinations are included within the scope of the present embodiment.

일부 실시형태에서는, 기판(105)이 단층 인쇄 회로 기판(PCB) 또는 다층 PCB 등의 PCB이다. 금속 라인 및 비아를 포함하는 금속 상호접속부(도시 생략)가 PCB 내에/상에 형성되어 전도성 패드(107)에 전기적으로 결합된다. 예를 들어, 단층 PCB의 경우, 금속 라인은 PCB의 한면 또는 양면 상에 형성될 수 있고, 비아는 PCB를 통과해 연장되어 PCB의 양면 상의 금속 라인을 연결하도록 형성될 수 있다. 도 1에는 도시하지 않지만, 전도성 패드(107)는 캐리어(101)와 대면하는 기판(105)의 하부면 상에도 형성될 수 있다. 기판(105)이 다층 PCB인 실시형태에서는, 금속 라인과 비아가 기판(105)의 양쪽 면 사이에 있는 기판(105)의 하나 이상의 층에도 형성된다. 도 1에 도시하는 바와 같이, 솔더 레지스트와 같은 패시베이션층(109)이 기판(105) 위에 그리고 전도성 패드(107) 위에 형성된다. 개구부가 패시베이션층(109)에 형성되어 전도성 패드(107)를 노출한다. 예시적인 실시형태에서는, 기판(105)이 약 30 mm x 약 30 mm 또는 그보다 큰 치수를 가진 PCB인데, 다른 치수도 가능하다.In some embodiments, the substrate 105 is a PCB such as a single layer printed circuit board (PCB) or a multi-layer PCB. Metal interconnects (not shown), including metal lines and vias, are formed in / on the PCB and are electrically coupled to the conductive pads 107. For example, in the case of a single layer PCB, metal lines may be formed on one or both sides of the PCB, and vias may extend through the PCB to form metal lines on both sides of the PCB. Although not shown in FIG. 1, the conductive pad 107 may also be formed on the lower surface of the substrate 105 facing the carrier 101. In embodiments where the substrate 105 is a multi-layer PCB, metal lines and vias are also formed in at least one layer of the substrate 105 between both sides of the substrate 105. As shown in FIG. 1, a passivation layer 109, such as a solder resist, is formed over the substrate 105 and over the conductive pad 107. An opening is formed in the passivation layer 109 to expose the conductive pad 107. In an exemplary embodiment, the substrate 105 is a PCB having dimensions of about 30 mm x about 30 mm or larger, although other dimensions are possible.

캐리어(101)는 강성재(rigid material)를 포함하고, 상부 표면(101U)을 갖는다. 상부 표면(101U)은 예컨대 실온에서 편평할 수 있다. 기판(105)의 하부 표면은 캐리어(101)의 상부 표면(101U)에 부착된다. 캐리어(101)는 후속 처리, 예컨대 본딩 공정에서 기판(105)을 지지하는데 사용되는 임시 캐리어이다. 그 후에, 일부 실시형태에서는 본딩 공정이 종료되면 캐리어(101)가 기판(105)으로부터 분리된다. The carrier 101 includes a rigid material and has a top surface 101U. The upper surface 101U may be flat at room temperature, for example. The lower surface of the substrate 105 is attached to the upper surface 101U of the carrier 101. The carrier 101 is a temporary carrier used to support the substrate 105 in a subsequent process, e.g., a bonding process. Thereafter, in some embodiments, the carrier 101 is separated from the substrate 105 when the bonding process is completed.

캐리어(101)는 기판(105)에 구조적 지지를 제공할 수 있는 임의의 적절한 재료를 포함할 수 있다. 예를 들어, 캐리어(101)는 금속(예컨대, 스틸), 유리, 세라믹, 실리콘(예컨대, 벌크 실리콘), 이들의 조합, 이들의 다층 등을 포함할 수 있다. 일부 실시형태의 경우, 캐리어(101)의 열팽창계수(CTE)는 기판(105)이 캐리어(101)에 부착된 후에 그리고 리플로우 공정 동안에 캐리어(101)의 열팽창계수(CTE)와 기판(105)의 CTE 간의 불일치(예컨대, 차이)가 기판(105)의 미리 결정된 (예컨대, 설계된) 수준의 휨을 유도하도록 조정된다. 그 상세 내용은 이하에서 논의될 것이다.The carrier 101 may comprise any suitable material capable of providing structural support to the substrate 105. For example, the carrier 101 may comprise metal (e.g., steel), glass, ceramic, silicon (e.g., bulk silicon), combinations thereof, multilayers thereof, and the like. The coefficient of thermal expansion (CTE) of the carrier 101 is greater than the coefficient of thermal expansion (CTE) of the carrier 101 and the coefficient of thermal expansion of the substrate 105 after the substrate 105 is attached to the carrier 101 and during the reflow process. (E.g., a difference) between the CTEs of the substrate 105 is adjusted to induce a predetermined (e.g., designed) level of deflection of the substrate 105. The details thereof will be discussed below.

일부 실시형태에서는, 하나의 기판(105)만이 캐리어(101)에 부착되고, 다른 기판은 캐리어(101)에 부착되지 않는다. 다시 말해, 하나의 캐리어(101)가 하나의 기판(105)만을 지지한다. 다른 실시형태에서는, 복수의 기판(105)이 캐리어 (101)에 부착되고, 따라서 하나의 캐리어(101)는 다수의 기판(105)을 지지한다. 평면도(도시 생략)에서의 캐리어(101)의 형상은 하나 이상의 기판(105)을 수용하기에 적합한 임의의 형상일 수 있다. 예를 들어, 캐리어(101)는 직사각형, 정사각형, 다각형, 또는 원형의 형상을 가질 수 있다. 캐리어(101)의 크기(예컨대, 표면적)는 일부 실시형태의 경우, 캐리어에 부착되는 하나 이상의 기판(105)의 크기(예컨대, 표면적)와 동일하거나 더 크다. 하나의 캐리어(101)가 하나의 기판(105)을 지지하는 실시형태의 경우, 캐리어(101)의 형상은 기판(105)의 형상과 동일하거나 유사하다. 예를 들어, 캐리어(101)와 기판(105) 둘 다는 평면도에서 볼 때에 동일한 직사각형 또는 유사한 직사각형의 형상을 가질 수 있다. 캐리어(101)의 표면적이 기판(105)의 표면적과 동일하거나 더 크기 때문에, 기판(105)은 캐리어(101)에 의해 아래쪽이 완전히 지지되는 것을 알아야 한다. 예를 들어, 평면도에서, 기판(105)은 캐리어(101)의 외부 둘레 안에 배치된다.In some embodiments, only one substrate 105 is attached to the carrier 101, and the other substrate is not attached to the carrier 101. In other words, one carrier 101 supports only one substrate 105. In another embodiment, a plurality of substrates 105 are attached to the carrier 101, and thus one carrier 101 supports a plurality of substrates 105. The shape of the carrier 101 in a top view (not shown) may be any shape suitable for receiving one or more substrates 105. For example, the carrier 101 may have a rectangular, square, polygonal, or circular shape. The size (e.g., surface area) of the carrier 101 is, in some embodiments, equal to or greater than the size (e.g., surface area) of one or more substrates 105 attached to the carrier. In the embodiment in which one carrier 101 supports one substrate 105, the shape of the carrier 101 is the same as or similar to the shape of the substrate 105. For example, both the carrier 101 and the substrate 105 may have the same rectangular or similar rectangular shape when viewed in plan view. It should be noted that since the surface area of the carrier 101 is equal to or larger than the surface area of the substrate 105, the substrate 105 is fully supported by the carrier 101 downward. For example, in a plan view, the substrate 105 is disposed in the outer periphery of the carrier 101.

도 1의 예에서는, 기판(105)이 접착층(103)에 의해 캐리어(101)에 부착된다. 일부 실시형태에서는, 접착층(103)이 중합체 접착층이다. 예를 들면, 접착층(103)은 방사선 소스(예컨대, 자외선 또는 레이저)에 노출될 때에 접착성이 저감되거나 손실되는 광-열 변환(light-to-heat conversion, LTHC) 필름일 수도 있다. 따라서, 후속 공정에서 기판(105)으로부터 캐리어(101)를 분리하기 위해, 접착층(103)(예컨대, LTHC 필름) 상에 자외선(UV) 또는 레이저를 조사하면 캐리어(101) 및 접착층(103)을 기판(105)으로부터 쉽게 분리할 수 있다. 다이 부착 필름(die attaching film, DAF) 등의 기타 적절한 접착층도 사용될 수 있으며, 캐리어(101)의 분리 공정은 기계적 박리(peel-off) 공정, 연삭 공정, 또는 에칭 공정을 포함할 수 있으며, 추가의 세정 공정을 포함할 수도 있다. 일부 실시형태에서는 물을 접착층(103)에 도포함으로써 접착층(103)을 제거한다.In the example of Fig. 1, the substrate 105 is attached to the carrier 101 by the adhesive layer 103. Fig. In some embodiments, the adhesive layer 103 is a polymer adhesive layer. For example, the adhesive layer 103 may be a light-to-heat conversion (LTHC) film in which adhesiveness is reduced or lost when exposed to a radiation source (e.g., ultraviolet light or laser). Therefore, in order to separate the carrier 101 from the substrate 105 in a subsequent process, irradiation of ultraviolet rays (UV) or a laser beam onto the adhesive layer 103 (e.g., LTHC film) It can be easily separated from the substrate 105. Other suitable adhesive layers, such as a die attaching film (DAF), may also be used, and the separation process of the carrier 101 may include a mechanical peel-off process, a grinding process, or an etching process, The cleaning process may be performed. In some embodiments, the adhesive layer 103 is removed by applying water to the adhesive layer 103.

다음으로, 도 2에 도시하는 바와 같이, 반도체 패키지(250)가 기판(105) 위에 배치된다. 후속 본딩 공정(예컨대, 리플로우 공정)을 준비하기 위해 반도체 패키지(250)의 외부 커넥터(217)가 기판(105)의 각각의 전도성 패드(107)와 정렬된다. 반도체 패키지(250)를 기판(105)에 임시로 부착하기 위해 솔더 페이스트(도시되지 않음)가 전도성 패드(107) 위에 분배될 수 있다.Next, as shown in Fig. 2, a semiconductor package 250 is disposed on the substrate 105. Fig. The external connectors 217 of the semiconductor package 250 are aligned with the respective conductive pads 107 of the substrate 105 to prepare for a subsequent bonding process (e.g., a reflow process). Solder paste (not shown) may be dispensed over the conductive pads 107 to temporarily attach the semiconductor package 250 to the substrate 105.

반도체 패키지(250)는 예컨대 SoC(System-On-Chip) 패키지, InFO(Integrated-Fan-Out) 패키지, CoWoS(Chip-On-Wafer-On-Substrate) 패키지일 수 있다. 도 2의 예에서, 반도체 패키지(250)는 CoWoS 패키지를 형성하기 위해 후속 처리에서 기판(105)과 본딩되는 CoW(Chip-On-Wafer) 패키지이다. The semiconductor package 250 may be a system-on-chip (SoC) package, an integrated-fan-out (InFO) package, or a chip-on-wafer-on-substrate package. In the example of FIG. 2, semiconductor package 250 is a Chip-On-Wafer (CoW) package that is bonded to substrate 105 in subsequent processing to form a CoWoS package.

도 2에 도시하는 바와 같이, 반도체 패키지(250)는 다이 커넥터(205)를 통해 인터포저(211)의 상부면에 부착되는 반도체 다이(다이라고도 함)(201)를 포함한다. 반도체 패키지(250)는 인터포저(211)의 상부면 위 그리고 다이(201) 주위의 몰딩 재료(203)와, 인터포저(211)의 하부면에 전기적으로 결합되는 외부 커넥터(217)를 더 포함한다.As shown in FIG. 2, the semiconductor package 250 includes a semiconductor die (also referred to as die) 201 attached to the top surface of the interposer 211 through a die connector 205. The semiconductor package 250 further includes an external connector 217 that is electrically coupled to the molding material 203 on the top surface of the interposer 211 and around the die 201 and the bottom surface of the interposer 211 do.

이하, 반도체 패키지(250)에 대해 상세하게 설명한다. 반도체 다이(201)는 기판(개별적으로 도시하지 않음), 기판 상의 전기 부품(개별적으로 도시되지 않음), 기판 위의 금속화층(개별적으로 도시하지 않음), 금속화층 위의 패시베이션층(개별적으로 도시하지 않음), 패시베이션층 위의 전도성 패드(개별적으로 도시되지 않음), 및 다이 커넥터(205)를 포함할 수 있다. 일 실시형태에 있어서, 기판은 도핑되거나 도핑되지 않는 벌크 실리콘, 또는 SOI(silicon-on-insulator) 기판의 활성층을 포함할 수 있다. 일반적으로, SOI 기판은, 실리콘, 게르마늄, 실리콘 게르마늄, SOI, SGOI(silicon germanium on insulator), 또는 이들의 조합과 같은 반도체 재료로 된 층을 포함한다. 사용할 수 있는 다른 기판은 다층 기판, 경사 기판, 또는 하이브리드 오리엔테이션 기판을 포함한다. Hereinafter, the semiconductor package 250 will be described in detail. The semiconductor die 201 may include a substrate (not individually shown), an electrical component (not individually shown) on the substrate, a metallization layer (not individually shown) on the substrate, a passivation layer (Not individually shown), and a die connector 205 on the passivation layer. In one embodiment, the substrate may comprise an active layer of a doped or undoped bulk silicon, or a silicon-on-insulator (SOI) substrate. Generally, an SOI substrate includes a layer of a semiconductor material such as silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or a combination thereof. Other substrates that may be used include multilayer substrates, graded substrates, or hybrid orientation substrates.

전기 부품은 반도체 다이(201)에 대한 설계의 바람직한 구조적 및 기능적 요건을 생성하는데 사용될 수 있는 다양한 능동 디바이스(예컨대, 트랜지스터) 및 수동 디바이스(예컨대, 커패시터, 레지스터, 인덕터) 등을 포함한다. 전기 부품은 임의의 적절한 방법을 사용하여 다이(201)의 기판 내부에 아니면 기판 상에 형성될 수 있다.Electrical components include a variety of active devices (e.g., transistors) and passive devices (e.g., capacitors, resistors, inductors) that can be used to create desirable structural and functional requirements of the design for semiconductor die 201. The electrical component may be formed on or within the substrate of the die 201 using any suitable method.

금속화층은 기판 및 전기 부품 위에 형성되고 다양한 전기 부품을 연결하여 기능 회로를 형성하도록 설계된다. 일 실시형태에서는, 금속화층이 유전체 및 전도성 재료의 교번 층으로 형성되고, 임의의 적절한 공정(예컨대, 퇴적, 다마신, 이중 다마신 등)를 통해 형성될 수 있다. 일 실시형태에서는, 적어도 하나의 층간 유전체층(ILD)에 의해 기판으로부터 분리되는 4개의 금속화층이 있을 수 있지만, 금속화층의 엄밀한 수는 반도체 다이(201)의 설계에 의존한다.The metallization layer is formed over the substrate and the electrical component and is designed to connect the various electrical components to form a functional circuit. In one embodiment, the metallization layer is formed of alternating layers of dielectric and conductive material and may be formed through any suitable process (e.g., deposition, damascene, dual damascene, etc.). In one embodiment, there may be four metallization layers separated from the substrate by at least one interlevel dielectric layer (ILD), but the exact number of metallization layers depends on the design of the semiconductor die 201.

패시베이션층은 하부 구조를 어느 정도 보호하기 위해 금속화층 위에 형성될 수 있다. 패시베이션층은 실리콘 산화물, 실리콘 질화물, 탄소 도핑된 산화물 등의 로우k(low-k) 유전체, 다공성 탄소 도핑된 실리콘 이산화물 등의 초 로우k(extremely low-k) 유전체, 이들의 조합 등의 하나 이상의 적절한 유전체 재료로 제조될 수 있다. 패시베이션층은 화학적 기상 증착(CVD) 등의 공정을 통해 형성될 수 있지만, 임의의 적절한 공정이 사용될 수도 있다.The passivation layer may be formed over the metallization layer to some extent protect the underlying structure. The passivation layer may comprise one or more of a low k dielectric such as silicon oxide, silicon nitride, carbon doped oxide, ultra low k dielectric such as porous carbon doped silicon dioxide, combinations thereof, and the like. May be made of a suitable dielectric material. The passivation layer may be formed through a process such as chemical vapor deposition (CVD), but any suitable process may be used.

전도성 패드가 금속화층 위에 형성되어 금속화층과 전기적으로 접촉할 수 있다. 전도성 패드는 알루미늄을 포함할 수 있지만, 구리 등의 기타 재료가 대안으로 사용될 수도 있다. 전도성 패드는 재료층(도시 생략)을 형성하기 위한, 스퍼터링 또는 도금 등의 퇴적 공정을 사용하여 형성될 수 있는데, 재료층의 부분이 적절한 공정(예컨대, 포토리소그래피 마스킹 및 에칭)을 통해 제거되어 전도성 패드를 형성할 수 있다. 그런데, 다른 임의의 적절한 공정도 전도성 패드를 형성하기 위해 사용될 수 있다.A conductive pad may be formed over the metallization layer to make electrical contact with the metallization layer. The conductive pad may comprise aluminum, but other materials, such as copper, may alternatively be used. The conductive pad may be formed using a deposition process such as sputtering or plating to form a material layer (not shown), wherein a portion of the material layer is removed through an appropriate process (e.g., photolithographic masking and etching) A pad can be formed. However, any other suitable process may be used to form the conductive pad.

다이 커넥터(205)는 다이(201)의 금속화층과, 예컨대 인터포저(211)의 전도성 패드(215) 사이의 컨택에 전도성 영역을 제공하기 위하여 전도성 패드 상에 형성될 수 있다. 일 실시형태에서는, 다이 커넥터(205)가 마이크로범프 등의 컨택 범프일 수도 있고, 주석 등의 재료 또는 은이나 구리 등의 기타 적절한 재료를 포함할 수도 있다. 다이 커넥터(205)가 주석 솔더 범프인 실시형태에서는, 다이 커넥터(205)가 증착(evaporation), 전기도금, 인쇄, 솔더 전사, 볼 배치 등의 임의의 적절한 방법을 통해 처음에 주석층을 형성함으로써 형성될 수 있다. 구조 상에 주석층이 형성되면, 그 재료를 예컨대 약 10 ㎛ 내지 100 ㎛의 직경을 가진 원하는 범프 형상으로 성형하기 위하여 리플로우가 행해지는데, 대안으로 임의의 적절한 사이즈가 사용될 수도 있다.The die connector 205 may be formed on the conductive pad to provide a conductive region in the contact between the metallization layer of the die 201 and the conductive pad 215 of the interposer 211, for example. In one embodiment, die connector 205 may be a contact bump, such as a micro-bump, or may comprise a material such as tin or other suitable material such as silver or copper. In embodiments where the die connector 205 is a tin solder bump, the die connector 205 may be formed by first forming a tin layer by any suitable method, such as evaporation, electroplating, printing, solder transfer, . When a tin layer is formed on the structure, reflow is performed to form the material into a desired bump shape having a diameter of, for example, about 10 mu m to 100 mu m, alternatively any suitable size may be used.

그러나, 당업자라면, 다이 커넥터(205)가 마이크로범프로서 설명되었더라도, 이들은 예시일 뿐이며 실시형태를 한정하려는 의도가 없음을 알 것이다. 그보다는, C4(controlled collapse chip connection) 범프, 구리 필러(copper pillar), 구리층, 니켈층, 무납(lead free, LF)층, 무전해 니켈 무전해 팔라듐 침지 금(ENEPIG)층, Cu/LF층, Sn/Ag층, Sn/Pb, 이들의 조합 등의 임의의 적절한 유형의 외부 컨택이 대안으로 사용될 수도 있다. 임의의 적절한 외부 커넥터, 및 외부 커넥터를 형성하기 위한 임의의 적절한 공정이 다이 커넥터(205)에 사용될 수 있으며, 이러한 외부 커넥터들은 모두 실시형태의 범위 내에 포함되는 것이 전적으로 의도된다.However, those skilled in the art will appreciate that although the die connector 205 has been described as a micro-bump, these are illustrative only and are not intended to limit the embodiments. Rather, a controlled collapse chip connection (C4) bump, a copper pillar, a copper layer, a nickel layer, a lead free (LF) layer, an electroless nickel electroless palladium immersion gold (ENEPIG) Layer, an Sn / Ag layer, Sn / Pb, combinations thereof, or the like, may alternatively be used. Any suitable external connector, and any suitable process for forming the external connector, may be used in the die connector 205, all of which are entirely intended to be within the scope of the embodiments.

인터포저(211)를 보면, 이것은 기판(213)과 전도성 경로(215)를 포함한다(예컨대, TSV(through substrate via)를 통해). 기판(213)은 도핑되거나 도핑되지 않는 실리콘 기판, 또는 SOI(silicon-on-insulator) 기판의 활성층일 수도 있다. 그러나, 기판(213)은 대안으로 유리 기판, 세라믹 기판, 중합체 기판, 또는 적절한 보호 및/또는 상호접속 기능을 제공할 수 있는 기타 기판일 수도 있다. 이들 및 기타 적절한 재료가 대안으로 기판(213)에 사용될 수도 있다.Looking at the interposer 211, it includes a substrate 213 and a conductive path 215 (e.g., through a through substrate via (TSV)). The substrate 213 may be a doped or undoped silicon substrate, or an active layer of a silicon-on-insulator (SOI) substrate. However, the substrate 213 may alternatively be a glass substrate, a ceramic substrate, a polymer substrate, or other substrate that may provide suitable protection and / or interconnection functionality. These and other suitable materials may alternatively be used for the substrate 213.

일부 실시형태의 경우, 기판(213)은 레지스터, 커패시터, 신호 분배 회로, 이들의 조합 등의 전기 부품을 포함할 수도 있다. 이들 전기 부품은 능동형, 수동형, 또는 이들의 조합일 수 있다. 다른 실시형태에서는, 기판(213) 내부에 능동 및 수동의 양 전기 부품이 없다. 이러한 모든 조합이 본 실시형태의 범위 내에 포함되는 것이 전적으로 의도된다.In some embodiments, the substrate 213 may include electrical components such as resistors, capacitors, signal distribution circuits, combinations thereof, and the like. These electrical components may be active, passive, or a combination thereof. In another embodiment, there are no active and passive electrical components inside the substrate 213. It is entirely intended that all such combinations are included within the scope of the present embodiment.

추가로, 일부 실시형태에서는 기판(213)이 반도체 웨이퍼이다. 이와 같이, 하나 이상의 반도체 다이, 예컨대 다이(201)가 기판(213)에 본딩될 경우, 조합된 구조가 CoW(Chip-On-Wafer) 구성을 형성할 수 있다.In addition, in some embodiments, the substrate 213 is a semiconductor wafer. As such, when one or more semiconductor dies, e.g., die 201, are bonded to substrate 213, the combined structure may form a chip-on-wafer (CoW) configuration.

전도성 경로(215)는 TSV 또는 기타 적절한 전도성 경로일 수 있다. 전도성 경로(215)가 TSV인 실시형태에서는, 처음에 기판(213)을 부분적으로 통과하는 전기 전도성 경로를 형성한 다음에, 나중에 기판(213)을 박막화하여 전기 전도성 경로를 노출시킴으로써 TSV가 형성될 수 있다. 다른 실시형태에서는, 처음에 형성될 때에 전도성 경로(215)가 기판(213)을 통과해 연장되며, 기판(213)의 박막화는 필요하지 않다. 전도성 경로(215)는, 기판(213) 상에 적절한 포토레지스트 또는 하드 마스크를 형성하고, 포토레지스트 또는 하드 마스크를 패터닝한 다음에 기판(213)을 에칭하여 개구부(예컨대, TSV 개구부)를 생성함으로써, 형성될 수 있다. Conductive path 215 may be a TSV or other suitable conductive path. In embodiments where the conductive path 215 is a TSV, a TSV is formed by first forming an electrically conductive path partially through the substrate 213, and then later thinning the substrate 213 to expose the electrically conductive path . In another embodiment, the conductive path 215 extends through the substrate 213 when initially formed, and thinning of the substrate 213 is not required. The conductive path 215 may be formed by forming a suitable photoresist or hard mask on the substrate 213 and then patterning the photoresist or hard mask and then etching the substrate 213 to create an opening (e.g., a TSV opening) .

전도성 경로(215)를 위한 개구부가 형성되면, 그 개구부는 예컨대 라이너(도 2에 별도로 도시하지 않음), 배리어층(역시 도 2에 별도로 도시하지 않음), 및 전도성 재료로 충전될 수 있다. 일 실시형태에 있어서, 라이너는 화학적 기상 증착, 산화, 물리적 기상 증착, 원자층 퇴적 등의 공정에 의해 형성된, 실리콘 질화물, 실리콘 산화물, 유전체 중합체, 이들의 조합 등일 수 있다. If an opening for the conductive path 215 is formed, the opening may be filled with a conductive material, for example, a liner (not separately shown in FIG. 2), a barrier layer (also not separately shown in FIG. 2), and a conductive material. In one embodiment, the liner can be a silicon nitride, a silicon oxide, a dielectric polymer, a combination thereof, or the like formed by processes such as chemical vapor deposition, oxidation, physical vapor deposition, atomic layer deposition and the like.

배리어층은 티탄 질화물 등의 전도성 재료를 포함할 수도 있지만, 탄탄 질화물, 티탄, 또 다른 유전체 등의 기타 재료도 대안으로 사용될 수 있다. 배리어층은 플라즈마 강화 CVD(PECVD) 등의 CVD 공정을 사용하여 형성될 수 있다. 그러나, 스퍼터링 또는 금속 유기 화학 기상 증착(MOCVD, metal organic chemical vapor deposition), 원자층 퇴적(ALD) 등의 다른 대안의 공정도 사용될 수 있다. 배리어층은 전도성 경로(215)를 위한 개구부의 하부 형상에 윤곽을 맞추도록 형성될 수 있다.The barrier layer may comprise a conductive material such as titanium nitride, but other materials such as, for example, carbonitride, titanium, another dielectric, etc., may alternatively be used. The barrier layer may be formed using a CVD process such as plasma enhanced CVD (PECVD). However, other alternative processes such as sputtering or metal organic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), etc. may also be used. The barrier layer may be formed to outline the bottom shape of the opening for the conductive path 215.

전도성 재료는 구리를 포함할 수도 있지만, 알루미늄, 텅스텐, 합금, 도핑된 폴리실리콘, 이들의 조합 등의 기타 적절한 재료도 대안으로 사용될 수 있다. 전도성 재료는 시드층을 퇴적한 다음, 시드층에 구리를 전기도금하고 전도성 경로(215)를 위한 개구부를 과잉충전함으로써 형성될 수 있다. 전도성 경로(215)를 위한 개구부가 충전되면, 개구부 외부의 과량 배리어층과 과량 전도성 재료는 화학적 기계 연마(CMP) 등의 연삭 공정을 통해 제거될 수 있는데, 임의의 적절한 제거 공정이 사용될 수도 있다.The conductive material may comprise copper, but other suitable materials such as aluminum, tungsten, alloys, doped polysilicon, combinations thereof, and the like may also be used as an alternative. The conductive material may be formed by depositing a seed layer, then electroplating copper on the seed layer and overfilling the openings for the conductive path 215. Once the opening for the conductive path 215 is filled, the excess barrier layer and the excess conductive material outside the opening may be removed through a grinding process such as chemical mechanical polishing (CMP), any suitable removal process may be used.

도 2에는 도시하지 않지만, 전도성 경로(215), 다이 커넥터(205), 및 반도체 다이(201) 사이에 전기적 상호접속성을 제공하기 위하여, 다이(201)와 대면하는 기판(213)의 상부면 상에 재배선 구조가 형성될 수도 있다. 재배선 구조는 그 재배선 구조의 하나 이상의 유전체층에 배치된 재배선층(redistribution layer, RDL)(예컨대, 전도성 라인 및/또는 비아)를 포함한다. 재배선 구조는 집적 회로에 상호접속 구조를 형성하기 위한 일반적인 방법을 사용하여 형성될 수 있으며, 그에 대한 상세한 설명은 여기에서 반복하지 않는다.Although not shown in FIG. 2, the top surface of the substrate 213 facing the die 201 is electrically connected to the conductive path 215, the die connector 205, and the semiconductor die 201 to provide electrical interconnectivity between the conductive path 215, A rewiring structure may be formed. The rewiring structure includes a redistribution layer (RDL) (e.g., conductive lines and / or vias) disposed in one or more dielectric layers of the rewiring structure. The rewiring structure can be formed using a general method for forming an interconnect structure in an integrated circuit, and a detailed description thereof will not be repeated here.

재배선 구조가 형성되면, 전도성 패드(도시 생략)가 기판(213)의 상부면 상의 RDL 위에 형성되어 이 RDL과 전기적으로 접속할 수 있다. 전도성 패드는 알루미늄을 포함할 수 있지만, 구리 등의 기타 재료가 대안으로 사용될 수도 있다. 전도성 패드는 재료층(도시 생략)을 형성하기 위한, 스퍼터링 등의 퇴적 공정을 사용하여 형성될 수 있는데, 재료층의 부분이 적절한 공정(예컨대, 포토리소그래피 마스킹 및 에칭)을 통해 제거되어 전도성 패드를 형성할 수 있다. 그러나, 다른 임의의 적절한 공정도 전도성 패드를 형성하기 위해 사용될 수 있다. When a rewiring structure is formed, a conductive pad (not shown) may be formed on the RDL on the upper surface of the substrate 213 and electrically connected to the RDL. The conductive pad may comprise aluminum, but other materials, such as copper, may alternatively be used. Conductive pads may be formed using a deposition process, such as sputtering, to form a material layer (not shown), where portions of the material layer are removed through suitable processes (e.g., photolithographic masking and etching) . However, any other suitable process may be used to form the conductive pad.

다음으로, 외부 커넥터(217)가 기판(213)의 하부면 상에 형성될 수 있고, 예컨대 전도성 경로(215)를 통해 RDL에 전기적으로 결합될 수 있다. 외부 커넥터(217)는 후속 처리(도 3a와 도 3b 참조)에서 예컨대 리플로우 공정에 의해 기판(105)에 물리적으로 또 전기적으로 결합되어 CoWoS 구조를 형성한다. 외부 커넥터(217)는 구리 필러(copper pillar), C4(controlled collapse chip connection) 범프, 마이크로범프, 구리층, 니켈층, 무납(LF)층, 무전해 니켈 무전해 팔라듐 침지 금(ENEPIG), Cu/LF층, Sn/Ag층, Sn/Pb, 이들의 조합 등일 수 있다. 임의의 적절한 외부 커넥터, 및 외부 커넥터를 형성하기 위한 임의의 적절한 공정이 외부 커넥터(217)에 사용될 수 있으며, 이러한 외부 커넥터들은 모두 실시형태의 범위 내에 포함되는 것이 전적으로 의도된다.Next, an external connector 217 may be formed on the lower surface of the substrate 213 and electrically coupled to the RDL via, for example, a conductive path 215. The external connector 217 is physically and electrically coupled to the substrate 105, e.g., by a reflow process, in subsequent processing (see FIGS. 3A and 3B) to form a CoWoS structure. The external connector 217 may be formed of a copper pillar, a controlled collapse chip connection (C4) bump, a micro bump, a copper layer, a nickel layer, a leadless (LF) layer, electroless nickel electroless palladium immersion gold (ENEPIG) / LF layer, Sn / Ag layer, Sn / Pb, combinations thereof, and the like. Any suitable external connector, and any suitable process for forming the external connector, may be used in the external connector 217, and it is entirely contemplated that such external connectors are all included within the scope of the embodiments.

준비가 되면, 반도체 다이(201)는 예컨대 본딩 공정을 사용하여 인터포저(211)에 본딩될 수 있다. 예를 들어, 기판(213)의 상부면 상에서 다이 커넥터(205)를 각각의 컨택 패드(도시 생략)와 본딩하기 위해 리플로우 공정이 행해질 수 있다.When ready, the semiconductor die 201 may be bonded to the interposer 211 using, for example, a bonding process. For example, a reflow process may be performed to bond the die connector 205 to the respective contact pads (not shown) on the upper surface of the substrate 213.

본딩되면, 인터포저(211)와 반도체 다이(201) 사이의 공간에 언더필 재료(underfill material)(도시 생략)가 삽입되거나 다른 식으로 형성될 수 있다. 언더필 재료는 예컨대 반도체 다이(201)와 기판(213) 사이에 분배된 다음, 경화되어 굳어지는 액상 에폭시를 포함할 수 있다. 다른 실시형태에서는, 언더필이 사용되지 않는다. 대신에, 다이(201)와 기판(213) 사이의 갭이 후술하는 몰딩 재료(203)에 의해 충전된다. Once bonded, an underfill material (not shown) may be inserted or otherwise formed in the space between the interposer 211 and the semiconductor die 201. The underfill material may, for example, be dispensed between the semiconductor die 201 and the substrate 213 and then comprise a hardened liquid epoxy. In another embodiment, no underfill is used. Instead, the gap between the die 201 and the substrate 213 is filled with a molding material 203, described below.

다음으로, 몰딩 재료(203)가 기판(213)의 상부면 상에 형성된다. 몰딩 재료(203)는 일부 실시형태에서는 반도체 다이(201)를 둘러싼다. 몰딩 재료(203)는 예컨대 에폭시, 유기 중합체, 첨가되는 실리카계 또는 유리 충전재가 있거나 없는 중합체, 또는 기타 재료를 포함할 수 있다. 일부 실시형태의 경우, 몰딩 재료(203)는 도포 시에 겔 타입의 액상인 액상 몰딩 화합물(liquid molding compound, LMC)을 포함한다. 몰딩 재료(203)는 도포 시에 액상(liquid) 재료 또는 고상(solid) 재료를 포함할 수도 있다. 대안으로, 몰딩 재료(203)는 다른 절연 재료 및/또는 밀봉재(encapsulating material)를 포함할 수도 있다. 몰딩 재료(203)는 일부 실시형태의 경우 웨이퍼 레벨 몰딩 공정을 사용하여 도포된다. 몰딩 재료(203)는 예컨대 압축 몰딩, 전사 몰딩, 몰딩된 언더필(molded underfill, MUF), 또는 기타 방법을 사용하여 몰딩될 수 있다. Next, a molding material 203 is formed on the upper surface of the substrate 213. The molding material 203 surrounds the semiconductor die 201 in some embodiments. The molding material 203 may comprise, for example, an epoxy, an organic polymer, a silica-based or glass-filled or free glass polymer to be added, or other materials. In some embodiments, the molding material 203 comprises a liquid molding compound (LMC) that is a gel type liquid phase upon application. The molding material 203 may comprise a liquid material or a solid material at the time of application. Alternatively, the molding material 203 may comprise other insulating material and / or encapsulating material. The molding material 203 is applied using a wafer level molding process in some embodiments. The molding material 203 may be molded using, for example, compression molding, transfer molding, molded underfill (MUF), or other methods.

다음으로, 몰딩 재료(203)는 일부 실시형태의 경우 경화 공정을 사용하여 경화된다. 경화 공정은 예컨대 어닐링 공정 또는 기타 가열 공정을 사용하여, 미리 결정된 시간 동안 미리 결정된 온도로 몰딩 재료(203)를 가열하는 단계를 포함할 수 있다. 경화 공정은 자외선(UV) 노출 공정, 적외선(IR) 에너지 노출 공정, 이들의 조합, 또는 가열 공정을 수반한 이들의 조합을 포함할 수도 있다. 대안으로, 몰딩 재료(203)는 기타 방법을 사용하여 경화될 수도 있다. 일부 실시형태의 경우, 경화 공정을 포함하지 않는다. 다음으로, 경화된 몰딩 재료(203)의 상부 표면을 평탄화하기 위해 CMP 등의 평탄화 공정이 수행될 수 있다. 이에, 반도체 패키지(250)가 형성된다.Next, the molding material 203 is cured using a curing process in some embodiments. The curing process may include heating the molding material 203 to a predetermined temperature for a predetermined time using, for example, an annealing process or other heating process. The curing process may include an ultraviolet (UV) exposure process, an infrared (IR) energy exposure process, a combination thereof, or a combination of these with a heating process. Alternatively, the molding material 203 may be cured using other methods. In some embodiments, it does not include a curing process. Next, a planarization process such as CMP may be performed to planarize the upper surface of the hardened molding material 203. [ Thus, the semiconductor package 250 is formed.

다음으로, 도 3a에 도시하는 바와 같이, 반도체 패키지(250)가 본딩 공정(예컨대, 리플로우 공정)을 통해 기판(105)에 물리적으로 또 전기적으로 결합된다. 본딩 공정에서는, 반도체 패키지(250)의 외부 커넥터(217)가 기판(105)의 각각의 전도성 패드(107)와 정렬된다. 일부 실시형태에서는, 예컨대 솔더 제트 인쇄 공정을 사용하여 전도성 패드(107) 상에 솔더 페이스트가 분배된다. 다음으로, 반도체 패키지(250)의 외부 커넥터(217)가 기판(105)의 각각의 전도성 패드(107)와 접촉하게 된다. 그런 다음, 반도체 패키지(250)의 외부 커넥터(217)를 기판(105)의 각각의 전도성 패드(107)와 본딩하기 위해 리플로우 공정이 수행될 수 있다. 리플로우 공정은 약 220 ℃ 이상의 온도에서 수행될 수 있다. Next, as shown in FIG. 3A, the semiconductor package 250 is physically and electrically coupled to the substrate 105 through a bonding process (e.g., a reflow process). In the bonding process, the external connectors 217 of the semiconductor package 250 are aligned with the respective conductive pads 107 of the substrate 105. In some embodiments, the solder paste is dispensed onto the conductive pad 107 using, for example, a solder jet printing process. Next, the external connector 217 of the semiconductor package 250 is brought into contact with the respective conductive pads 107 of the substrate 105. A reflow process may then be performed to bond the external connector 217 of the semiconductor package 250 to each conductive pad 107 of the substrate 105. The reflow process can be performed at a temperature of about 220 캜 or higher.

리플로우 공정 후에, 솔더 영역(개별적으로 도시하지 않음)이 전도성 패드(107)와 전도성 경로(215) 사이에 형성될 수 있다. 외부 커넥터(217)가 구리 필러를 포함하는 실시형태에서는, 솔더 영역이 구리 필러와 전도성 패드(107) 사이에 형성될 수도 있다. 외부 커넥터(217)가 솔더 범프(예컨대, C4 범프)인 실시형태에서는, 외부 커넥터(217)의 솔더가 리플로우 공정 시에 용융하여, 전도성 패드(107)와 전도성 경로(215) 사이에 솔더 영역의 적어도 부분을 형성한다.After the reflow process, a solder region (not individually shown) may be formed between the conductive pad 107 and the conductive path 215. In embodiments where the external connector 217 includes a copper filler, a solder region may be formed between the copper filler and the conductive pad 107. In embodiments where the external connector 217 is a solder bump (e.g., a C4 bump), the solder of the external connector 217 melts during the reflow process to form a solder region &lt; RTI ID = 0.0 &gt; As shown in FIG.

이제 참조하는 도 3b는 도 3a의 영역(280)을 줌인하여 도시한 도면을 보여준다. 기판(105)과 반도체 패키지(250)는 도 3b에 도시하여 후술하는 바와 같이, 리플로우 온도에서 휘어질 수 있다. 예시를 위해 도 3b에는 휨 수준이 과장되어 있다. 반도체 패키지(250)의 상이한 재료들 간의 CTE의 불일치로, 리플로우 온도(예컨대, 220 ℃ 이상)에서 반도체 패키지(250)의 휨이 발생할 수 있다. 예를 들어, 몰딩 재료(203)는 기판(213)보다 CTE가 더 높을 수 있다. 그 결과, 반도체 패키지(250)의 하부 표면(213L)은 편평하지 않고 휘어진다(예컨대, 만곡된다). 구체적으로, 기판(213)의 하부 표면(213L)의 중심부는 하부 표면(213L)의 양단부보다 더 높다(예컨대, 캐리어(101)로부터 더 떨어져서 연장된다). 도 3b에서의 기판(213)의 만곡된 하부 표면(213L)은 또한 위쪽으로 휘어지는 것으로 기술된다. 도 3b의 예는 예일 뿐이다. 다른 실시형태에서는, 반도체 패키지(250)의 재료들 간의 CTE 불일치로 기판(213)의 하부 표면(213L)이 아래쪽으로 휘어질 수도 있는데, 기판(213)의 하부 표면(213L)의 중심부가 그 하부 표면(213L)의 단부보다 더 낮다(예컨대, 캐리어(101)에 더 가깝게 연장된다). Referring now to FIG. 3B, there is shown a view of zooming in on area 280 of FIG. 3A. The substrate 105 and the semiconductor package 250 can be bent at the reflow temperature, as shown in FIG. 3B and described below. For illustrative purposes, the bending level is exaggerated in Figure 3b. Mismatch of the CTEs between the different materials of the semiconductor package 250 may cause warpage of the semiconductor package 250 at reflow temperatures (e.g., above 220 캜). For example, the molding material 203 may have a higher CTE than the substrate 213. As a result, the lower surface 213L of the semiconductor package 250 is not flat but bent (e.g., curved). Specifically, the center of the lower surface 213L of the substrate 213 is higher (e.g., extends further away from the carrier 101) than both ends of the lower surface 213L. The curved lower surface 213L of the substrate 213 in Figure 3B is also described as being bent upwardly. 3B is an example only. In another embodiment, the CTE mismatch between the materials of the semiconductor package 250 may cause the lower surface 213L of the substrate 213 to bend downward, since the center of the lower surface 213L of the substrate 213 is lower (E.g., extends closer to the carrier 101) than the end of the surface 213L.

마찬가지로, 기판(105)의 CTE와 캐리어(101)의 CTE 간의 불일치로 리플로우 공정 시에 기판(105)이 휘어질 수도 있다. 따라서, 기판(105)의 상부 표면(105U)은 기판(105)의 CTE와 캐리어(101)의 CTE에 따라, 위쪽으로 휘어지거나 아래쪽으로 휘어질 수 있다. 외부 커넥터(217)가 기판(213)의 하부 표면(213L)에 부착되기 때문에, 기판(213)의 휘어짐은 외부 커넥터(217)의 바닥면(예컨대, 도 3b의 외부 커넥터(217)의 최하부)이 만곡면 상에 있게 한다. 전도성 패드(107)가 형성된 기판(105)의 상부 표면(105U)이 편평하거나 또는 외부 커넥터(217)의 만곡면과는 상이한 형상 및/또는 상이한 곡률을 갖는다면, 단순하게는 리플로우 공정 시에 일부 외부 커넥터(217)가 각각의 전도성 패드(107)와 접촉하지 않기 때문에, 외부 커넥터(217) 전체를 각각의 전도성 패드(107)에 본딩하는 것은 매우 어려울 것이다. 이것은 외부 커넥터(217)와 전도성 패드(107) 사이에 콜드 조인트를 초래할 수도 있다. 콜드 조인트는 결함성 반도체 디바이스를 초래하고 반도체 제조의 수율을 감소시킨다.Similarly, mismatch between the CTE of the substrate 105 and the CTE of the carrier 101 may cause the substrate 105 to bend during the reflow process. The upper surface 105U of the substrate 105 may be bent upward or downward depending on the CTE of the substrate 105 and the CTE of the carrier 101. [ The external connector 217 is attached to the lower surface 213L of the substrate 213 so that the warp of the substrate 213 is prevented from being bent at the bottom of the external connector 217 (e.g., the lowermost portion of the external connector 217 in Fig. On the curved surface. If the upper surface 105U of the substrate 105 on which the conductive pad 107 is formed is flat or has a different shape and / or different curvature than the curved surface of the external connector 217, then simply in the reflow process It will be very difficult to bond the entire external connector 217 to the respective conductive pads 107 because some external connectors 217 do not contact the respective conductive pads 107. [ This may result in a cold joint between the external connector 217 and the conductive pad 107. Cold joints result in defective semiconductor devices and reduce the yield of semiconductor fabrication.

도 3b에 도시하는 바와 같이, 본 개시내용은, 일부 실시형태에 있어서, 기판(105)의 상부 표면(105U)의 형상(예컨대, 위쪽을 휘어지거나 아래쪽으로 휘어지는 것) 및/또는 곡률(예컨대, 휘어짐의 수준)이 반도체 패키지(250)의 하부 표면(213L)의 형상 및/또는 곡률과 실질적으로 일치하도록, 리플로우 공정 시에 미리 결정된 수준의 휨을 기판(105)에 유도함으로써 콜드 조인트를 줄이거나 방지한다. 패시베이션층(109)이 실질적으로 균일한 두께를 갖기 때문에, 패시베이션층(109)의 상부 표면(109U)은 기판(105)의 상부 표면(105U)과 동일한 형상 및/또는 동일한 곡률을 가질 수 있다. 마찬가지로, 전도성 패드(107)의 상부 표면도 상부 표면(105U)과 동일한 형상 및/또는 동일한 곡률을 가질 수 있는 곡면 내에 있다.3B, the present disclosure provides, in some embodiments, a top surface 105U of a substrate 105 having a shape (e.g., bending upwards or downwards) and / or curvature (e.g., (E.g., the level of warpage) substantially coincides with the shape and / or curvature of the lower surface 213L of the semiconductor package 250 by directing a predetermined level of warpage to the substrate 105 during the reflow process prevent. The top surface 109U of the passivation layer 109 may have the same shape and / or curvature as the top surface 105U of the substrate 105, since the passivation layer 109 has a substantially uniform thickness. Likewise, the top surface of the conductive pad 107 is also in a curved surface that may have the same shape and / or curvature as the top surface 105U.

계속 도 3b를 참조하면, 기판(105)의 휨을 반도체 패키지(250)의 휨과 일치시킴으로써, 반도체 패키지(250)의 외부 커넥터(217) 전체가 리플로우 공정 시에(예컨대, 반도체 패키지(250)와 기판(105) 둘 다가 휘어질 때), 각각의 전도성 패드(107)와 접촉하고, 그래서 반도체 패키지(250)와 기판(105) 사이에 믿을 수 있는 본딩(예컨대, 전기 접속)을 형성한다. 3B, the entire external connector 217 of the semiconductor package 250 is brought into contact with the semiconductor package 250 at the time of the reflow process (for example, the semiconductor package 250) by matching the warpage of the substrate 105 with the warpage of the semiconductor package 250. [ (E.g., electrical connection) between the semiconductor package 250 and the substrate 105 when the semiconductor package 250 and the substrate 105 are bent).

일부 실시형태에 있어서, 기판(105)에 미리 결정된 수준의 휨을 유도하는 단계는, 리플로우 온도에서 반도체 패키지(250)의 휨을 분석하는 단계와, 리플로우 온도에서 반도체 패키지(250)의 휘어진 하부 표면(213L)의 제1 형상을 결정하는 단계와, 리플로우 공정 동안에 캐리어(101)의 CTE와 기판(105)의 CTE 간의 불일치가 기판(105)의 휨을 초래하도록 캐리어(101)의 CTE를 조정하는 단계를 포함하고, 이 리플로우 공정 동안에 기판(105)의 휘어진 상부 표면(105U)의 제2 형상이 반도체 패키지(250)의 휘어진 하부 표면(213L)의 제1 형상과 실질적으로 일치하게 된다.In some embodiments, the step of inducing a predetermined level of warpage in the substrate 105 includes analyzing the warpage of the semiconductor package 250 at reflow temperature, And adjusting the CTE of the carrier 101 such that a mismatch between the CTE of the carrier 101 and the CTE of the substrate 105 causes a deflection of the substrate 105 during the reflow process, The second shape of the curved upper surface 105U of the substrate 105 substantially coincides with the first shape of the curved lower surface 213L of the semiconductor package 250 during this reflow process.

일부 실시형태에 있어서, 반도체 패키지(250)의 휨을 분석하는 단계는 컴퓨터 시뮬레이션을 통해 반도체 패키지(250)의 휨을 추정하는 단계를 포함한다. 예를 들어, 반도체 패키지(250)의 치수, 구조 및 재료, 및 리플로우 온도가 컴퓨터 시뮬레이션 프로그램에 입력 파라미터로서 입력될 수 있고, 그런 다음 반도체 패키지(250)의 휨에 관한 세부사항(specifics)(예컨대, 형상, 곡률)이 컴퓨터 프로그램에 의해 생성된다. In some embodiments, analyzing the warpage of the semiconductor package 250 includes estimating warpage of the semiconductor package 250 through computer simulation. For example, the dimensions, structure and materials of the semiconductor package 250, and the reflow temperature may be input as input parameters to a computer simulation program, and then specifics (e.g., Shape, curvature) is generated by a computer program.

일부 실시형태에 있어서, 반도체 패키지(250)의 휨은 결함 검사기(defect inspector)를 사용하여 무아레 패턴을 측정 및 분석함으로써 얻어진다. 무아레 패턴은 당업계의 공지된 방법을 사용하여 생성될 수 있다. 예를 들어, 저 팽창 석영 유리 상에 에칭된 기준 패턴이 반도체 패키지(250)의 휘어진 표면 상에 투영될 수 있다. 석영 유리 위에서 볼 때에, 반도체 패키지(250)의 휘어진 표면 상에서 기준 패턴과 투영된 패턴 사이의 기하학적 추론이 무아레 패턴을 생성한다. KLA-Tencor사의 ICOS 광학 결함 검사기 등의 결함 검사기를 사용하여 휨 수준을 측정할 수 있다.In some embodiments, warpage of the semiconductor package 250 is obtained by measuring and analyzing moiré patterns using a defect inspector. Moire patterns can be generated using methods known in the art. For example, a reference pattern etched on the low-expansion quartz glass can be projected onto the curved surface of the semiconductor package 250. As seen from the quartz glass, the geometric inference between the reference pattern and the projected pattern on the curved surface of the semiconductor package 250 produces a moiré pattern. The deflection level can be measured using a defect tester such as KLA-Tencor's ICOS optical defect tester.

반도체 패키지(250)의 휨을 분석한 결과로서, 반도체 패키지(250)의 하부 표면(213L)의 형상 및/또는 곡률과 같은 세부사항이 얻어진다. 이들 세부사항은 후술하는 바와 같이 기판(105)의 유도된 휨의 타겟으로서 사용될 수 있다.As a result of the analysis of the warping of the semiconductor package 250, details such as the shape and / or curvature of the lower surface 213L of the semiconductor package 250 are obtained. These details can be used as a target of induced deflection of the substrate 105 as described below.

일부 실시형태에 있어서, 기판(105)의 미리 결정된 수준의 휨을 유도하는 단계는 리플로우 온도에서, 기판(105)과 캐리어(101) 간의 CTE의 불일치가 반도체 패키지(250)의 만곡된 하부 표면(213L)과 실질적으로 일치하는 만곡된 상부 표면(105U)을 초래하도록, 기판(105)의 CTE에 대해 캐리어(101)의 CTE를 조정하는 단계를 포함한다. 예로서, 하부 표면(213L)이 도 3b에 도시하는 바와 같이 (예컨대, 몰딩 재료(203)의 CTE가 기판(213)의 CTE보다 크기 때문에) 위쪽으로 휘어지는 경우를 생각하면, 기판(105)과 캐리어(101) 간의 CTE의 불일치가 기판(105)의 상부 표면(105U)을 위쪽으로 휘어지게 하여, 만곡된 하부 표면(213L)과 일치할 수 있도록, 캐리어(101)의 CTE는 기판(105)의 CTE보다 더 작게 조정된다. 또 다른 예로서, 하부 표면(213L)이 아래쪽으로 휘어지는 경우를 생각할 수도 있다. 이 경우에, 기판(105)과 캐리어(101) 간의 CTE의 불일치가 기판(105)의 상부 표면(105U)을 역시 아래쪽으로 휘어지게 하여, 만곡된 하부 표면(213L)과 일치할 수 있도록, 캐리어(101)의 CTE는 기판(105)의 CTE보다 더 크게 조정된다. In some embodiments, the step of inducing a predetermined level of warpage of the substrate 105 is performed such that a CTE mismatch between the substrate 105 and the carrier 101 at the reflow temperature is greater than the CTE mismatch between the curved lower surface of the semiconductor package 250 And adjusting the CTE of the carrier 101 with respect to the CTE of the substrate 105 so as to result in a curved upper surface 105U substantially coincident with the CTE of the substrate 105. [ For example, considering the case where the lower surface 213L is bent upward as shown in FIG. 3B (for example, because the CTE of the molding material 203 is larger than the CTE of the substrate 213) The CTE of the carrier 101 is aligned with the substrate 105 such that the CTE mismatch between the carriers 101 may cause the upper surface 105U of the substrate 105 to bend upwardly to coincide with the curved lower surface 213L. Lt; RTI ID = 0.0 &gt; CTE. &Lt; / RTI &gt; As another example, a case in which the lower surface 213L is bent downward may be considered. In this case, the CTE mismatch between the substrate 105 and the carrier 101 may cause the upper surface 105U of the substrate 105 to also be bent downward so that the carrier 105 can be aligned with the curved lower surface 213L, The CTE of the substrate 101 is adjusted to be larger than the CTE of the substrate 105. [

당업자라면 여기에서의 "실질적으로 일치"가 에러 마진 내에서의 일치를 의미한다는 것을 이해할 것이다. 예를 들어, 만곡된 하부 표면(213L)과 만곡된 상부 표면 (105U) 사이의 거리는 기대값(예컨대, 외부 커넥터(217)의 높이 및 패시베이션층(109)의 두께의 합과 같은 값)으로부터 약 20% 미만으로 벗어나는 값(예컨대, 더 크거나 더 작은 값)을 가질 수 있다. 예를 들어, 패시베이션층(109)의 두께는 20 ㎛일 수 있고, 외부 커넥터(217)의 높이는 80 ㎛일 수 있으며, 만곡된 하부 표면(213L)과 만곡된 상부 표면(105U) 사이의 거리는 기대값인 100 ㎛로부터, 예컨대 약 10 퍼센트 내지 약 20 퍼센트만큼 벗어난 값을 가질 수 있다. 리플로우 공정 시에 만곡된 상부 표면(105U)과 만곡된 하부 표면(213L)이 일치하는 결과로서, 반도체 패키지(250)의 모든 외부 커넥터(217)는 기판(105)의 각각의 전도성 패드(107)와 접촉하고, 그렇기 때문에 각각의 전도성 패드(107)와 물리적으로 또 전자적으로 결합된다. It will be appreciated by those skilled in the art that "substantial agreement" herein means agreement within the error margin. For example, the distance between the curved lower surface 213L and the curved upper surface 105U may vary from an expected value (e.g., a value equal to the sum of the height of the external connector 217 and the thickness of the passivation layer 109) And may have a value that deviates less than 20% (e.g., a larger or smaller value). For example, the thickness of the passivation layer 109 may be 20 占 퐉, the height of the external connector 217 may be 80 占 퐉, and the distance between the curved lower surface 213L and the curved upper surface 105U may be Value, for example, from about 10 percent to about 20 percent. All of the external connectors 217 of the semiconductor package 250 are electrically connected to the respective conductive pads 107 of the substrate 105 as a result of the curved upper surface 105U and the curved lower surface 213L coinciding during the reflow process. , And thus are physically and electronically coupled to each conductive pad 107. [

리플로우 공정 동안에 반도체 패키지(250)가 편평한 하부 표면(213L)을 갖는 경우에, 캐리어(101)의 CTE 및 구조는 기판(105)의 편평한 상부 표면(105U)이 편평한 하부 표면(213L)과 일치하도록 설계되는 것을 알아야 하며, 이 경우에 캐리어(101)는 기판(105)에 대해 휨이 적거나 없는 것을 보장하고, 또는 적어도 기판(105)의 상부 표면(105U)에 대해 휨이 적거나 없는 것을 보장한다. 따라서, 본 명세서에서, 기판(105)의 휨이 기판(213)의 휨과 실질적으로 일치하도록 기판(105)에 미리 정해진 수준의 휨을 유도하는 단계는, 기판(105)과 기판(213)이 편평한 특별한 경우(예컨대, 휨이 제로)를 포함하며, 이 경우에, 캐리어(101)의 CTE는 기판(105)의 편평한 표면(105U)이 편평한 하부 표면(213L)과 일치하는 것을 유지하도록(예컨대, 기판(105)의 CTE와 동일하도록) 조정된다. 또한, 캐리어(101) 및 기판(105)이 각각 복수의 재료(예컨대 복수의 CTE)를 포함할 수 있기 때문에, 캐리어(101)의 CTE 및 기판(105)의 CTE는 캐리어(101)의 전체(예컨대, 평균) CTE 및 기판(105)의 전체 CTE를 각각 의미할 수 있다.The CTE and structure of the carrier 101 are such that the flat upper surface 105U of the substrate 105 coincides with the flat lower surface 213L when the semiconductor package 250 has a flat lower surface 213L during the reflow process. In which case the carrier 101 ensures that there is little or no warpage with respect to the substrate 105 or that there is little or no warpage with respect to the top surface 105U of the substrate 105 To be guaranteed. Thus, in this specification, the step of inducing a predetermined level of warpage in the substrate 105 such that the warpage of the substrate 105 substantially coincides with the warpage of the substrate 213 may cause the substrate 105 and the substrate 213 to be flat In this case, the CTE of the carrier 101 is adjusted to maintain the flat surface 105U of the substrate 105 coincident with the flat lower surface 213L (e.g., Is equal to the CTE of the substrate 105). The CTE of the carrier 101 and the CTE of the substrate 105 can be set to the entirety of the carrier 101 (e.g., the CTE of the carrier 101), since the carrier 101 and the substrate 105 may each contain a plurality of materials (E.g., average) CTE and the total CTE of the substrate 105, respectively.

일부 실시형태에 있어서, 캐리어(101)의 CTE를 조정하는 것은, 리플로우 공정 동안에 기판(105)의 상부 표면(105U)이 반도체 패키지(250)의 하부 표면(213L)과 실질적으로 일치하도록 캐리어(101)의 CTE를 변화시키는 것을 포함한다. 캐리어(101)의 치수, 기판(105)의 치수, 기판(105)의 CTE와 구조 등의 인자가 캐리어(101)의 CTE를 결정하는데 사용될 수 있다. 컴퓨터 몰딩 및 시뮬레이션이 캐리어(101)의 주어진 CTE에 대해 기판(105)의 휨에 관한 세부사항을 추정하는데 사용될 수 있다. 또한, 캐리어(101)에 대해 상이한 재료(및 상이한 CTE)를 사용하는 실험이 수행될 수 있고, 무아레 패턴이 결함 검사기에 의해 측정 및 분석될 수 있다. 일부 실시형태에서는, 컴퓨터 몰딩 및 시뮬레이션이 캐리어(101)에 대한 잠재적인 CTE값 또는 캐리어(101)에 대한 CTE값의 범위를 결정하는데 사용된다. 그런 다음, 상이한 CTE값을 갖는 상이한 재료를 사용하는 실험이 수행되고, 기판(105)의 휨에 대한 타겟 세부사항이 달성될 때까지 캐리어(101)의 CTE값을 확인 및/또는 미세 조정하기 위해 무아레 패턴 측정 및 분석이 수행된다.In some embodiments, adjusting the CTE of the carrier 101 may be accomplished by adjusting the CTE of the carrier 101 such that the upper surface 105U of the substrate 105 is substantially aligned with the lower surface 213L of the semiconductor package 250 during the reflow process Lt; RTI ID = 0.0 &gt; 101). &Lt; / RTI &gt; Factors such as the dimensions of the carrier 101, the dimensions of the substrate 105, the CTE and structure of the substrate 105, and the like can be used to determine the CTE of the carrier 101. [ Computer molding and simulation can be used to estimate the details of the warping of the substrate 105 with respect to a given CTE of the carrier 101. In addition, an experiment using different materials (and different CTEs) for the carrier 101 may be performed, and the moire pattern may be measured and analyzed by a defect checker. In some embodiments, computer moldings and simulations are used to determine the potential CTE values for the carrier 101 or the range of CTE values for the carrier 101. Experiments using different materials with different CTE values are then carried out and the CTE values of the carrier 101 are checked and / or fine tuned until the target details for the warping of the substrate 105 are achieved Moire pattern measurement and analysis is performed.

도 3a 및 도 3b는 단일층 구조를 갖는 캐리어(101)를 보여준다. 캐리어(101)는 도 18 내지 도 21에 도시하는 바와 같이, 다중 세그먼트 구조 및/또는 다층 구조를 가질 수도 있다. 단일 벌크 재료로 제조된 캐리어와 비교할 때, 다중 세그먼트 구조 및 다층 구조(도 18 내지 도 21 참조)는 캐리어(101)를 구성하는데 사용되는 구조 및 재료를 선택함에 있어서 유연성이 증가할 수 있다. 캐리어(101) 설계를 조정하는데 더 많은 파라미터가 있기 때문에, 설계 유연성이 증가하여, 리플로우 온도에서 기판(105)의 유도된 휨의 타겟 세부사항을 충족시키도록 캐리어(101)를 설계함에 있어서 자유도가 더 많아질 수 있다. 예를 들어, 복잡한 형상(예컨대, 비대칭의 만곡된 상부 표면(105U))을 갖는 기판(105)에 유도된 휨은, 이전에는 복잡한 형상을 달성할 수 없었지만, 다중 세그먼트 및/또는 다층 구조를 사용함으로써 달성될 수 있다.3A and 3B show a carrier 101 having a single layer structure. The carrier 101 may have a multi-segment structure and / or a multi-layer structure, as shown in Figs. The multi-segment structure and the multi-layer structure (see Figs. 18-21) can have increased flexibility in selecting the structure and materials used to construct the carrier 101, as compared to a carrier made of a single bulk material. Because there are more parameters in adjusting the design of the carrier 101, design flexibility is increased, so that the degree of freedom in designing the carrier 101 to meet the target detail of the induced warping of the substrate 105 at reflow temperatures Can be increased. For example, induced deflection in a substrate 105 having a complicated shape (e.g., an asymmetric curved upper surface 105U) may not be able to achieve a complex shape previously, but may use multiple segments and / or multi-layer structures &Lt; / RTI &gt;

참조하는 도 18은 캐리어(101)의 단면도를 도시한다. 캐리어(101)는 제1 세그먼트(101A), 제2 세그먼트(101B), 및 제3 세그먼트(101C)를 포함하는 다중 세그먼트 구조를 갖는다. 제1 세그먼트(101A)는 제1 폭(W1)과 제1 CTE값을 갖고, 제2 세그먼트(101B)는 제2 폭(W2)과 제2 CTE값을 가지며, 제3 세그먼트(101C)는 제3 폭(W3)과 제3 CTE값을 갖는다. 도시하는 실시형태에서는, 제1 세그먼트(101A), 제2 세그먼트(101B), 및 제3 세그먼트(101C)가 동일한 높이(H)를 갖는다. Referring to Fig. 18, there is shown a sectional view of the carrier 101. Fig. The carrier 101 has a multi-segment structure including a first segment 101A, a second segment 101B, and a third segment 101C. The first segment 101A has a first width W1 and a first CTE value, the second segment 101B has a second width W2 and a second CTE value, and the third segment 101C has a first width W1 and a second CTE value. 3 &lt; / RTI &gt; width W3 and a third CTE value. In the illustrated embodiment, the first segment 101A, the second segment 101B, and the third segment 101C have the same height H.

캐리어(101)의 상이한 세그먼트(예컨대, 101A, 101B 및 101C)의 폭(예컨대, W1, W2 및 W3)과 CTE값(예컨대, 제1 CTE값, 제2 CTE값 및 제3 CTE값)은 서로 독립적으로 선택될 수 있기 때문에, 캐리어(101)의 설계에 큰 유연성을 허용한다. 일부 실시형태에서는, 폭(W1, W2, 및 W3)이 상이한 값을 갖는다. 일부 실시형태에서는, 제1 CTE값, 제2 CTE값 및 제3 CTE값이 상이한 값을 갖는다. 다른 실시형태에서는, 제1 세그먼트(101A)와 제3 세그먼트(101C)는 동일한 폭과 동일한 CTE값을 가지며, 제2 세그먼트(101B)는 제1 세그먼트(101A)(및 제3 세그먼트(101C))와는 상이한 폭과 상이한 CTE값을 갖는다.The widths (e.g., W1, W2, and W3) and the CTE values (e.g., the first CTE value, the second CTE value, and the third CTE value) of different segments (e.g., 101A, 101B, and 101C) Allowing greater flexibility in the design of the carrier 101, since it can be selected independently. In some embodiments, the widths W1, W2, and W3 have different values. In some embodiments, the first CTE value, the second CTE value, and the third CTE value have different values. The first segment 101A and the third segment 101C have the same width and CTE value and the second segment 101B has the same width and the same CTE value as the first segment 101A (and the third segment 101C) Lt; RTI ID = 0.0 &gt; CTE &lt; / RTI &gt;

도 19는 일부 실시형태에 따른 캐리어(101)의 단면도를 도시한다. 캐리어(101)는 제1층(101A), 제2층(101B), 및 제3층(101C)을 포함하는 다층 구조를 갖는다. 제1층(101A)은 제1 높이(H1)와 제1 CTE값을 갖고, 제2층(101B)은 제2 높이(H2)와 제2 CTE값을 가지며, 제3층(101C)은 제3 높이(H3)와 제3 CTE값을 갖는다. 도시하는 실시형태에서는, 제1층(101A), 제2층(101B), 및 제3층(101C)이 동일한 폭(W)을 갖는다. 19 shows a cross-sectional view of a carrier 101 according to some embodiments. The carrier 101 has a multilayer structure including a first layer 101A, a second layer 101B, and a third layer 101C. The first layer 101A has a first height H1 and a first CTE value and the second layer 101B has a second height H2 and a second CTE value, 3 &lt; / RTI &gt; height (H3) and a third CTE value. In the illustrated embodiment, the first layer 101A, the second layer 101B, and the third layer 101C have the same width (W).

계속 도 19를 참조하면, 캐리어(101)의 상이한 층(예컨대, 101A, 101B 및 101C)의 높이(예컨대, H1, H2 및 H3)와 CTE값(예컨대, 제1 CTE값, 제2 CTE값 및 제3 CTE값)은 서로 독립적으로 선택될 수 있기 때문에, 캐리어(101)의 설계에 큰 유연성을 허용한다. 일부 실시형태에서는, 높이(H1, H2, 및 H3)가 상이한 값을 갖는다. 일부 실시형태에서는, 제1 CTE값, 제2 CTE값 및 제3 CTE값이 상이한 값을 갖는다. 다른 실시형태에서는, 제1층(101A)의 제1 CTE값은 제2층(101B)의 제2 CTE값보다 크고, 제2층(101B)의 제2 CTE값은 제3층(101C)의 제3 CTE값보다 크다.Continuing with Figure 19, the heights (e.g., H1, H2, and H3) and CTE values (e.g., the first CTE value, the second CTE value, and the second CTE value) of different layers (e.g., 101A, 101B, and 101C) Third CTE value) can be selected independently of each other, allowing greater flexibility in the design of the carrier 101. [ In some embodiments, the heights H1, H2, and H3 have different values. In some embodiments, the first CTE value, the second CTE value, and the third CTE value have different values. In another embodiment, the first CTE value of the first layer 101A is greater than the second CTE value of the second layer 101B, and the second CTE value of the second layer 101B is greater than the second CTE value of the third layer 101C. Is greater than the third CTE value.

도 18의 다중 세그먼트 구조와 도 19의 다층 구조는 도 20과 도 21에 도시하는 바와 같이, 캐리어(101)를 구성하도록 조합될 수도 있다. 도 20을 참조하면, 중간 세그먼트(101B)가 도 19에 도시하는 것과 유사한 다층 구조를 갖는다는 점을 제외하면, 캐리어(101)는 도 18의 캐리어(101)와 유사하다. 도 20의 도시하는 예에서는, 층(101B1, 101B2 및 101B3)이 각각의 높이(H1, H2, 및 H3)와, 공통 폭(W2)을 갖는다. 높이(H1, H2, 및 H3)의 합은 일부 실시형태의 경우 다른 세그먼트(101A 및 101C)의 높이(H)와 같다. 캐리어(101)의 상이한 세그먼트/층의 치수(예컨대, 높이, 폭)와 CTE값은 리플로우 온도에서 기판(105)의 휨에 대한 타깃 세부사항을 달성하도록 서로 독립적으로 조정될 수도 있다. The multi-segment structure of Fig. 18 and the multilayer structure of Fig. 19 may be combined to form the carrier 101, as shown in Figs. 20 and 21. Fig. 20, the carrier 101 is similar to the carrier 101 of Fig. 18, except that the intermediate segment 101B has a multilayer structure similar to that shown in Fig. In the example shown in Fig. 20, the layers 101B1, 101B2, and 101B3 have respective heights H1, H2, and H3 and a common width W2. The sum of the heights H1, H2, and H3 is equal to the height H of the other segments 101A and 101C in some embodiments. The dimensions (e.g., height, width) and CTE values of the different segments / layers of the carrier 101 may be adjusted independently of each other to achieve target detail for warping of the substrate 105 at the reflow temperature.

도 21은 캐리어(101)에 대한 또 다른 실시형태를 도시하고 있다. 도 21의 캐리어(101)는 도 20의 캐리어(101)와 유사하지만, 다층 세그먼트의 위치(도면부호 101C로 표시)가 캐리어(101)의 우측에 있다. 다른 상세 내용은 도 20과 유사하기 때문에 반복 설명하지 않는다.Fig. 21 shows another embodiment of the carrier 101. Fig. The carrier 101 in Fig. 21 is similar to the carrier 101 in Fig. 20, but the position (denoted by reference numeral 101C) of the multilayer segment is on the right side of the carrier 101. Fig. The other details are similar to those in Fig. 20 and thus will not be repeated.

도 18 내지 도 21은 비제한적인 예일 뿐이다. 다른 변형 및 수정도 가능하며, 본 개시내용의 범위에 포함되는 것이 전적으로 의도된다. 예를 들어, 다중 세그먼트 구조에서의 세그먼트 수는 3개보다 많거나 적을 수도 있다. 마찬가지로, 다층 구조에서의 층도 3개보다 많거나 적을 수 있다. 또한, 다중 세그먼트 구조와 다층 구조를 조합한 실시형태에 있어서, 캐리어(101)의 복수의 세그먼트는 다층 구조를 가질 수도 있고, 다층 구조를 갖는 세그먼트의 위치는 캐리어(101)의 임의의 적절한 세그먼트일 수도 있다.18 to 21 are only non-limiting examples. Other variations and modifications are possible, and are entirely intended to be within the scope of this disclosure. For example, the number of segments in a multi-segment structure may be more or less than three. Likewise, the number of layers in the multilayer structure may be more or less than three. Further, in the embodiment where the multi-segment structure and the multi-layer structure are combined, the plurality of segments of the carrier 101 may have a multi-layer structure, and the position of the segment having the multi-layer structure may be any suitable segment of the carrier 101 It is possible.

본 개시내용의 장점은 디바이스 고장율 저감 및 제조 수율의 개선을 포함한다. 리플로우 온도에서 기판(105)의 상부 표면(105U)의 휨을 반도체 패키지(250)의 하부 표면(213L)의 휨과 일치시키면, 반도체 패키지(250)의 외부 커넥터(217)가 기판(105)의 상부 표면(105U) 상의 각각의 전도성 패드(107)와 접촉하여, 콜드 조인트를 방지하거나 줄인다. 리플로우 공정 동안에 반도체 패키지(250)의 휨을 줄이기 위해 반도체 패키지(250)의 좌측 및 우측을 클램핑하는 클램프를 사용하는 종래의 방법에서는, 반도체 패키지(250)의 클램핑된 부분이 높은 응력을 받아서 리플로우 공정 중에 파손될 수 있으며, 클램핑되지 않은 반도체 패키지(250)의 중간 부분은 계속 휘어진 상태를 나타내 콜드 조인트 문제가 있을 수 있다. 반면 본 개시내용은 반도체 패키지(250)를 클램핑하지 않으며, 그렇기 때문에 클램핑과 연관된 문제를 피한다. 또한, 캐리어(101)는 기판(105)의 하부 표면을 완전히 지지하므로, 대면적(예컨대, 기판(105)의 하부 표면)에 걸쳐 기판(105)의 응력을 분산시킬 수 있고, 기판(105)에 대한 손상을 방지하거나 줄인다. 캐리어(101)의 다층 구조 및 다중 세그먼트 구조는 캐리어(101)에 대한 구조 및 재료를 선택함에 있어서 많은 유연성을 허용한다. 기판(105)의 휨에 대한 복잡한 형상이 달성될 수 있는데, 이것은 기존의 캐리어 설계를 사용해서는 가능할 수 없었다.Advantages of the present disclosure include reduced device failure rates and improved manufacturing yields. The external connector 217 of the semiconductor package 250 is brought into contact with the lower surface 213L of the semiconductor package 250 so that the warpage of the upper surface 105U of the substrate 105 at the reflow temperature coincides with the warpage of the lower surface 213L of the semiconductor package 250. [ Contacts each conductive pad 107 on the upper surface 105U to prevent or reduce cold joints. In a conventional method using a clamp that clamps the left and right sides of the semiconductor package 250 to reduce warpage of the semiconductor package 250 during the reflow process, the clamped portion of the semiconductor package 250 is subjected to high stress, And the middle portion of the unclamped semiconductor package 250 may remain deflected and may have a cold joint problem. The present disclosure, however, does not clamp the semiconductor package 250 and thus avoids the problems associated with clamping. Further, since the carrier 101 completely supports the lower surface of the substrate 105, it is possible to disperse the stress of the substrate 105 over a large area (for example, the lower surface of the substrate 105) To prevent or reduce damage to the device. The multi-layer structure and multi-segment structure of the carrier 101 allow a great deal of flexibility in selecting the structure and materials for the carrier 101. A complicated shape for the warping of the substrate 105 can be achieved, which could not have been possible using conventional carrier designs.

이제 도 4를 참조하면, 캐리어(101)는 본딩 공정 후에, 예컨대 반도체 디바이스(100)가 실온으로 냉각된 후에 분리된다. 캐리어(101)는 예컨대 자외선(UV) 또는 레이저에 대해 투명할 수 있는 캐리어(101)를 통해, 자외선(UV) 또는 레이저를 접착층(103)(도 3a 참조)에 인가함으로써 제거될 수 있다. 일부 실시형태의 경우, 접착층(103)은 물을 접착층에 도포함으로써 분리된다. 기계적 박리, 에칭, 연삭 등의 다른 적절한 방법도 사용될 수 있다. 캐리어(101)가 분리된 후에, 접착층(103)의 잔류물은 있다면, 추가 세정 공정에 의해 분리될 수 있다. 이에, 도 4에 도시하는 반도체 디바이스(100)가 일부 실시형태에서 CoWoS 패키지를 형성한다.Referring now to FIG. 4, the carrier 101 is separated after the bonding process, e.g., after the semiconductor device 100 has cooled to room temperature. The carrier 101 can be removed by applying ultraviolet light (UV) or laser to the adhesive layer 103 (see Fig. 3A) through a carrier 101 that can be transparent to ultraviolet (UV) or laser, for example. In some embodiments, the adhesive layer 103 is separated by applying water to the adhesive layer. Other suitable methods such as mechanical stripping, etching, grinding, etc. may also be used. After the carrier 101 is separated, the residue of the adhesive layer 103, if any, can be separated by an additional cleaning process. Thus, the semiconductor device 100 shown in Fig. 4 forms the CoWoS package in some embodiments.

도 5 내지 도 8은 또 다른 실시형태에 따른 다양한 제조 단계에서의 반도체 디바이스(100)의 단면도를 도시한다. 도 5 내지 도 8에 사용된 유사한 도면부호는 도 1 내지 도 4에서의 유사한 컴포넌트를 표시한다. 도 5 내지 도 8의 실시형태는, 접착층(103)의 사용 없이 기판(105)이 캐리어(101)에 부착되는 것을 제외하면, 도 1 내지 도 4의 실시형태와 유사하다. 예시적인 실시형태에 있어서, 도 5 내지 도 8의 캐리어(101)는 정전 척(electro-static chuck)이다. 정전 척에 전압을 공급함으로써, 기판(105)은 반대 전하의 인력에 의해 정전 척에 부착된다. 전기장을 막으면(예컨대, 정전 척에 전압의 공급을 정지함으로써), 기판(105)은 캐리어(101)로부터 쉽게 분리될 수 있다. 제거해야 할 접착층이 없기 때문에, 공정 단계의 수와 처리 시간이 감소한다. 5-8 illustrate cross-sectional views of semiconductor device 100 at various fabrication stages according to yet another embodiment. Similar reference numerals used in Figs. 5-8 show similar components in Figs. 1-4. Fig. 5 to 8 are similar to the embodiment of Figs. 1 to 4 except that the substrate 105 is attached to the carrier 101 without the use of the adhesive layer 103. Fig. In an exemplary embodiment, the carrier 101 of Figs. 5-8 is an electro-static chuck. By supplying a voltage to the electrostatic chuck, the substrate 105 is attached to the electrostatic chuck by attraction of opposite electric charges. When the electric field is blocked (for example, by stopping the supply of the voltage to the electrostatic chuck), the substrate 105 can be easily separated from the carrier 101. Since there is no adhesive layer to be removed, the number of process steps and the processing time are reduced.

도 5에서는, 전압을 캐리어(101)에 공급함으로써, 기판(105)이 캐리어(101)(정전 척)에 부착된다. 도 6에는, 외부 커넥터(217)가 기판(105)의 상부 표면 상의 각각의 전도성 패드(107)와 정렬된 상태로 반도체 패키지(250)가 기판(105) 위에 배치되어 있다. 솔더 페이스트(도시 생략)가 전도성 패드(107) 상에 형성될 수 있다. 도 7에는, 반도체 패키지(250)의 외부 커넥터(217)가 본딩 공정(예컨대, 리플로우 공정) 시에 각각의 전도성 패드(107)에 물리적으로 또 전기적으로 본딩된다. 일부 실시형태에 있어서, 리플로우 공정 동안에 외부 커넥터(217)를 각각의 전도성 패드(107)와 접촉시킴으로써 콜드 조인트를 회피하거나 줄이기 위하여, 캐리어(101)는 리플로우 온도에서 기판(105)에 미리 결정된 수준의 휨을 유도하도록 설계된다. 캐리어(101)의 상세 내용은 도 1 내지 도 4 그리고 도 18 내지 도 21을 참조하여 전술한 바와 유사하므로 반복 설명하지 않는다. 도 8에서는, 본딩 공정 후에 캐리어(101)에 전압 공급을 정지함으로써 캐리어(101)가 기판(105)으로부터 분리된다.In Fig. 5, by supplying a voltage to the carrier 101, the substrate 105 is attached to the carrier 101 (electrostatic chuck). 6, the semiconductor package 250 is disposed on the substrate 105 with the external connector 217 aligned with the respective conductive pads 107 on the upper surface of the substrate 105. A solder paste (not shown) may be formed on the conductive pad 107. 7, the external connector 217 of the semiconductor package 250 is physically and electrically bonded to each conductive pad 107 during a bonding process (e.g., a reflow process). In some embodiments, in order to avoid or reduce the cold joint by bringing the external connector 217 into contact with the respective conductive pads 107 during the reflow process, the carrier 101 is pre- Level deflection. The details of the carrier 101 are similar to those described above with reference to Figs. 1 to 4 and 18 to 21, and thus will not be repeated. 8, the carrier 101 is separated from the substrate 105 by stopping the supply of the voltage to the carrier 101 after the bonding process.

도 9 내지 도 13은 또 다른 실시형태에 따른 다양한 제조 단계에서의 반도체 디바이스(100)의 단면도를 도시한다. 도 9 내지 도 13의 실시형태는, CoW 패키지(250) 대신에, InFO 패키지(350)가 기판(105)의 전도성 패드(107)에 부착되는 것을 제외하고, 도 1 내지 도 4의 실시형태와 유사하다. 도 9 내지 도 13에 사용된 유사한 도면부호는 도 1 내지 도 4에서의 유사한 컴포넌트를 표시한다.9-13 illustrate cross-sectional views of a semiconductor device 100 at various fabrication stages according to yet another embodiment. The embodiment of Figures 9-13 is similar to the embodiment of Figures 1 to 4 except that the InFO package 350 is attached to the conductive pad 107 of the substrate 105 instead of the CoW package 250 similar. Similar reference numerals used in Figs. 9-13 show similar components in Figs. 1-4. Fig.

도 9에서는, 기판(105)이 캐리어(101)에 부착된다. 기판(105)과 캐리어(101)의 상세 내용은 도 1을 참조하여 전술한 바와 유사하므로 반복 설명하지 않는다.In Fig. 9, the substrate 105 is attached to the carrier 101. Fig. Details of the substrate 105 and the carrier 101 are similar to those described above with reference to FIG.

도 10은 InFO 패키지(350)의 단면도를 도시하고 있다. 도 10에 도시하는 바와 같이, 배면 유전체층(305)이 캐리어(301) 위에 형성된다. 배면 유전체층(305)은 배면 패시베이션층일 수 있으며, 중합체, 폴리이미드, 실리콘 산화물, 실리콘 질화물, 또는 PVC, CVD, 기타 적절한 퇴적 방법으로 형성되는 기타 적절한 재료를 포함할 수 있다. 캐리어(301)는 실리콘, 중합체, 중합체 복합체, 금속박, 세라믹, 유리, 유리 에폭시, 베릴륨 산화물, 테이프, 또는 구조적 지지를 위한 기타 적절한 재료 등의 기본 재료를 포함할 수 있다. 배면 유전체층(305)과 캐리어(301) 사이에는 광-열 변환(LTHC)막 등의 접착층이 형성될 수 있다. 10 shows a cross-sectional view of the InFO package 350. FIG. As shown in Fig. 10, a rear dielectric layer 305 is formed on the carrier 301. The backside dielectric layer 305 can be a backside passivation layer and can include a polymer, polyimide, silicon oxide, silicon nitride, or other suitable material formed by PVC, CVD, or other suitable deposition methods. Carrier 301 may comprise a base material such as silicon, polymer, polymer composite, metal foil, ceramic, glass, glass epoxy, beryllium oxide, tape, or other suitable material for structural support. An adhesive layer such as a light-to-heat conversion (LTHC) film may be formed between the rear dielectric layer 305 and the carrier 301.

다이(309)는 예컨대 DAF(307)를 통해 배면 유전체층(305)에 부착된다. 다이(309)를 보게 되면, 다이(309)의 상부 표면 상에 컨택 패드(311)가 형성되고, 컨택 패드(311) 위에는 패시베이션층(315)이 형성된다. 비아(316)는 패시베이션층(315)을 통과해 연장되고 컨택 패드(311)에 전기적으로 접속된다. 전도성 패드(318)가 패시베이션층(315) 위에 형성되어 비아(316)에 전기적으로 접속된다. 다이(309)의 형성에 관한 상세 내용은 도 2의 다이(201)에 대한 것과 유사할 수 있으므로 반복 설명하지 않는다.The die 309 is attached to the backside dielectric layer 305, for example, via a DAF 307. Upon viewing the die 309, a contact pad 311 is formed on the upper surface of the die 309 and a passivation layer 315 is formed on the contact pad 311. The via 316 extends through the passivation layer 315 and is electrically connected to the contact pad 311. A conductive pad 318 is formed over the passivation layer 315 and is electrically connected to the via 316. Details regarding the formation of the die 309 may be similar to those for the die 201 of Fig. 2 and thus will not be repeated.

비아(317)는 배면 유전체층(305) 위에 형성되고 다이(309)로부터 측방향으로 이격된다. 비아(317)는 구리, 텅스텐 등의 전도성 재료를 포함할 수 있고, 배면 유전체층(305) 위에 시드층을 형성하고, 시드층 위에 패터닝된 포토레지스트를 형성하며, 패터닝된 포토레지스트층의 개구부를 충전하기 위해 도금하고, 비아(317)의 경계 외부의 시드층과 포토레지스트를 제거함으로써 형성될 수 있다. 비아(317)는 다이(309)가 유전체층(305)에 부착되기 전에 또는 부착된 후에 형성될 수 있다.Vias 317 are formed over the back dielectric layer 305 and laterally spaced from the die 309. The via 317 may comprise a conductive material such as copper, tungsten, etc., to form a seed layer over the back dielectric layer 305, to form a patterned photoresist over the seed layer, to fill the openings of the patterned photoresist layer And removing the seed layer and the photoresist outside the boundary of the via 317. In this case, The via 317 may be formed before or after the die 309 is attached to the dielectric layer 305.

다음으로, 몰딩 재료(313)가 배면 유전체층(305) 위에 형성된다. 몰딩 재료(313)는 다이(309)와 비아(317)를 둘러싼다. 몰딩 재료(313)는 몰딩 화합물, 에폭시 등일 수 있고, 압축 몰딩, 전사 몰딩 등에 의해 도포될 수 있다. 경화 후에, 몰딩 재료(313)는 다이(309)의 전도성 패드(318)와 비아(317)의 상부 표면을 노출하도록 화학적 기계 평탄화(CMP) 공정 등의 연삭 공정을 받을 수 있다.Next, a molding material 313 is formed on the rear dielectric layer 305. The molding material 313 encapsulates the die 309 and the via 317. The molding material 313 may be a molding compound, an epoxy, or the like, and may be applied by compression molding, transfer molding, or the like. After curing, the molding material 313 may be subjected to a grinding process, such as a chemical mechanical planarization (CMP) process, to expose the conductive pads 318 of the die 309 and the upper surface of the vias 317.

다음으로, 몰딩 재료(313)와 다이(309) 위에 재배선 구조(320)가 형성된다. 재배선 구조(320)는 하나 이상의 유전체층(325)에 형성된 하나 이상의 RDL(예컨대, 전도성 라인(321), 비아(323))를 포함할 수 있다. 관통 비아(317)가 재배선 구조(320)의 RDL에 전기적으로 결합된다. 재배선 구조(320)의 RDL은 다이(309)에도 전기적으로 결합된다. 재배선 구조(320)의 RDL은 알루미늄, 구리, 텅스텐, 티탄, 이들의 조합 등의 금속으로 형성될 수 있고, 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 도금, 또는 기타 적절한 퇴적 방법에 의해 형성될 수 있다. 재배선 구조(320)의 하나 이상의 유전체층(325)은 실리콘 산화물, 실리콘 질화물, 탄소 도핑된 산화물과 같은 로우k 유전체, 다공성 탄소 도핑된 실리콘 이산화물과 같은 초 로우k 유전체, 이들의 조합 등을 포함할 수 있으며, CVD, PVD 또는 기타 적절한 퇴적 방법 등의 공정을 통해 형성될 수 있다. Next, a re-wiring structure 320 is formed on the molding material 313 and the die 309. The rewiring structure 320 may include one or more RDLs (e.g., a conductive line 321, a via 323) formed in one or more dielectric layers 325. Through vias 317 are electrically coupled to the RDL of the rewiring structure 320. The RDL of the rewiring structure 320 is also electrically coupled to the die 309. The RDL of the rewiring structure 320 may be formed of a metal such as aluminum, copper, tungsten, titanium, combinations thereof, or the like, and may be formed by physical vapor deposition (PVD), chemical vapor deposition (CVD), plating, As shown in FIG. One or more dielectric layers 325 of the rewiring structure 320 may include a low k dielectric such as silicon oxide, silicon nitride, carbon doped oxide, an ultra low k dielectric such as porous carbon doped silicon dioxide, combinations thereof, and the like And may be formed through processes such as CVD, PVD or other suitable deposition methods.

다음으로, 도 10에 도시하는 바와 같이, UBM(under-bump metallurgy) 구조(331)가 재배선 구조(320)의 상부 표면 위에 형성된다. UBM 구조(331)는 재배선 구조(320) 위에 구리, 금 또는 알루미늄 등의 전도성 재료를 퇴적하고 패터닝함으로써 형성될 수 있다. 집적된 수동 디바이스(integrated-passive device, IPD)와 같은 전기 부품(333)이 UBM 구조(331)에 결합될 수 있다. UBM 구조(331) 상에는 볼 그리드 어레이(BGA), 전도성 필러(예컨대, 구리 필러), 또는 상부에 솔더 영역을 갖는 전도성 필러 등의 외부 커넥터(335)가 형성될 수 있다. 다음으로, 도시하지는 않지만, 도 10의 InFO 패키지(350)가 뒤집혀진 상태로 외부 커넥터(335)가 다이싱 테이프 등의 테이프에 부착된다. 그 다음, 캐리어(301)는 분리 공정(de-bonding process)에 의해 IFO 패키지(350)로부터 분리된다. 일부 실시형태의 경우, 캐리어(101)가 분리되기 전에 복수의 InFO 패키지(도시 생략)가 캐리어(101) 상에 함께 형성되므로, 캐리어(101)가 제거된 후에 다이싱이 수행되어 복수의 개별 InFO 패키지(350)를 생성할 수도 있다.Next, an under-bump metallurgy (UBM) structure 331 is formed on the upper surface of the re-wiring structure 320, as shown in FIG. The UBM structure 331 may be formed by depositing and patterning a conductive material, such as copper, gold, or aluminum, on the reordering structure 320. An electrical component 333, such as an integrated passive device (IPD), may be coupled to the UBM structure 331. [ An external connector 335 may be formed on the UBM structure 331, such as a ball grid array (BGA), a conductive filler (e.g., copper filler), or a conductive filler having a solder region thereon. Next, although not shown, the external connector 335 is attached to a tape such as a dicing tape in a state where the InFO package 350 of FIG. 10 is turned upside down. The carrier 301 is then separated from the IFO package 350 by a de-bonding process. In some embodiments, since a plurality of InFO packages (not shown) are formed on the carrier 101 together before the carrier 101 is separated, dicing is performed after the carrier 101 is removed, and a plurality of individual InFOs Package 350 may be generated.

도 11에서는, InFO 패키지(350)가 기판(105) 위에 배치된다. InFO 패키지(350)의 외부 커넥터(335)는 기판(105)의 각각의 전도성 패드(107)와 정렬된다. 예컨대, 솔더 제트 인쇄 공정을 이용하여 기판(105)의 전도성 패드(107) 위에 솔더 페이스트(도시 생략)가 형성될 수 있다. In Fig. 11, an InFO package 350 is disposed on the substrate 105. Fig. The external connectors 335 of the InFO package 350 are aligned with the respective conductive pads 107 of the substrate 105. For example, a solder paste (not shown) may be formed on the conductive pad 107 of the substrate 105 using a solder jet printing process.

도 12에서는, InFO 패키지(350)의 외부 커넥터(335)를 기판(105)의 전도성 패드(107)에 물리적으로 또 전기적으로 결합하기 위해 리플로우 공정 등의 본딩 공정이 행해진다. 일부 실시형태에 있어서, 리플로우 공정 동안에 외부 커넥터(335)를 각각의 전도성 패드(107)와 접촉시킴으로써 콜드 조인트를 회피하거나 줄이기 위하여, 캐리어(101)는 리플로우 온도에서 기판(105)에 미리 결정된 수준의 휨을 유도하도록 설계된다. 일부 실시형태의 경우, 캐리어(101)와 기판(105) 간의 CTE 불일치로 인한, 기판(105)의 제1 휨(예컨대, 만곡된 상부 표면)이 InFO 패키지(350)의 제2 휨(예컨대, 만곡된 하부 표면)과 실질적으로 일치하도록, 캐리어(101)의 CTE가 조정된다. 캐리어(101)의 상세 내용은 도 1 내지 도 4 그리고 도 18 내지 도 21을 참조하여 전술한 바와 유사하므로 반복 설명하지 않는다.12, a bonding process such as a reflow process is performed to physically and electrically connect the external connector 335 of the InFO package 350 to the conductive pad 107 of the substrate 105. [ In some embodiments, in order to avoid or reduce cold joints by contacting external connector 335 with respective conductive pads 107 during the reflow process, carrier 101 may be pre-determined on substrate 105 at reflow temperature Level deflection. In some embodiments, a first bend (e.g., a curved upper surface) of the substrate 105 due to a CTE mismatch between the carrier 101 and the substrate 105 causes a second bend in the InFO package 350, And the CTE of the carrier 101 is adjusted so as to be substantially coincident with the curved lower surface. The details of the carrier 101 are similar to those described above with reference to Figs. 1 to 4 and 18 to 21, and thus will not be repeated.

도 13에서는, 도 4를 참조하여 전술한 바와 유사한 처리 단계를 사용하여 캐리어(101)가 분리된다. 상세 설명은 반복하지 않는다.In Fig. 13, the carrier 101 is separated using processing steps similar to those described above with reference to Fig. Detailed description is not repeated.

도 14 내지 도 17은 또 다른 실시형태에 따른 다양한 제조 단계에서의 반도체 디바이스(100)의 단면도를 도시한다. 도 14 내지 도 17에 사용된 유사한 도면부호는 도 9 내지 도 13에서의 유사한 컴포넌트를 표시한다. 도 14 내지 도 17의 실시형태는, 접착층(103)의 사용 없이 기판(105)이 캐리어(101)에 부착되는 것을 제외하면, 도 9 내지 도 13의 실시형태와 유사하다. 예시적인 실시형태에서는, 캐리어(101)가 정전 척이다. 정전 척에 전압을 공급함으로써, 기판(105)이 정전 척에 부착된다. 전기장을 막으면, 기판(105)이 캐리어(101)로부터 쉽게 분리될 수 있다. 제거해야 할 접착층이 없기 때문에, 처리 단계의 수와 처리 시간이 감소한다.14-17 illustrate cross-sectional views of semiconductor device 100 at various fabrication steps in accordance with yet another embodiment. Similar reference numerals used in Figs. 14-17 show similar components in Figs. 9-13. 14 to 17 are similar to the embodiments of Figs. 9 to 13 except that the substrate 105 is attached to the carrier 101 without the use of the adhesive layer 103. Fig. In the exemplary embodiment, the carrier 101 is an electrostatic chuck. By supplying a voltage to the electrostatic chuck, the substrate 105 is attached to the electrostatic chuck. When the electric field is blocked, the substrate 105 can be easily separated from the carrier 101. Since there is no adhesive layer to be removed, the number of processing steps and the processing time are reduced.

도 14에서는, 전압을 캐리어(101)에 공급함으로써, 기판(105)이 캐리어(101)(전기 척)에 부착된다. 도 15에는, 외부 커넥터(335)가 기판(105)의 상부 표면 상의 각각의 전도성 패드(107)와 정렬된 상태로 반도체 패키지(350)(예컨대, InFO 패키지)가 기판(105) 위에 배치되어 있다. 솔더 페이스트(도시 생략)가 전도성 패드(107) 상에 형성될 수 있다. 도 16에는, 반도체 패키지(350)의 외부 커넥터(335)가 본딩 공정(예컨대, 리플로우 공정) 동안에 각각의 전도성 패드(107)에 물리적으로 또 전기적으로 본딩된다. 일부 실시형태에 있어서, 리플로우 공정 동안에 외부 커넥터(335)를 각각의 전도성 패드(107)와 접촉시킴으로써 콜드 조인트를 회피하거나 줄이기 위하여, 캐리어(101)는 리플로우 온도에서 기판(105)에 미리 결정된 수준의 휨을 유도하도록 설계된다. 캐리어(101)의 상세 내용은 도 1 내지 도 4 그리고 도 18 내지 도 21을 참조하여 전술한 바와 유사하므로 반복 설명하지 않는다. 도 17에서는, 리플로우 공정 후에 캐리어(101)에 전압 공급을 정지함으로써 캐리어(101)가 기판(105)으로부터 분리된다.In Fig. 14, by supplying a voltage to the carrier 101, the substrate 105 is attached to the carrier 101 (electric chuck). 15, a semiconductor package 350 (e.g., an InFO package) is disposed on the substrate 105 with the external connector 335 aligned with the respective conductive pads 107 on the upper surface of the substrate 105 . A solder paste (not shown) may be formed on the conductive pad 107. 16, the external connector 335 of the semiconductor package 350 is physically and electrically bonded to each conductive pad 107 during a bonding process (e.g., a reflow process). In some embodiments, in order to avoid or reduce cold joints by contacting external connector 335 with respective conductive pads 107 during the reflow process, carrier 101 may be pre-determined on substrate 105 at reflow temperature Level deflection. The details of the carrier 101 are similar to those described above with reference to Figs. 1 to 4 and 18 to 21, and thus will not be repeated. In Fig. 17, the carrier 101 is separated from the substrate 105 by stopping the supply of the voltage to the carrier 101 after the reflow process.

본 개시내용의 실시형태는 다수의 효과를 달성할 수 있다. 예를 들어, 캐리어(101)의 적절한 설계로, 리플로우 공정 동안에 반도체 디바이스(예컨대, 도 3a의 도면부호 250과 도 12의 도면부호 350)의 휨과 일치하도록 미리 결정된 휨이 기판(105)에 유도될 수 있다. 콜드 조인트가 회피되며, 생산 효율이 상승한다. 본 개시내용은 반도체 디바이스를 클램핑하기 위한 클램프를 필요로 하지 않기 때문에, 불균일하게 분산된 응력으로 인한 반도체 패키지의 손상을 피할 수 있다. 또한, 캐리어(101)의 다층 구조 및 다중 세그먼트 구조로 캐리어(101)의 설계에 유연성이 많아진다. 이전에는 가능하지 않았던, 기판(105)의 휨에 대한 복잡한 형상이 달성될 수 있다. The embodiments of the present disclosure can achieve a number of effects. For example, with a suitable design of the carrier 101, a predetermined warp to match the deflection of the semiconductor device (e.g., reference numeral 250 in FIG. 3A and reference numeral 350 in FIG. 12) during the reflow process is applied to the substrate 105 . Cold joints are avoided and production efficiency increases. The present disclosure avoids damage to the semiconductor package due to non-uniformly distributed stresses, since it does not require a clamp to clamp the semiconductor device. Further, the flexibility of the design of the carrier 101 is increased by the multilayer structure of the carrier 101 and the multi-segment structure. A complex shape for the warpage of the substrate 105, which was not possible before, can be achieved.

도 22는 일부 실시형태에 따른 반도체 디바이스의 제조 방법의 흐름도를 도시한다. 도 22에 나타내는 방법 실시형태는 다수의 가능한 방법 실시형태 중 일례일 뿐임을 이해해야 한다. 당업자라면 다수의 변형, 대안, 및 변경이 있을 수 있음을 알 것이다. 예를 들어, 도 22에 나타내는 다양한 단계들은 추가, 제거, 대체, 재배열 및 반복될 수 있다.22 shows a flowchart of a method of manufacturing a semiconductor device according to some embodiments. It should be understood that the method embodiment shown in FIG. 22 is only one of many possible method embodiments. Numerous variations, alternatives, and modifications will occur to those skilled in the art. For example, the various steps shown in FIG. 22 may be added, removed, replaced, rearranged, and repeated.

도 22를 참조하면, 단계 1010에서, 기판이 캐리어에 부착된다. 단계 1020에서, 제1 반도체 패키지의 외부 커넥터는, 캐리어와 떨어져서 대면하는 기판의 제1 표면 상의 제1 전도성 패드에 정렬된다. 단계 1030에서는 리플로우 공정이 수행되며, 기판과 캐리어 간의 열팽창계수(CTE)의 제1 불일치가 리플로우 공정 동안에 기판의 제1 휨을 야기하고, 제1 반도체 패키지의 재료들 간의 CTE의 제2 불일치가 리플로우 공정 동안에 제1 반도체 패키지의 제2 휨을 야기하며, 제1 휨은 제2 휨과 실질적으로 일치한다. 단계 1040에서, 캐리어가 리플로우 공정 후에 기판으로부터 분리된다.Referring to Fig. 22, in step 1010, a substrate is attached to a carrier. In step 1020, the external connector of the first semiconductor package is aligned with the first conductive pad on the first surface of the substrate facing away from the carrier. In step 1030, a reflow process is performed, a first mismatch in the coefficient of thermal expansion (CTE) between the substrate and the carrier causes a first warp of the substrate during the reflow process, and a second mismatch of CTEs between the materials of the first semiconductor package Causing a second deflection of the first semiconductor package during the reflow process, wherein the first deflection substantially coincides with the second deflection. In step 1040, the carrier is separated from the substrate after the reflow process.

일 실시형태에 있어서, 방법은 기판을 캐리어에 부착하는 단계와, 제1 반도체 패키지의 제1 표면 상의 외부 커넥터를, 상기 캐리어와 떨어져서 대면하는 상기 기판의 제1 표면 상의 제1 전도성 패드에 정렬시키는 단계와, 리플로우 공정을 수행하는 단계로서, 기판과 캐리어 간의 열팽창계수(CTE)의 차이가 상기 리플로우 공정 동안에 기판의 제1 표면에 대한 제1 형상을 야기하고, 상기 제1 반도체 패키지의 재료들 간의 열팽창계수의 차이가 상기 리플로우 공정 동안에 상기 제1 반도체 패키지의 제1 표면에 대한 제2 형상을 야기하며, 상기 제1 형상은 상기 제2 형상과 실질적으로 일치하는 것인 상기 리플로우 공정 수행 단계와, 상기 리플로우 공정 후에 상기 기판으로부터 상기 캐리어를 분리하는 단계를 포함한다. 상기 방법은, 상기 리플로우 공정 후에 상기 기판으로부터 상기 캐리어를 분리하는 단계를 더 포함한다. 일 실시형태에 있어서, 상기 기판을 캐리어에 부착하는 단계는 접착층을 사용하여 상기 기판을 상기 캐리어에 부착하는 단계를 포함한다. 일 실시형태에 있어서, 상기 캐리어는 정전 척이고, 상기 기판을 캐리어에 부착하는 단계는 상기 정전 척에 전압을 공급하는 단계를 포함한다. 일 실시형태에 있어서, 기판은 인쇄 회로 기판(PCB)이다. 일 실시형태에 있어서, 상기 제1 형상과 상기 제2 형상은 만곡된 형상이다. 일 실시형태에 있어서, 상기 리플로우 공정은 상기 제1 반도체 패키지를 상기 기판에 물리적으로 또 전기적으로 결합한다. 일 실시형태에 있어서, 상기 방법은, 상기 리플로우 공정을 수행하는 단계 전에, 제2 반도체 패키지의 외부 커넥터를 상기 기판의 제1 표면 상의 제2 전도성 패드에 정렬시키는 단계를 더 포함하고, 상기 리플로우 공정은 상기 제1 반도체 패키지와 상기 제2 반도체 패키지를 상기 기판에 물리적으로 또 전기적으로 결합한다. 일 실시형태에 있어서, 상기 기판은 직사각형, 정사각형, 다각형 또는 원형의 형상을 갖는다.In one embodiment, a method includes attaching a substrate to a carrier, aligning an external connector on a first surface of the first semiconductor package with a first conductive pad on a first surface of the substrate facing away from the carrier And performing a reflow process, wherein a difference in the coefficient of thermal expansion (CTE) between the substrate and the carrier causes a first shape for the first surface of the substrate during the reflow process, Wherein the first shape is substantially coincident with the second shape, wherein a difference in thermal expansion coefficient between the first semiconductor package and the second semiconductor package causes a second shape for the first surface of the first semiconductor package during the reflow process, And separating the carrier from the substrate after the reflow process. The method further includes separating the carrier from the substrate after the reflow process. In one embodiment, attaching the substrate to the carrier includes attaching the substrate to the carrier using an adhesive layer. In one embodiment, the carrier is an electrostatic chuck, and the step of attaching the substrate to the carrier comprises applying a voltage to the electrostatic chuck. In one embodiment, the substrate is a printed circuit board (PCB). In one embodiment, the first shape and the second shape are curved shapes. In one embodiment, the reflow process physically and electrically couples the first semiconductor package to the substrate. In one embodiment, the method further comprises aligning an external connector of a second semiconductor package to a second conductive pad on a first surface of the substrate prior to performing the reflow process, The row process physically and electrically couples the first semiconductor package and the second semiconductor package to the substrate. In one embodiment, the substrate has a rectangular, square, polygonal or circular shape.

일 실시형태에 있어서, 방법은 캐리어의 열팽창계수(CTE)를 조정하는 단계와, 기판의 제1 면을 상기 캐리어에 부착하는 단계로서, 상기 기판은 상기 제1 면과 반대측의 상기 기판의 제2 면 상에 전도성 패드를 갖는 것인, 상기 기판 부착 단계와, 상기 기판의 제2 면 위에 반도체 패키지를 배치하는 단계로서, 상기 기판과 대면하는 상기 반도체 패키지의 제1 면 상의 외부 커넥터가 상기 기판의 각각의 전도성 패드와 정렬되는 것인, 상기 반도체 패키지 배치 단계와, 상기 기판, 상기 캐리어, 및 상기 반도체 패키지를 가열하는 단계를 포함하고, 상기 반도체 패키지의 제1 면은 상기 가열 단계 동안에 제1 만곡 형상을 가지며, 상기 캐리어의 CTE는 상기 기판의 제2 면이 상기 가열 단계 동안에 제2 만곡 형상을 갖도록 상기 기판의 CTE에 대해 조정되고, 상기 제1 만곡 형상은 상기 제2 만곡 형상과 실질적으로 일치한다. 일 실시형태에 있어서, 상기 방법은 상기 기판, 캐리어, 및 반도체 패키지를 가열하는 단계 후에 상기 기판으로부터 상기 캐리어를 분리하는 단계를 더 포함한다. 일 실시형태에 있어서, 상기 반도체 패키지의 외부 커넥터는 상기 가열하는 단계 동안에 상기 기판의 각각의 전도성 패드와 접촉한다. 일 실시형태에 있어서, 상기 방법은 가열 온도에서 상기 반도체 패키지의 휨(warpage)을 분석하는 단계와, 상기 가열 온도에서 상기 반도체 패키지의 제1 면의 제1 만곡 형상을 결정하는 단계를 더 포함한다. 일 실시형태에 있어서, 상기 기판은 인쇄 회로 기판이다. 일 실시형태에 있어서, 상기 반도체 패키지는, 반도체 다이와, 상기 반도체 다이 주위의 몰딩 재료와, 상기 반도체 다이에 전기적으로 결합되며, 상기 반도체 다이의 경계를 넘어 연장되는 전도성 피처와, 상기 전도성 피처에 전기적으로 결합되는 외부 커넥터를 포함하고, 상기 전도성 피처는 상기 반도체 다이와 상기 외부 커넥터 사이에 있다. 일 실시형태에 있어서, 상기 전도성 피처는 상기 반도체 다이와 상기 외부 커넥터 사이의 재배선 구조의 재배선층(RDL)이다. 일 실시형태에 있어서, 상기 전도성 피처는 상기 반도체 다이와 상기 외부 커넥터 사이의 인터포저의 비아이다.In one embodiment, a method includes adjusting a coefficient of thermal expansion (CTE) of a carrier, attaching a first side of the substrate to the carrier, the substrate having a second side of the substrate opposite the first side The method comprising the steps of: attaching a semiconductor package on a second side of the substrate, wherein the external connector on the first side of the semiconductor package, facing the substrate, Wherein each of the conductive pads is aligned with a respective conductive pad; and heating the substrate, the carrier, and the semiconductor package, wherein the first surface of the semiconductor package is in contact with a first curve Wherein the CTE of the carrier is adjusted relative to the CTE of the substrate such that the second side of the substrate has a second curved shape during the heating step, Song shape corresponds substantially to the second curved shape. In an embodiment, the method further comprises separating the carrier from the substrate after heating the substrate, the carrier, and the semiconductor package. In one embodiment, the external connector of the semiconductor package contacts each conductive pad of the substrate during the heating step. In one embodiment, the method further comprises analyzing the warpage of the semiconductor package at a heating temperature and determining a first curvature shape of the first surface of the semiconductor package at the heating temperature . In one embodiment, the substrate is a printed circuit board. In one embodiment, the semiconductor package includes a semiconductor die, a molding material around the semiconductor die, a conductive feature electrically coupled to the semiconductor die, the conductive feature extending beyond a boundary of the semiconductor die, Wherein the conductive feature is between the semiconductor die and the external connector. In one embodiment, the conductive feature is a redistribution layer (RDL) of a rewiring structure between the semiconductor die and the external connector. In one embodiment, the conductive feature is a via of the interposer between the semiconductor die and the external connector.

일 실시형태에 있어서, 방법은 기판의 제1 면을 캐리어에 부착하는 단계와, 상기 제1 면과 반대측의 상기 기판의 제2 면에 반도체 패키지를 본딩하는 단계로서, 상기 기판과 대면하는 상기 반도체 패키지의 제1 면은 본딩 온도에서 제1 만곡 형상을 갖고, 상기 캐리어와 상기 기판 간의 열팽창계수(CTE)의 차이가 상기 본딩 온도에서 상기 기판의 제2 면에 대한 제2 만곡 형상을 야기하며, 상기 제1 만곡 형상은 상기 제2 만곡 형상과 일치한다. 일 실시형태에 있어서, 상기 반도체 패키지를 본딩하는 단계는 상기 반도체 패키지의 외부 커넥터를 상기 기판의 제2 면 상에 배치된 컨택 패드에 본딩하는 단계를 포함하고, 상기 반도체 패키지의 외부 커넥터는 상기 본딩 단계 동안에 상기 기판의 각각의 전도성 패드와 접촉한다. 일 실시형태에 있어서, 상기 방법은 상기 본딩 온도에서 상기 반도체 패키지의 휨을 분석하는 단계를 더 포함한다. 일 실시형태에 있어서, 상기 분석하는 단계는 상기 반도체 패키지의 무아레 패턴을 측정하여 분석하는 단계를 포함한다.In one embodiment, a method includes attaching a first side of a substrate to a carrier, bonding a semiconductor package to a second side of the substrate opposite the first side, Wherein a first side of the package has a first curved shape at a bonding temperature and a difference in thermal expansion coefficient (CTE) between the carrier and the substrate causes a second curved shape for the second side of the substrate at the bonding temperature, The first curved shape coincides with the second curved shape. In one embodiment, bonding the semiconductor package comprises bonding an external connector of the semiconductor package to a contact pad disposed on a second side of the substrate, Contacting each conductive pad of the substrate during the step. In an embodiment, the method further comprises analyzing the warping of the semiconductor package at the bonding temperature. In one embodiment, the analyzing step comprises measuring and analyzing a moiré pattern of the semiconductor package.

이상은 당업자가 본 개시내용의 양태를 더 잘 이해할 수 있도록 여러 실시형태의 특징을 개관한 것이다. 당업자라면 동일한 목적을 달성하기 위한 다른 공정 및 구조를 설계 또는 변형하고/하거나 본 명세서에 소개하는 실시형태들의 동일한 효과를 달성하기 위한 기본으로서 본 개시내용을 용이하게 이용할 수 있다고 생각할 것이다. 또한 당업자라면 그러한 등가의 구조가 본 개시내용의 사상 및 범주에서 벗어나지 않는다는 것과, 본 개시내용의 사상 및 범주에서 일탈하는 일없이 다양한 변화, 대체 및 변형이 이루어질 수 있다는 것을 인식할 것이다.The foregoing is a summary of features of the various embodiments to enable those skilled in the art to more fully understand aspects of the disclosure. Those skilled in the art will readily appreciate that the present disclosure can readily be used as a basis for designing or modifying other processes and structures for achieving the same purpose and / or achieving the same effects of the embodiments presented herein. It will also be appreciated by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of this disclosure and that various changes, substitutions and alterations can be made therein without departing from the spirit and scope of the disclosure.

<부기><Bookkeeping>

1. 방법에 있어서,One. In the method,

기판을 캐리어에 부착하는 단계와, Attaching the substrate to a carrier,

제1 반도체 패키지의 제1 표면 상의 외부 커넥터를, 상기 캐리어와 떨어져서 대면하는 상기 기판의 제1 표면 상의 제1 전도성 패드에 정렬시키는 단계와, Aligning an external connector on a first surface of a first semiconductor package with a first conductive pad on a first surface of the substrate facing away from the carrier;

리플로우 공정을 수행하는 단계로서, 상기 기판과 상기 캐리어 간의 열팽창계수(CTE)의 차이가 상기 리플로우 공정 동안에 상기 기판의 제1 표면에 대한 제1 형상을 야기하고, 상기 제1 반도체 패키지의 재료들 간의 CTE의 차이가 상기 리플로우 공정 동안에 상기 제1 반도체 패키지의 제1 표면에 대한 제2 형상을 야기하며, 상기 제1 형상은 상기 제2 형상과 실질적으로 일치하는 것인, 상기 리플로우 공정 수행 단계와, Performing a reflow process, wherein a difference in the coefficient of thermal expansion (CTE) between the substrate and the carrier causes a first shape for the first surface of the substrate during the reflow process, Wherein a difference in CTE between the first surface and the second surface causes a second shape for the first surface of the first semiconductor package during the reflow process and wherein the first shape substantially coincides with the second shape, Performing,

상기 리플로우 공정 후에 상기 기판으로부터 상기 캐리어를 분리하는 단계를 포함하는 방법. And separating the carrier from the substrate after the reflow process.

2. 제1항에 있어서, 상기 기판을 캐리어에 부착하는 단계는 접착층을 사용하여 상기 기판을 상기 캐리어에 부착하는 단계를 포함하는 것인 방법.2. 2. The method of claim 1, wherein attaching the substrate to the carrier comprises attaching the substrate to the carrier using an adhesive layer.

3. 제1항에 있어서, 상기 캐리어는 정전 척(electro-static chuck)이고, 상기 기판을 캐리어에 부착하는 단계는 상기 정전 척에 전압을 공급하는 단계를 포함하는 것인 방법.3. 2. The method of claim 1, wherein the carrier is an electro-static chuck, and wherein attaching the substrate to a carrier comprises applying a voltage to the electrostatic chuck.

4. 제1항에 있어서, 상기 기판은 인쇄 회로 기판(printed circuit board, PCB)인 것인 방법.4. The method of claim 1, wherein the substrate is a printed circuit board (PCB).

5. 제4항에 있어서, 상기 제1 형상과 상기 제2 형상은 만곡 형상인 것인 방법.5. 5. The method of claim 4, wherein the first shape and the second shape are curved.

6. 제1항에 있어서, 상기 리플로우 공정을 수행하는 단계는 상기 제1 반도체 패키지를 상기 기판에 물리적으로 또 전기적으로 결합하는 것인 방법.6. 2. The method of claim 1, wherein performing the reflow process comprises physically and electrically coupling the first semiconductor package to the substrate.

7. 제1항에 있어서, 상기 리플로우 공정을 수행하는 단계 전에, 제2 반도체 패키지의 외부 커넥터를 상기 기판의 제1 표면 상의 제2 전도성 패드에 정렬시키는 단계를 더 포함하고, 상기 리플로우 공정은 상기 제1 반도체 패키지와 상기 제2 반도체 패키지를 상기 기판에 물리적으로 또 전기적으로 결합하는 것인 방법.7. 2. The method of claim 1, further comprising aligning an external connector of a second semiconductor package to a second conductive pad on a first surface of the substrate prior to performing the reflow process, And physically and electrically coupling the first semiconductor package and the second semiconductor package to the substrate.

8. 제1항에 있어서, 상기 기판은 직사각형, 정사각형, 다각형 또는 원형의 형상을 갖는 것인 방법.8. The method of claim 1, wherein the substrate has a rectangular, square, polygonal, or circular shape.

9. 방법에 있어서,9. In the method,

캐리어의 열팽창계수(CTE)를 조정하는 단계와, Adjusting a coefficient of thermal expansion (CTE) of the carrier,

기판의 제1 면을 상기 캐리어에 부착하는 단계로서, 상기 기판은 상기 제1 면과 반대측의 상기 기판의 제2 면 상에 전도성 패드를 갖는 것인, 상기 기판 부착 단계와, Attaching a first side of a substrate to the carrier, wherein the substrate has a conductive pad on a second side of the substrate opposite the first side;

상기 기판의 제2 면 위에 반도체 패키지를 배치하는 단계로서, 상기 기판과 대면하는 상기 반도체 패키지의 제1 면 상의 외부 커넥터가 상기 기판의 각각의 전도성 패드와 정렬되는 것인, 상기 반도체 패키지 배치 단계와, Placing a semiconductor package on a second side of the substrate such that an external connector on a first side of the semiconductor package facing the substrate is aligned with a respective conductive pad of the substrate; ,

상기 기판, 상기 캐리어, 및 상기 반도체 패키지를 가열하는 단계를 포함하고, 상기 반도체 패키지의 제1 면은 상기 가열 단계 동안에 제1 만곡 형상을 가지며, 상기 캐리어의 CTE는 상기 기판의 제2 면이 상기 가열 단계 동안에 제2 만곡 형상을 갖도록 상기 기판의 CTE에 대해 조정되고, 상기 제1 만곡 형상은 상기 제2 만곡 형상과 실질적으로 일치하는 것인 방법. Wherein the first surface of the semiconductor package has a first curved shape during the heating step and the CTE of the carrier is greater than the second surface of the substrate Wherein the first curved shape is adjusted to the CTE of the substrate to have a second curved shape during the heating step, the first curved shape being substantially coincident with the second curved shape.

10. 제9항에 있어서, 상기 기판, 캐리어, 및 반도체 패키지를 가열하는 단계 후에 상기 기판으로부터 상기 캐리어를 분리하는 단계를 더 포함하는 방법.10. 10. The method of claim 9, further comprising separating the carrier from the substrate after heating the substrate, the carrier, and the semiconductor package.

11. 제9항에 있어서, 상기 반도체 패키지의 외부 커넥터는 상기 가열 단계 동안에 상기 기판의 각각의 전도성 패드와 접촉하는 것인 방법.11. 10. The method of claim 9, wherein the external connector of the semiconductor package contacts each conductive pad of the substrate during the heating step.

12. 제9항에 있어서,12. 10. The method of claim 9,

가열 온도에서 상기 반도체 패키지의 휨(warpage)을 분석하는 단계와, Analyzing the warpage of the semiconductor package at a heating temperature,

상기 가열 온도에서 상기 반도체 패키지의 제1 면의 제1 만곡 형상을 결정하는 단계를 더 포함하는 방법. Further comprising determining a first curvature shape of the first surface of the semiconductor package at the heating temperature.

13. 제9항에 있어서, 상기 기판은 인쇄 회로 기판인 것인 방법.13. 10. The method of claim 9, wherein the substrate is a printed circuit board.

14. 제9항에 있어서, 상기 반도체 패키지는,14. 10. The semiconductor package according to claim 9,

반도체 다이와, A semiconductor die,

상기 반도체 다이 주위의 몰딩 재료와, A molding material around the semiconductor die,

상기 반도체 다이에 전기적으로 결합되며, 상기 반도체 다이의 경계를 넘어 연장되는 전도성 피처와, A conductive feature that is electrically coupled to the semiconductor die and extends beyond a boundary of the semiconductor die,

상기 전도성 피처에 전기적으로 결합되는 외부 커넥터를 포함하고, 상기 전도성 피처는 상기 반도체 다이와 상기 외부 커넥터 사이에 있는 것인 방법. And an external connector electrically coupled to the conductive feature, wherein the conductive feature is between the semiconductor die and the external connector.

15. 제14항에 있어서, 상기 전도성 피처는 상기 반도체 다이와 상기 외부 커넥터 사이의 재배선 구조의 재배선층(RDL)인 것인 방법.15. 15. The method of claim 14, wherein the conductive feature is a redistribution layer (RDL) of a rewiring structure between the semiconductor die and the external connector.

16. 제14항에 있어서, 상기 전도성 피처는 상기 반도체 다이와 상기 외부 커넥터 사이의 인터포저의 비아인 것인 방법.16. 15. The method of claim 14, wherein the conductive feature is a via of an interposer between the semiconductor die and the external connector.

17. 방법에 있어서,17. In the method,

기판의 제1 면을 캐리어에 부착하는 단계와, Attaching a first side of the substrate to the carrier,

본딩 온도에서 상기 제1 면과 반대측의 상기 기판의 제2 면에 반도체 패키지를 본딩하는 단계를 포함하고, 상기 기판과 대면하는 상기 반도체 패키지의 제1 면은 상기 본딩 온도에서 제1 만곡 형상을 갖고, 상기 캐리어와 상기 기판 간의 열팽창계수(CTE)의 차이가 상기 본딩 온도에서 상기 기판의 제2 면에 대한 제2 만곡 형상을 야기하며, 상기 제1 만곡 형상은 상기 제2 만곡 형상과 일치하는 것인 방법. Bonding a semiconductor package to a second side of the substrate opposite the first side at a bonding temperature, the first side of the semiconductor package facing the substrate having a first curved shape at the bonding temperature Wherein a difference in the coefficient of thermal expansion (CTE) between the carrier and the substrate causes a second curved shape with respect to the second surface of the substrate at the bonding temperature, the first curved shape conforming to the second curved shape / RTI &gt;

18. 제17항에 있어서, 상기 반도체 패키지를 본딩하는 단계는 상기 반도체 패키지의 외부 커넥터를 상기 기판의 제2 면 상에 배치된 컨택 패드에 본딩하는 단계를 포함하고, 상기 반도체 패키지의 외부 커넥터는 상기 본딩 단계 동안에 상기 기판의 각각의 전도성 패드와 접촉하는 것인 방법.18. 18. The method of claim 17, wherein bonding the semiconductor package comprises bonding an external connector of the semiconductor package to a contact pad disposed on a second side of the substrate, And contacting each conductive pad of the substrate during the step.

19. 제17항에 있어서, 상기 본딩 온도에서 상기 반도체 패키지의 휨을 분석하는 단계를 더 포함하는 방법.19. 18. The method of claim 17, further comprising analyzing warpage of the semiconductor package at the bonding temperature.

20. 제19항에 있어서, 상기 분석하는 단계는 상기 반도체 패키지의 무아레 패턴을 측정하여 분석하는 단계를 포함하는 것인 방법.20. 20. The method of claim 19, wherein analyzing comprises measuring and analyzing a moiré pattern of the semiconductor package.

Claims (10)

방법에 있어서,
기판을 캐리어에 부착하는 단계와,
제1 반도체 패키지의 제1 표면 상의 외부 커넥터를, 상기 캐리어와 떨어져서 대면하는 상기 기판의 제1 표면 상의 제1 전도성 패드에 정렬시키는 단계와,
리플로우 공정을 수행하는 단계로서, 상기 기판과 상기 캐리어 간의 열팽창계수(CTE)의 차이가 상기 리플로우 공정 동안에 상기 기판의 제1 표면에 대한 제1 형상을 야기하고, 상기 제1 반도체 패키지의 재료들 간의 CTE의 차이가 상기 리플로우 공정 동안에 상기 제1 반도체 패키지의 제1 표면에 대한 제2 형상을 야기하며, 상기 제1 형상은 상기 제2 형상과 일치하는 것인, 상기 리플로우 공정 수행 단계와,
상기 리플로우 공정 후에 상기 기판으로부터 상기 캐리어를 분리하는 단계
를 포함하는 방법.
In the method,
Attaching the substrate to a carrier,
Aligning an external connector on a first surface of a first semiconductor package with a first conductive pad on a first surface of the substrate facing away from the carrier;
Performing a reflow process, wherein a difference in the coefficient of thermal expansion (CTE) between the substrate and the carrier causes a first shape for the first surface of the substrate during the reflow process, Wherein a difference in CTE between the first surface and the second surface of the first semiconductor package causes a second shape for the first surface of the first semiconductor package during the reflow process, Wow,
Separating the carrier from the substrate after the reflow process
&Lt; / RTI &gt;
제1항에 있어서, 상기 기판을 캐리어에 부착하는 단계는 접착층을 사용하여 상기 기판을 상기 캐리어에 부착하는 단계를 포함하는 것인 방법.2. The method of claim 1, wherein attaching the substrate to the carrier comprises attaching the substrate to the carrier using an adhesive layer. 제1항에 있어서, 상기 캐리어는 정전 척(electro-static chuck)이고, 상기 기판을 캐리어에 부착하는 단계는 상기 정전 척에 전압을 공급하는 단계를 포함하는 것인 방법.2. The method of claim 1, wherein the carrier is an electro-static chuck, and wherein attaching the substrate to a carrier comprises applying a voltage to the electrostatic chuck. 제1항에 있어서, 상기 리플로우 공정을 수행하는 단계는 상기 제1 반도체 패키지를 상기 기판에 물리적으로 또 전기적으로 결합하는 것인 방법.2. The method of claim 1, wherein performing the reflow process comprises physically and electrically coupling the first semiconductor package to the substrate. 제1항에 있어서, 상기 리플로우 공정을 수행하는 단계 전에, 제2 반도체 패키지의 외부 커넥터를 상기 기판의 제1 표면 상의 제2 전도성 패드에 정렬시키는 단계를 더 포함하고, 상기 리플로우 공정은 상기 제1 반도체 패키지와 상기 제2 반도체 패키지를 상기 기판에 물리적으로 또 전기적으로 결합하는 것인 방법.2. The method of claim 1, further comprising aligning an external connector of a second semiconductor package to a second conductive pad on a first surface of the substrate prior to performing the reflow process, And physically and electrically coupling the first semiconductor package and the second semiconductor package to the substrate. 방법에 있어서,
캐리어의 열팽창계수(CTE)를 조정하는 단계와,
기판의 제1 면을 상기 캐리어에 부착하는 단계로서, 상기 기판은 상기 제1 면과 반대측의 상기 기판의 제2 면 상에 전도성 패드를 갖는 것인, 상기 기판 부착 단계와,
상기 기판의 제2 면 위에 반도체 패키지를 배치하는 단계로서, 상기 기판과 대면하는 상기 반도체 패키지의 제1 면 상의 외부 커넥터가 상기 기판의 각각의 전도성 패드와 정렬되는 것인, 상기 반도체 패키지 배치 단계와,
상기 기판, 상기 캐리어, 및 상기 반도체 패키지를 가열하는 단계
를 포함하고, 상기 반도체 패키지의 제1 면은 상기 가열 단계 동안에 제1 만곡 형상을 가지며, 상기 캐리어의 CTE는 상기 기판의 제2 면이 상기 가열 단계 동안에 제2 만곡 형상을 갖도록 상기 기판의 CTE에 대해 조정되고, 상기 제1 만곡 형상은 상기 제2 만곡 형상과 일치하는 것인 방법.
In the method,
Adjusting a coefficient of thermal expansion (CTE) of the carrier,
Attaching a first side of a substrate to the carrier, wherein the substrate has a conductive pad on a second side of the substrate opposite the first side;
Placing a semiconductor package on a second side of the substrate such that an external connector on a first side of the semiconductor package facing the substrate is aligned with a respective conductive pad of the substrate; ,
Heating the substrate, the carrier, and the semiconductor package
Wherein the first side of the semiconductor package has a first curved shape during the heating step and the CTE of the carrier is less than the CTE of the substrate so that the second side of the substrate has a second curved shape during the heating step And the first curved shape coincides with the second curved shape.
제6항에 있어서, 상기 기판, 캐리어, 및 반도체 패키지를 가열하는 단계 후에 상기 기판으로부터 상기 캐리어를 분리하는 단계를 더 포함하는 방법.7. The method of claim 6 further comprising separating the carrier from the substrate after heating the substrate, the carrier, and the semiconductor package. 제6항에 있어서, 상기 반도체 패키지의 외부 커넥터는 상기 가열 단계 동안에 상기 기판의 각각의 전도성 패드와 접촉하는 것인 방법.7. The method of claim 6, wherein the external connector of the semiconductor package contacts each conductive pad of the substrate during the heating step. 제6항에 있어서,
가열 온도에서 상기 반도체 패키지의 휨(warpage)을 분석하는 단계와,
상기 가열 온도에서 상기 반도체 패키지의 제1 면의 제1 만곡 형상을 결정하는 단계
를 더 포함하는 방법.
The method according to claim 6,
Analyzing the warpage of the semiconductor package at a heating temperature,
Determining a first curved shape of the first surface of the semiconductor package at the heating temperature
&Lt; / RTI &gt;
방법에 있어서,
기판의 제1 면을 캐리어에 부착하는 단계와,
본딩 온도에서 상기 제1 면과 반대측의 상기 기판의 제2 면에 반도체 패키지를 본딩하는 단계
를 포함하고, 상기 기판과 대면하는 상기 반도체 패키지의 제1 면은 상기 본딩 온도에서 제1 만곡 형상을 갖고, 상기 캐리어와 상기 기판 간의 열팽창계수(CTE)의 차이가 상기 본딩 온도에서 상기 기판의 제2 면에 대한 제2 만곡 형상을 야기하며, 상기 제1 만곡 형상은 상기 제2 만곡 형상과 일치하는 것인 방법.
In the method,
Attaching a first side of the substrate to the carrier,
Bonding the semiconductor package to a second side of the substrate opposite the first side at a bonding temperature
Wherein a first surface of the semiconductor package facing the substrate has a first curved shape at the bonding temperature and a difference in thermal expansion coefficient (CTE) between the carrier and the substrate is greater than a difference Wherein the first curved shape coincides with the second curved shape.
KR1020170164999A 2017-04-07 2017-12-04 Semiconductor structures and methods KR102051055B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762483198P 2017-04-07 2017-04-07
US62/483,198 2017-04-07
US15/674,388 2017-08-10
US15/674,388 US11304290B2 (en) 2017-04-07 2017-08-10 Semiconductor structures and methods

Publications (2)

Publication Number Publication Date
KR20180113901A true KR20180113901A (en) 2018-10-17
KR102051055B1 KR102051055B1 (en) 2019-12-02

Family

ID=63711435

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170164999A KR102051055B1 (en) 2017-04-07 2017-12-04 Semiconductor structures and methods

Country Status (3)

Country Link
KR (1) KR102051055B1 (en)
CN (1) CN108695175B (en)
TW (1) TWI651824B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200088779A (en) * 2019-01-15 2020-07-23 취안저우 산안 세미컨덕터 테크놀러지 컴퍼니 리미티드 Led device and light emitting apparatus including the same
KR20210050427A (en) * 2019-10-27 2021-05-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device, electronic device including the same, and manufacturing method thereof
US11848304B2 (en) 2019-10-18 2023-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11195823B2 (en) * 2019-02-01 2021-12-07 Nanya Technology Corporation Semiconductor package and manufacturing method thereof
US11031353B2 (en) * 2019-08-23 2021-06-08 Micron Technology, Inc. Warpage control in microelectronic packages, and related assemblies and methods
JP2022046249A (en) * 2020-09-10 2022-03-23 キオクシア株式会社 Semiconductor storage device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990082780A (en) * 1998-04-28 1999-11-25 포만 제프리 엘 Methods and apparatus for balancing differences in thermal expansion in electronic packaging
JP2010103270A (en) * 2008-10-23 2010-05-06 Nec Corp Semiconductor device and manufacturing method thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1753160A (en) * 2004-09-21 2006-03-29 中华映管股份有限公司 Joining technology of chip-glass, hot press technology and its device
CN101278393A (en) * 2005-09-29 2008-10-01 日本电气株式会社 Semiconductor package, substrate, electronic device using such semiconductor package or substrate, and method for correcting warping of semiconductor package
US9393633B2 (en) * 2009-09-01 2016-07-19 Globalfoundries Inc. Method of joining a chip on a substrate
US8381966B2 (en) * 2011-02-28 2013-02-26 International Business Machines Corporation Flip chip assembly method employing post-contact differential heating
US9030022B2 (en) * 2011-10-24 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Packages and methods for forming the same
US9570421B2 (en) * 2013-11-14 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking of multiple dies for forming three dimensional integrated circuit (3DIC) structure
US9379032B2 (en) * 2014-09-15 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packaging having warpage control and methods of forming same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990082780A (en) * 1998-04-28 1999-11-25 포만 제프리 엘 Methods and apparatus for balancing differences in thermal expansion in electronic packaging
JP2010103270A (en) * 2008-10-23 2010-05-06 Nec Corp Semiconductor device and manufacturing method thereof

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200088779A (en) * 2019-01-15 2020-07-23 취안저우 산안 세미컨덕터 테크놀러지 컴퍼니 리미티드 Led device and light emitting apparatus including the same
US11848304B2 (en) 2019-10-18 2023-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
KR20210050427A (en) * 2019-10-27 2021-05-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device, electronic device including the same, and manufacturing method thereof
US11569156B2 (en) 2019-10-27 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, electronic device including the same, and manufacturing method thereof

Also Published As

Publication number Publication date
KR102051055B1 (en) 2019-12-02
CN108695175A (en) 2018-10-23
TW201838118A (en) 2018-10-16
TWI651824B (en) 2019-02-21
CN108695175B (en) 2020-06-26

Similar Documents

Publication Publication Date Title
US11652063B2 (en) Semiconductor package and method of forming the same
US11508695B2 (en) Redistribution layers in semiconductor packages and methods of forming same
KR102051055B1 (en) Semiconductor structures and methods
US10304801B2 (en) Redistribution layers in semiconductor packages and methods of forming same
CN109216219B (en) Semiconductor package with double-sided metal wiring
US10515922B2 (en) Multi-chip integrated fan-out package
US20220246590A1 (en) Integrated Fan-Out Packages and Methods of Forming the Same
US11304290B2 (en) Semiconductor structures and methods
KR102453507B1 (en) Semiconductor die package and method of manufacture
KR20150145165A (en) Semiconductor device and method
WO2015143023A1 (en) Stacked die integrated circuit
US11158619B2 (en) Redistribution layers in semiconductor packages and methods of forming same
US10008469B2 (en) Wafer-level packaging using wire bond wires in place of a redistribution layer
US11217552B2 (en) Multi-chip integrated fan-out package
TWI770609B (en) Semiconductor structure and mthhod of forming the same
TW202201583A (en) Method of fabricating package structure
KR102611254B1 (en) Semiconductor die package and method of manufacture
US20220384304A1 (en) High Efficiency Heat Dissipation Using Discrete Thermal Interface Material Films
CN115497913A (en) Semiconductor package and method of manufacturing the same
US20240063083A1 (en) Redistribution Structure with Warpage Tuning Layer
US20230395431A1 (en) Semiconductor Packages and Methods of Forming
CN115440679A (en) Method for forming semiconductor structure and semiconductor structure

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant