KR20180101698A - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
KR20180101698A
KR20180101698A KR1020170099161A KR20170099161A KR20180101698A KR 20180101698 A KR20180101698 A KR 20180101698A KR 1020170099161 A KR1020170099161 A KR 1020170099161A KR 20170099161 A KR20170099161 A KR 20170099161A KR 20180101698 A KR20180101698 A KR 20180101698A
Authority
KR
South Korea
Prior art keywords
patterns
gate
wiring
internal wiring
logic
Prior art date
Application number
KR1020170099161A
Other languages
Korean (ko)
Other versions
KR102370024B1 (en
Inventor
서재우
신영수
Original Assignee
삼성전자주식회사
한국과학기술원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 한국과학기술원 filed Critical 삼성전자주식회사
Priority to US15/908,253 priority Critical patent/US10593701B2/en
Priority to CN201810177416.9A priority patent/CN108538829B/en
Publication of KR20180101698A publication Critical patent/KR20180101698A/en
Priority to US16/791,964 priority patent/US11398499B2/en
Application granted granted Critical
Publication of KR102370024B1 publication Critical patent/KR102370024B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region

Abstract

The present invention relates to a semiconductor element including a field effect transistor having improved degree of integration and a manufacturing method thereof. More specifically, the semiconductor element comprises: a substrate including a PMOSFET region and a NMOSFET region; first active patterns in the PMOSFET region; second active patterns in the NMOSFET region; gate electrodes crossing the first and second active patterns and extending in a first direction; and first wires disposed on the gate electrodes and extending in the first direction. The gate electrodes are arranged in a second direction crossing the first direction according to a first pitch (P1). The first wires are arranged in the second direction according to a second pitch (P2). The second pitch (P2) is smaller than the first pitch (P1).

Description

반도체 소자 및 그의 제조 방법{Semiconductor device and method for manufacturing the same}Technical Field [0001] The present invention relates to a semiconductor device and a manufacturing method thereof,

본 발명은 반도체 소자 및 그의 제조 방법에 관한 것으로, 더욱 상세하게는 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그의 제조 방법에 관한 것이다.The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to a semiconductor device including a field effect transistor and a method of manufacturing the same.

소형화, 다기능화 및/또는 낮은 제조 단가 등의 특성들로 인하여 반도체 소자는 전자 산업에서 중요한 요소로 각광 받고 있다. 반도체 소자들은 논리 데이터를 저장하는 반도체 기억 소자, 논리 데이터를 연산 처리하는 반도체 논리 소자, 및 기억 요소와 논리 요소를 포함하는 하이브리드(hybrid) 반도체 소자 등으로 구분될 수 있다. 전자 산업이 고도로 발전함에 따라, 반도체 소자의 특성들에 대한 요구가 점점 증가되고 있다. 예컨대, 반도체 소자에 대한 고 신뢰성, 고속화 및/또는 다기능화 등에 대하여 요구가 점점 증가되고 있다. 이러한 요구 특성들을 충족시키기 위하여 반도체 소자 내 구조들은 점점 복잡해지고 있으며, 또한, 반도체 소자는 점점 고집적화 되고 있다.Due to their small size, versatility and / or low manufacturing cost, semiconductor devices are becoming an important element in the electronics industry. Semiconductor devices can be classified into a semiconductor memory element for storing logic data, a semiconductor logic element for processing logic data, and a hybrid semiconductor element including a memory element and a logic element. As the electronics industry develops, there is a growing demand for properties of semiconductor devices. For example, there is an increasing demand for high reliability, high speed and / or multifunctionality for semiconductor devices. In order to meet these requirements, structures in semiconductor devices are becoming increasingly complex, and semiconductor devices are becoming more and more highly integrated.

본 발명이 해결하고자 하는 과제는, 집적도가 향상된 전계 효과 트랜지스터를 포함하는 반도체 소자를 제공하는데 있다.SUMMARY OF THE INVENTION It is an object of the present invention to provide a semiconductor device including a field effect transistor having an improved integration degree.

본 발명이 해결하고자 하는 과제는, 집적도가 향상된 전계 효과 트랜지스터를 포함하는 반도체 소자의 제조 방법을 제공하는데 있다.SUMMARY OF THE INVENTION It is an object of the present invention to provide a method of manufacturing a semiconductor device including a field effect transistor having an improved integration degree.

본 발명의 개념에 따른, 반도체 소자는, PMOSFET 영역 및 NMOSFET 영역을 포함하는 기판; 상기 PMOSFET 영역 상의 제1 활성 패턴들; 상기 NMOSFET 영역 상의 제2 활성 패턴들; 상기 제1 및 제2 활성 패턴들을 가로지르며 제1 방향으로 연장되는 게이트 전극들; 및 상기 게이트 전극들 상에 배치되고, 상기 제1 방향으로 연장되는 제1 배선들을 포함할 수 있다. 상기 게이트 전극들은 제1 피치(P1)에 따라 상기 제1 방향에 교차하는 제2 방향으로 배열되고, 상기 제1 배선들은 제2 피치(P2)에 따라 상기 제2 방향으로 배열되며, 상기 제2 피치(P2)는 상기 제1 피치(P1)보다 작을 수 있다.According to a concept of the present invention, a semiconductor device includes: a substrate including a PMOSFET region and an NMOSFET region; First active patterns on the PMOSFET region; Second active patterns on the NMOSFET region; Gate electrodes extending in a first direction across the first and second active patterns; And first wirings disposed on the gate electrodes and extending in the first direction. The gate electrodes are arranged in a second direction crossing the first direction according to a first pitch P1 and the first wirings are arranged in the second direction in accordance with a second pitch P2, The pitch P2 may be smaller than the first pitch P1.

본 발명의 다른 개념에 따른, 반도체 소자는, 기판 상의 제1 로직 셀 및 제2 로직 셀을 포함할 수 있다. 상기 제1 로직 셀 및 상기 제2 로직 셀은 서로 동일한 로직 회로를 포함하고, 각각의 상기 제1 및 제2 로직 셀들은: 상기 PMOSFET 영역 및 상기 NMOSFET 영역을 가로지르며 제1 방향으로 연장되는 게이트 전극; 및 상기 게이트 전극 상에 배치되고, 상기 제1 방향으로 연장되는 내부 배선을 포함할 수 있다. 상기 내부 배선은 상기 로직 회로를 구성하는 배선이며, 상기 제1 로직 셀의 상기 내부 배선이 상기 제1 로직 셀의 상기 게이트 전극으로부터 오프셋된 거리는, 상기 제2 로직 셀의 상기 내부 배선이 상기 제2 로직 셀의 상기 게이트 전극으로부터 오프셋된 거리와 다를 수 있다.According to another aspect of the present invention, a semiconductor device may include a first logic cell and a second logic cell on a substrate. Wherein the first logic cell and the second logic cell comprise the same logic circuit, each of the first and second logic cells comprising: a gate electrode extending in the first direction across the PMOSFET region and the NMOSFET region; ; And an internal wiring disposed on the gate electrode and extending in the first direction. Wherein the internal wiring is a wiring constituting the logic circuit and a distance offset of the internal wiring of the first logic cell from the gate electrode of the first logic cell is determined by the distance between the internal wiring of the second logic cell The distance offset from the gate electrode of the logic cell.

본 발명의 또 다른 개념에 따른, 반도체 소자의 제조 방법은, 반도체 소자의 레이아웃을 설계하는 것; 및 상기 레이아웃을 이용하여 기판 상에 패턴들을 형성하는 것을 포함할 수 있다. 상기 레이아웃을 설계하는 것은: 표준 셀들을 배치하는 것; 적어도 하나의 상기 표준 셀들 내의 내부 배선 패턴을 배선 패턴 트랙들에 맞추어 재정렬하는 것; 및 상기 배선 패턴 트랙들에 맞추어 라우팅 패턴들을 배치하여, 표준 셀들을 라우팅하는 것을 포함할 수 있다.According to still another aspect of the present invention, a method of manufacturing a semiconductor device includes: designing a layout of a semiconductor device; And forming the patterns on the substrate using the layout. Designing the layout may include: placing standard cells; Rearranging the internal wiring patterns in at least one of the standard cells to the wiring pattern tracks; And routing the routing patterns to the wiring pattern tracks to route the standard cells.

본 발명에 따른 반도체 소자에 있어서, 배선들간의 최소 피치가 게이트 전극들간의 최소 피치보다 작을 수 있다. 이로써 로직 셀 내의 배선들의 패턴 밀도가 증가되어 반도체 소자의 집적도 및 전기적 특성을 향상시킬 수 있다.In the semiconductor device according to the present invention, the minimum pitch between the wirings may be smaller than the minimum pitch between the gate electrodes. As a result, the pattern density of the wirings in the logic cell can be increased to improve the integration and electrical characteristics of the semiconductor device.

도 1은 본 발명의 실시예들에 따른 반도체 설계를 수행하기 위한 컴퓨터 시스템을 보여주는 블록도이다.
도 2는 본 발명의 실시예들에 따른 반도체 소자의 설계 및 제조 방법을 보여주는 순서도이다.
도 3은 도 2의 레이아웃 설계 단계를 더 구체적으로 설명하기 위한 것으로, 본 발명의 실시예들에 따른 표준 셀들의 배치 및 라우팅을 보여주는 순서도이다.
도 4 내지 도 6은 도 3의 표준 셀들의 배치 및 라우팅을 설명하기 위한 본 발명의 일 실시예에 따른 레이아웃들이다.
도 7은 본 발명의 실시예들에 따른 재정렬 단계가 생략된 경우의 레이아웃이다.
도 8a는 본 발명의 실시예들에 따른 표준 셀의 예시적인 회로도이다.
도 8b는 도 8a의 회로도에 대응하는 표준 셀 레이아웃이다.
도 9 내지 도 11은 도 3의 표준 셀들의 배치 및 라우팅을 설명하기 위한 본 발명의 일 실시예에 따른 레이아웃들이다.
도 12 및 도 13는 각각 도 9 및 도 10의 내부 배선 패턴과 그와 연결되는 제1 배선 패턴들을 확대한 평면도이다.
도 14은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 15a 내지 도 15f는 각각 도 1의 A-A'선, B-B'선, C-C'선, D-D'선, E-E'선 및 F-F'선에 따른 단면도들이다.
도 16, 17 및 19는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 평면도들이다.
도 17a, 도 19a 및 도 21a는 각각 도 16, 17 및 19의 A-A'선에 대응하는 단면도들이다.
도 17b, 도 19b 및 도 21b는 각각 도 16, 17 및 19의 B-B'선에 대응하는 단면도들이다.
도 19c 및 도 21c는 각각 도 18 및 19의 C-C'선에 대응하는 단면도들이다.
도 19d 및 도 21d는 각각 도 18 및 19의 D-D'선에 대응하는 단면도들이다.
도 22은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 23는 도 22의 A-A'선에 따른 단면도이다.
1 is a block diagram illustrating a computer system for performing semiconductor design according to embodiments of the present invention.
2 is a flowchart showing a method of designing and manufacturing a semiconductor device according to embodiments of the present invention.
3 is a flow chart illustrating placement and routing of standard cells according to embodiments of the present invention to more specifically illustrate the layout design steps of FIG.
4 to 6 are layouts according to an embodiment of the present invention for explaining the placement and routing of the standard cells of FIG.
7 is a layout in the case where the rearrangement step according to the embodiments of the present invention is omitted.
8A is an exemplary circuit diagram of a standard cell according to embodiments of the present invention.
Fig. 8B is a standard cell layout corresponding to the circuit diagram of Fig. 8A.
Figs. 9-11 are layouts according to an embodiment of the present invention to illustrate the placement and routing of the standard cells of Fig.
12 and 13 are enlarged plan views of the internal wiring patterns of FIGS. 9 and 10 and the first wiring patterns connected thereto, respectively.
14 is a plan view illustrating a semiconductor device according to embodiments of the present invention.
15A to 15F are cross-sectional views taken along line A-A ', line B-B', line C-C ', line D-D', line E-E 'and line F-F', respectively.
16, 17 and 19 are plan views for explaining a method of manufacturing a semiconductor device according to embodiments of the present invention.
Figs. 17A, 19A and 21A are cross-sectional views corresponding to the line A-A 'in Figs. 16, 17 and 19, respectively.
Figs. 17B, 19B and 21B are cross-sectional views corresponding to lines B-B 'in Figs. 16, 17 and 19, respectively.
Figs. 19C and 21C are cross-sectional views corresponding to line C-C 'in Figs. 18 and 19, respectively.
Figs. 19D and 21D are cross-sectional views corresponding to the line D-D 'in Figs. 18 and 19, respectively.
22 is a plan view for explaining a semiconductor device according to embodiments of the present invention.
23 is a cross-sectional view taken along the line A-A 'in Fig.

도 1은 본 발명의 실시예들에 따른 반도체 설계를 수행하기 위한 컴퓨터 시스템을 보여주는 블록도이다. 도 1을 참조하면, 컴퓨터 시스템은 CPU(10), 워킹 메모리(30), 입출력 장치(50), 및 보조 기억 장치(70)를 포함할 수 있다. 여기서, 컴퓨터 시스템은 본 발명의 레이아웃 설계를 위한 전용 장치로 제공될 수 있다. 나아가, 상기 컴퓨터 시스템은 다양한 설계 및 검증 시뮬레이션 프로그램을 구비할 수 있다.1 is a block diagram illustrating a computer system for performing semiconductor design according to embodiments of the present invention. 1, a computer system may include a CPU 10, a working memory 30, an input / output device 50, and an auxiliary memory device 70. [ Here, the computer system may be provided as a dedicated apparatus for the layout design of the present invention. Further, the computer system may include various design and verification simulation programs.

CPU(10)는 컴퓨터 시스템에서 수행될 소프트웨어(응용 프로그램, 운영 체제, 장치 드라이버들)를 실행할 수 있다. CPU(10)는 워킹 메모리(30)에 로드되는 운영 체제를 실행할 수 있다. CPU(10)는 상기 운영 체제 기반에서 구동될 다양한 응용 프로그램들(Application Program)을 실행할 수 있다. 예를 들면, CPU(10)는 워킹 메모리(30)에 로드된 레이아웃 디자인 툴(32), 배치, 재정렬 및 라우팅 툴(34), 및/또는 OPC 툴(36)을 실행할 수 있다.The CPU 10 may execute software (application programs, operating systems, device drivers) to be executed in the computer system. The CPU 10 may execute an operating system loaded into the working memory 30. The CPU 10 can execute various application programs to be driven based on the operating system. For example, the CPU 10 may execute the layout design tool 32, placement, reordering and routing tool 34, and / or the OPC tool 36 loaded into the working memory 30.

워킹 메모리(30)에는 상기 운영 체제나 상기 응용 프로그램들이 로드될 수 있다. 컴퓨터 시스템의 부팅시에 보조 기억 장치(70)에 저장된 상기 운영 체제 이미지(미도시됨)가 부팅 시퀀스에 의거하여 워킹 메모리(30)로 로드될 수 있다. 상기 운영 체제에 의해서 컴퓨터 시스템의 제반 입출력 동작들이 지원될 수 있다. 마찬가지로, 사용자의 의하여 선택되거나 기본적인 서비스 제공을 위해서 상기 응용 프로그램들이 워킹 메모리(30)에 로드될 수 있다.The operating system or the application programs may be loaded into the working memory 30. The operating system image (not shown) stored in the auxiliary memory device 70 at the time of booting of the computer system can be loaded into the working memory 30 based on the boot sequence. All of the input / output operations of the computer system can be supported by the operating system. Likewise, the application programs may be loaded into the working memory 30 for user selection or for basic service provisioning.

레이아웃 설계를 위한 레이아웃 디자인 툴(32)이 보조 기억 장치(70)로부터 워킹 메모리(30)에 로드될 수 있다. 설계된 표준 셀들을 배치하고, 배치된 표준 셀들 내의 내부 배선 패턴을 재정렬하고, 및 배치된 표준 셀들을 라우팅하는 배치, 재정렬 및 라우팅 툴(34)이 보조 기억 장치(70)로부터 워킹 메모리(30)에 로드될 수 있다. 설계된 레이아웃 데이터에 대해서 광 근접 보정(Optical Proximity Correction: OPC)을 수행하는 OPC 툴(36)이 보조 기억 장치(70)로부터 워킹 메모리(30)에 로드될 수 있다.The layout design tool 32 for layout design can be loaded into the working memory 30 from the auxiliary memory device 70. [ A rearrangement and routing tool 34 for routing the designed standard cells, rearranging the internal wiring patterns in the placed standard cells, and routing the arranged standard cells, is provided from the auxiliary memory 70 to the working memory 30 Can be loaded. An OPC tool 36 for performing optical proximity correction (OPC) on the designed layout data can be loaded from the auxiliary memory device 70 into the working memory 30.

레이아웃 디자인 툴(32)은 특정 레이아웃 패턴들의 형태 및 위치를 디자인 룰에 의해서 정의된 것과 다르게 변경할 수 있는 바이어스 기능을 구비할 수 있다. 그리고 레이아웃 디자인 툴(32)은 변경된 바이어스 데이터 조건에서 설계 규칙 검사(Design Rule Check: DRC)를 수행할 수 있다. 워킹 메모리(30)는 SRAM(Static Random Access Memory)이나 DRAM(Dynamic Random Access Memory)과 같은 휘발성 메모리이거나, PRAM, MRAM, ReRAM, FRAM, NOR 플래시 메모리 등의 비휘발성 메모리일 수 있다.The layout design tool 32 may have a bias function capable of changing the shape and position of specific layout patterns to those defined by the design rule. The layout design tool 32 may perform a design rule check (DRC) under the changed bias data condition. The working memory 30 may be a volatile memory such as a static random access memory (SRAM) or a dynamic random access memory (DRAM), or a nonvolatile memory such as a PRAM, an MRAM, a ReRAM, a FRAM, and a NOR flash memory.

입출력 장치(50)는 사용자 인터페이스 장치들로부터의 사용자 입력 및 출력을 제어한다. 예를 들면, 입출력 장치(50)는 키보드나 모니터를 구비하여 설계자로부터 정보를 입력받을 수 있다. 입출력 장치(50)를 사용하여 설계자는 조정된 동작 특성을 요구하는 반도체 영역이나 데이터 경로들에 대한 정보를 입력받을 수 있다. 그리고 입출력 장치(50)를 통해서 OPC 툴(36)의 처리 과정 및 처리 결과 등이 표시될 수 있다. The input / output device 50 controls user input and output from the user interface devices. For example, the input / output device 50 may include a keyboard or a monitor to receive information from a designer. By using the input / output device 50, the designer can receive information on the semiconductor region or the data paths requiring the adjusted operating characteristics. The processing and processing results of the OPC tool 36 can be displayed through the input / output device 50.

보조 기억 장치(70)는 컴퓨터 시스템의 저장 매체(Storage Medium)로서 제공된다. 보조 기억 장치(70)는 응용 프로그램들(Application Program), 운영 체제 이미지 및 각종 데이터를 저장할 수 있다. 보조 기억 장치(70)는 메모리 카드(MMC, eMMC, SD, MicroSD 등)나 하드디스크 드라이브(HDD)로 제공될 수도 있다. 보조 기억 장치(70)는 대용량의 저장 능력을 가지는 낸드 플래시 메모리(NAND-type Flash memory)를 포함할 수 있다. 또는, 보조 기억 장치(70)는 PRAM, MRAM, ReRAM, FRAM 등의 차세대 불휘발성 메모리나 NOR 플래시 메모리를 포함할 수 있다.The auxiliary storage device 70 is provided as a storage medium of a computer system. The auxiliary storage device 70 may store an application program, an operating system image, and various data. The auxiliary storage device 70 may be provided as a memory card (MMC, eMMC, SD, MicroSD, etc.) or a hard disk drive (HDD). The auxiliary storage device 70 may include a NAND-type Flash memory having a large storage capacity. Alternatively, the auxiliary memory device 70 may include a next-generation non-volatile memory such as PRAM, MRAM, ReRAM, and FRAM, or a NOR flash memory.

시스템 인터커넥터(90)는 컴퓨터 시스템의 내부에서 네트워크를 제공하기 위한 시스템 버스(System Bus)일 수 있다. 시스템 인터커넥터(90)를 통해서 CPU(10), 워킹 메모리(30), 입출력 장치(50), 및 보조 기억 장치(70)가 전기적으로 연결되고 상호 데이터를 교환할 수 있다. 하지만, 시스템 인터커넥터(90)의 구성은 상술한 설명에만 국한되지 않으며, 효율적인 관리를 위한 중재 수단들을 더 포함할 수 있다. The system interconnect 90 may be a system bus for providing a network within a computer system. The CPU 10, the working memory 30, the input / output device 50, and the auxiliary memory device 70 are electrically connected through the system interconnect 90 and exchange data with each other. However, the configuration of the system interconnect 90 is not limited to the above description, and may further include arbitration means for efficient management.

도 2는 본 발명의 실시예들에 따른 반도체 소자의 설계 및 제조 방법을 보여주는 순서도이다.2 is a flowchart showing a method of designing and manufacturing a semiconductor device according to embodiments of the present invention.

도 2를 참조하면, 도 1을 참조하여 설명한 컴퓨터 시스템을 이용하여 반도체 집적회로의 상위 수준 설계(High Level Design)가 수행될 수 있다(S10). 상위 수준 설계란, 설계 대상 집적회로를 컴퓨터 언어의 상위 언어로 기술하는 것을 의미할 수 있다. 예를 들면, C언어와 같은 상위 언어를 사용할 수 있다. 상위 수준 설계에 의해서 설계된 회로들은 레지스터 전송 레벨(Register Transfer Level: RTL) 코딩이나 시뮬레이션에 의해서 보다 구체적으로 표현될 수 있다. 나아가, 레지스터 전송 레벨 코딩에 의해서 생성된 코드는 넷리스트(Netlist)로 변환되어 전체 반도체 소자로 합성될 수 있다. 합성된 스키매틱 회로는 시뮬레이션 툴에 의해서 검증되고, 검증 결과에 따라 조정 과정이 동반될 수 있다.Referring to FIG. 2, a high level design of a semiconductor integrated circuit can be performed using the computer system described with reference to FIG. 1 (S10). Higher-level design can refer to describing the integrated circuit being designed as a higher-level language of the computer language. For example, you can use an upper language like C language. Circuits designed by higher level design can be more specifically expressed by register transfer level (RTL) coding or simulation. Further, the code generated by the register transfer level coding can be converted into a netlist and synthesized into an entire semiconductor device. The synthesized schematic circuit is verified by the simulation tool and the adjustment process can be accompanied by the verification result.

논리적으로 완성된 반도체 접적회로를 실리콘 기판 위에 구현하기 위한 레이아웃 설계가 수행될 수 있다(S20). 예를 들면, 상위 수준 설계에서 합성된 스키매틱 회로 또는 그에 대응하는 넷리스트를 참조하여 레이아웃 설계가 수행될 수 있다. 레이아웃 설계는 규정된 디자인 룰에 따라 셀 라이브러리(Cell Library)에서 제공되는 다양한 표준 셀들을 배치(Place)하고 연결하는 라우팅(Routing) 절차를 포함할 수 있다.A layout design for realizing a logic completed semiconductor contact circuit on a silicon substrate can be performed (S20). For example, a layout design can be performed by referring to a schematic circuit synthesized in a high-level design or a corresponding netlist. The layout design may include a routing procedure for placing and connecting various standard cells provided in a cell library according to prescribed design rules.

레이아웃 설계를 위한 셀 라이브러리에는 표준 셀의 동작, 속도 그리고 소모 전력등에 대한 정보도 포함될 수 있다. 특정 게이트 레벨의 회로를 레이아웃으로 표현하기 위한 셀 라이브러리가 대부분의 레이아웃 설계 툴에 정의되어 있다. 레이아웃은 실제로 실리콘 기판 상에 형성될 트랜지스터 및 금속 배선들을 구성하기 위한 패턴의 형태나 사이즈를 정의하는 절차일 수 있다. 예를 들면, 인버터 회로를 실제로 실리콘 기판 상에 형성시키기 위하여, PMOS, NMOS, N-WELL, 게이트 전극, 및 이들 상에 배치될 금속 배선들과 같은 레이아웃 패턴들을 적절히 배치할 수 있다. 이를 위하여 우선 셀 라이브러리에 이미 정의된 인버터들 중에서 적합한 것을 검색하여 선택할 수 있다.The cell library for layout design can also include information on the operation, speed, and power consumption of the standard cell. A cell library for expressing a circuit of a specific gate level in layout is defined in most layout design tools. The layout may be a procedure that actually defines the shape and size of the pattern to form the transistors and metal lines to be formed on the silicon substrate. For example, in order to actually form an inverter circuit on a silicon substrate, layout patterns such as PMOS, NMOS, N-WELL, gate electrodes, and metal wirings to be disposed thereon can be appropriately arranged. For this purpose, it is possible to search for and select an appropriate one of the inverters already defined in the cell library.

선택 및 배치된 표준 셀들에 대한 라우팅이 수행될 수 있다. 구체적으로, 배치된 표준 셀들 상에 상위 배선들(라우팅 패턴들)이 배치될 수 있다. 라우팅을 수행함으로써 배치된 표준 셀들을 설계에 맞게 서로 연결시킬 수 있다. 표준 셀들의 배치 및 라우팅은 배치, 재정렬 및 라우팅 툴(34)에 의해 자동적으로 수행될 수 있다.Routing for selected and deployed standard cells may be performed. Specifically, upper wires (routing patterns) may be disposed on standard cells arranged. By routing, standard cells placed can be connected to each other according to the design. The placement and routing of standard cells can be performed automatically by the placement, reordering and routing tool 34. [

라우팅 이후에는 디자인 룰에 위배되는 부분이 존재하는지 레이아웃에 대한 검증이 수행될 수 있다. 검증하는 항목으로는, 레이아웃이 디자인 룰에 맞게 제대로 되었는지 검증하는 DRC(Design Rule Check), 내부에서 전기적으로 끊어짐 없이 제대로 되었는지 검증하는 ERC(Electronical Rule Check), 및 레이아웃이 게이트 수준 네트리스트와 일치하는지 확인하는 LVS(Layout vs Schematic) 등을 포함할 수 있다.After routing, verification of the layout can be performed to determine whether there are any contradictory parts of the design rule. The items to be verified include DRC (Design Rule Check) for verifying that the layout is correct according to the design rules, ERC (Electronical Rule Check) for verifying that the layout has been properly electrically disconnected, and whether the layout matches the gate- LVS (Layout vs Schematic) to confirm the image.

광 근접 보정(Optical Proximity Correction: OPC) 절차가 수행될 수 있다(S30). 포토리소그래피 공정을 이용하여, 레이아웃 설계를 통해서 얻어진 레이아웃 패턴들을 실리콘 기판 상에 구현할 수 있다. 이때, 광 근접 보정은 포토리소그래피 공정에서 발생할 수 있는 왜곡 현상을 보정하기 위한 기술일 수 있다. 즉 광 근접 보정을 통하여, 레이아웃된 패턴을 이용한 노광시에 빛의 특성 때문에 발생하는 굴절이나 공정 효과 등의 왜곡 현상을 보정할 수 있다. 광 근접 보정을 수행하면서, 설계된 레이아웃 패턴들의 형태 및 위치가 미소하게 변경(바이어스)될 수 있다.An Optical Proximity Correction (OPC) procedure may be performed (S30). By using a photolithography process, layout patterns obtained through layout design can be implemented on a silicon substrate. At this time, the optical proximity correction may be a technique for correcting a distortion phenomenon that may occur in the photolithography process. That is, through the optical proximity correction, a distortion phenomenon such as a refraction or a process effect caused by the characteristics of light at the time of exposure using the laid-out pattern can be corrected. The shape and position of the designed layout patterns can be slightly changed (biased) while performing optical proximity correction.

광 근접 보정에 의해 변경된 레이아웃에 기초하여 포토마스크(Photomask)가 제작될 수 있다(S40). 일반적으로 포토마스크는 유리 기판 위에 도포된 크롬 막을 이용하여 레이아웃 패턴들을 묘사하는 방식으로 제작될 수 있다.A photomask can be produced based on the layout changed by the optical proximity correction (S40). In general, the photomask can be manufactured in such a manner that the layout patterns are depicted using a chromium film applied on a glass substrate.

생성된 포토마스크를 이용하여 반도체 소자가 제조될 수 있다(S50). 포토마스크를 사용한 반도체 소자의 제조 공정에서는 다양한 방식의 노광 및 식각 공정들이 반복될 수 있다. 이러한 공정들을 통해서 실리콘 기판 상에 레이아웃 설계시에 구성된 패턴들의 형태가 순차적으로 형성될 수 있다.A semiconductor device can be manufactured using the generated photomask (S50). In the manufacturing process of a semiconductor device using a photomask, various types of exposure and etching processes can be repeated. Through these processes, the shapes of the patterns configured in the layout design on the silicon substrate can be sequentially formed.

도 3은 도 2의 레이아웃 설계 단계(S20)를 더 구체적으로 설명하기 위한 것으로, 본 발명의 실시예들에 따른 표준 셀들의 배치 및 라우팅을 보여주는 순서도이다. 도 4 내지 도 6은 도 3의 표준 셀들의 배치 및 라우팅을 설명하기 위한 본 발명의 일 실시예에 따른 레이아웃들이다.3 is a flow chart illustrating placement and routing of standard cells according to embodiments of the present invention to more specifically illustrate layout design step S20 of FIG. 4 to 6 are layouts according to an embodiment of the present invention for explaining the placement and routing of the standard cells of FIG.

도 3 및 도 4를 참조하면, 제1 표준 셀(STD1) 및 제2 표준 셀(STD2)이 배치될 수 있다(S110). 제1 표준 셀(STD1) 및 제2 표준 셀(STD2)은 제2 방향(D2)으로 나란히 배치될 수 있다. 제1 표준 셀(STD1) 및 제2 표준 셀(STD2)은 서로 다른 표준 셀일 수 있다. 제1 표준 셀(STD1)이 구성하는 로직 회로는 제2 표준 셀(STD2)이 구성하는 로직 회로와 다를 수 있다.Referring to FIGS. 3 and 4, a first standard cell STD1 and a second standard cell STD2 may be arranged (S110). The first standard cell STD1 and the second standard cell STD2 may be arranged side by side in the second direction D2. The first standard cell STD1 and the second standard cell STD2 may be different standard cells. The logic circuit constituted by the first standard cell STD1 may be different from the logic circuit constituted by the second standard cell STD2.

제1 및 제2 표준 셀들(STD1, STD2)은 게이트 패턴들(GEa), 제1 배선 패턴들(M1a), 내부 배선 패턴들(M2a_I) 및 비아 패턴들(V2a)을 포함할 수 있다. 나아가, 제1 및 제2 표준 셀들(STD1, STD2)은 다른 레이아웃 패턴들(예를 들어, 활성 패턴들, 활성 콘택 패턴들, 게이트 콘택 패턴들 등)을 더 포함할 수 있다. 도면의 간략화를 위하여, 도 4 내지 도 6에 나타난 제1 및 제2 표준 셀들(STD1, STD2)내의 다른 레이아웃 패턴들(예를 들어, 활성 패턴들, 활성 콘택 패턴들, 게이트 콘택 패턴들 등)은 생략하였다.The first and second standard cells STD1 and STD2 may include gate patterns GEa, first wiring patterns M1a, internal wiring patterns M2a_I and via patterns V2a. Furthermore, the first and second standard cells STD1 and STD2 may further include other layout patterns (e.g., active patterns, active contact patterns, gate contact patterns, etc.). (E.g., active patterns, active contact patterns, gate contact patterns, etc.) in the first and second standard cells STD1 and STD2 shown in Figs. 4 to 6 for the sake of simplicity, Is omitted.

게이트 패턴들(GEa)은 제1 방향(D1)으로 연장되며, 제1 방향(D1)에 교차하는(예를 들어, 직교하는) 제2 방향(D2)을 따라 배열될 수 있다. 게이트 패턴들(GEa)은 게이트 전극들을 정의할 수 있다. 게이트 패턴들(GEa)은 게이트 패턴 트랙들(GPT)에 맞추어 정렬될 수 있다. 게이트 패턴 트랙들(GPT)은 표준 셀 내에 게이트 패턴들(GEa)을 배치하는 데에 사용되는 임의로 설정된 선들일 수 있다. 게이트 패턴들(GEa) 각각의 중심선은 게이트 패턴 트랙들(GPT) 각각과 중첩될 수 있다. 인접하는 한 쌍의 게이트 패턴 트랙들(GPT)간의 거리는 제1 거리(L1)일 수 있다. 다시 말하면, 게이트 패턴 트랙들(GPT)간의 최소 간격은 제1 거리(L1)일 수 있다. 게이트 패턴 트랙들(GPT)은 일정한 간격(L1)으로 제2 방향(D2)을 따라 배열될 수 있다. 게이트 패턴들(GEa)간의 최소 피치는 제1 피치(P1)일 수 있으며, 이는 제1 거리(L1)와 동일할 수 있다. 본 발명에서 사용되는 용어 "피치" 또는 "최소 피치"는 인접하는 한 쌍의 패턴들간의 거리와 패턴 하나의 폭의 합일 수 있다.The gate patterns GEa extend in a first direction D1 and may be arranged along a second direction D2 that intersects (e.g., crosses) the first direction D1. The gate patterns GEa may define gate electrodes. The gate patterns GEa may be aligned with the gate pattern tracks GPT. The gate pattern tracks GPT may be arbitrarily set lines used to place the gate patterns GEa in the standard cell. The center line of each of the gate patterns GEa may be overlapped with each of the gate pattern tracks GPT. The distance between the adjacent pair of gate pattern tracks GPT may be the first distance L1. In other words, the minimum distance between the gate pattern tracks GPT may be the first distance L1. The gate pattern tracks GPT may be arranged along the second direction D2 at a constant interval L1. The minimum pitch between the gate patterns GEa may be the first pitch P1, which may be equal to the first distance L1. The term "pitch" or "minimum pitch" used in the present invention may be the sum of the distance between adjacent pair of patterns and the width of one pattern.

제1 배선 패턴들(M1a)은 게이트 패턴들(GEa)보다 상위 레벨에 위치될 수 있다. 제1 배선 패턴들(M1a)은 제1 금속 층(제1 배선들)을 정의할 수 있다. 제1 배선 패턴들(M1a)은 제2 방향(D2)을 따라 연장될 수 있다. The first wiring patterns M1a may be located at a higher level than the gate patterns GEa. The first wiring patterns M1a may define a first metal layer (first wirings). The first wiring patterns M1a may extend along the second direction D2.

내부 배선 패턴들(M2a_I)은 제1 배선 패턴들(M1a)보다 상위 레벨에 위치될 수 있다. 내부 배선 패턴들(M2a_I)은 제2 금속 층(제2 배선들)을 정의할 수 있다. 내부 배선 패턴들(M2a_I)은 제1 방향(D1)을 따라 연장될 수 있다. 내부 배선 패턴들(M2a_I)은 게이트 패턴들(GEa)과 평행할 수 있다.The internal wiring patterns M2a_I may be located at a higher level than the first wiring patterns M1a. The internal wiring patterns M2a_I can define a second metal layer (second wirings). The internal wiring patterns M2a_I may extend along the first direction D1. The internal wiring patterns M2a_I may be parallel to the gate patterns GEa.

비아 패턴들(V2a)은 제1 배선 패턴들(M1a)과 내부 배선 패턴들(M2a_I)이 중첩되는 영역들에 배치될 수 있다. 비아 패턴들(V2a)은 제1 배선들(예를 들어, 제1 배선 패턴들(M1a))과 제2 배선들(예를 들어, 내부 배선 패턴들(M2a_I))을 서로 수직적으로 연결시키기 위한 비아들을 정의할 수 있다. 일 예로, 비아 패턴들(V2a)은 내부 배선 패턴들(M2a_I)과 함께 제2 금속 층으로 형성될 수 있다.The via patterns V2a may be disposed in areas where the first wiring patterns M1a and the internal wiring patterns M2a_I overlap. The via patterns V2a are used for vertically connecting first wirings (for example, first wiring patterns M1a) and second wirings (for example, internal wiring patterns M2a_I) Vias can be defined. In one example, the via patterns V2a may be formed as a second metal layer together with the inner wiring patterns M2a_I.

도 4의 제1 및 제2 표준 셀들(STD1, STD2)에 배치되어 있는 내부 배선 패턴들(M2a_I)은 제1 및 제2 표준 셀들(STD1, STD2)의 로직 회로들을 구성하는 배선들을 정의할 수 있다. 예를 들어, 내부 배선 패턴들(M2a_I)은 제1 및 제2 표준 셀들(STD1, STD2)의 로직 회로들의 출력 노드 또는 입력 노드의 기능을 하는 배선들을 정의할 수 있다.The internal wiring patterns M2a_I arranged in the first and second standard cells STD1 and STD2 of FIG. 4 can define the wirings constituting the logic circuits of the first and second standard cells STD1 and STD2 have. For example, the internal wiring patterns M2a_I may define wirings that function as output nodes or input nodes of the logic circuits of the first and second standard cells STD1 and STD2.

내부 배선 패턴들(M2a_I)은 제1 배선 패턴 트랙들(MPT1)에 맞추어 정렬될 수 있다. 제1 배선 패턴 트랙들(MPT1)은 표준 셀 내에 내부 배선 패턴들(M2a_I)을 배치하는 데에 사용되는 임의로 설정된 선들일 수 있다. 내부 배선 패턴들(M2a_I) 각각의 중심선은 제1 배선 패턴 트랙들(MPT1) 각각과 중첩될 수 있다. 인접하는 한 쌍의 제1 배선 패턴 트랙들(MPT1)간의 거리는 제2 거리(L2)일 수 있다. 다시 말하면, 내부 배선 패턴들(M2a_I)간의 최소 간격은 제2 거리(L2)일 수 있다. 제2 거리(L2)는 앞서 설명한 제1 거리(L1)와 실질적으로 동일할 수 있다. 내부 배선 패턴들(M2a_I)간의 최소 피치는 게이트 패턴들(GEa)간의 최소 피치와 동일한 제1 피치(P1)일 수 있다. 내부 배선 패턴들(M2a_I)간의 간격은 n×P1 (n은 1 이상의 정수)일 수 있다. 예를 들어, 제1 표준 셀(STD1)의 첫 번째 내부 배선 패턴(M2a_I)과 두 번째 내부 배선 패턴(M2a_I)간의 간격은 1×P1일 수 있다. 제1 표준 셀(STD1)의 두 번째 내부 배선 패턴(M2a_I)과 제2 표준 셀(STD2)의 내부 배선 패턴(M2a_I)간의 간격은 3×P1일 수 있다.The internal wiring patterns M2a_I may be aligned with the first wiring pattern tracks MPT1. The first wiring pattern tracks MPT1 may be arbitrarily set lines used for arranging the internal wiring patterns M2a_I in the standard cell. The center line of each of the internal wiring patterns M2a_I may overlap with each of the first wiring pattern tracks MPT1. The distance between the adjacent pair of first wiring pattern tracks MPT1 may be the second distance L2. In other words, the minimum distance between the internal wiring patterns M2a_I may be the second distance L2. The second distance L2 may be substantially the same as the first distance L1 described above. The minimum pitch between the internal wiring patterns M2a_I may be the first pitch P1 equal to the minimum pitch between the gate patterns GEa. The interval between the internal wiring patterns M2a_I may be nxP1 (n is an integer of 1 or more). For example, the interval between the first inner wiring pattern M2a_I and the second inner wiring pattern M2a_I of the first standard cell STD1 may be 1 x P1. The interval between the second internal wiring pattern M2a_I of the first standard cell STD1 and the internal wiring pattern M2a_I of the second standard cell STD2 may be 3 x P1.

제1 및 제2 표준 셀들(STD1, STD2)은 게이트 피치인 제1 피치(P1)에 따라 배치될 수 있다. 게이트 피치인 제1 피치(P1)가 작을수록, 반도체 소자의 집적도가 향상될 수 있다. 제1 피치(P1)의 최소값은 반도체 소자의 제조 공정의 미세화 정도에 따라 결정될 수 있다 The first and second standard cells STD1 and STD2 may be arranged according to a first pitch P1 which is a gate pitch. The smaller the first pitch P1 that is the gate pitch, the higher the degree of integration of the semiconductor element. The minimum value of the first pitch P1 may be determined according to the degree of miniaturization of the manufacturing process of the semiconductor device

도 3 및 도 5를 참조하면, 적어도 하나의 제1 및 제2 표준 셀들(STD1, STD2) 내의 적어도 하나의 내부 배선 패턴들(M2a_I)이 재정렬될 수 있다(S120). 제1 및 제2 표준 셀들(STD1, STD2)이 배치된 이후, 설정되어 있던 제1 배선 패턴 트랙들(MPT1) 대신 새로운 제2 배선 패턴 트랙들(MPT2)이 설정될 수 있다. 제2 배선 패턴 트랙들(MPT2)은, 이후 라우팅 단계(S130)에서 배치될 라우팅 패턴들(M2a_O)을 배치하는 데에 사용되는 임의로 설정된 선들일 수 있다 (도 6 참조). 인접하는 한 쌍의 제2 배선 패턴 트랙들(MPT2)간의 거리는 제3 거리(L3)일 수 있다. 제3 거리(L3)는 제2 거리(L2)(또는 제1 거리(L1))보다 더 작을 수 있다. Referring to FIGS. 3 and 5, at least one internal wiring pattern M2a_I in at least one of the first and second standard cells STD1 and STD2 may be rearranged (S120). After the first and second standard cells STD1 and STD2 are disposed, new second wiring pattern tracks MPT2 may be set instead of the first wiring pattern tracks MPT1 that have been set. The second wiring pattern tracks MPT2 may be arbitrarily set lines used for arranging the routing patterns M2a_O to be placed in the subsequent routing step S130 (see Fig. 6). The distance between a pair of adjacent second wiring pattern tracks MPT2 may be a third distance L3. The third distance L3 may be smaller than the second distance L2 (or the first distance L1).

제2 배선 패턴 트랙들(MPT2)에 맞추어 제1 및 제2 표준 셀들(STD1, STD2) 내의 내부 배선 패턴들(M2a_I)이 재정렬될 수 있다. 내부 배선 패턴들(M2a_I) 각각은 이와 가장 인접하는 제2 배선 패턴 트랙(MPT2)에 맞추어 재정렬될 수 있다. 내부 배선 패턴들(M2a_I) 각각의 중심선은 제2 배선 패턴 트랙들(MPT2) 각각과 중첩될 수 있다.The internal wiring patterns M2a_I in the first and second standard cells STD1 and STD2 can be rearranged in accordance with the second wiring pattern tracks MPT2. Each of the internal wiring patterns M2a_I may be rearranged in accordance with the second wiring pattern track MPT2 closest thereto. The center line of each of the inner wiring patterns M2a_I may overlap with each of the second wiring pattern tracks MPT2.

재정렬 단계 동안 내부 배선 패턴들(M2a_I)은 제2 방향(D2)에 평행한 방향으로 수평적으로 이동할 수 있다. 내부 배선 패턴들(M2a_I)이 재정렬 되면서 이들이 이동한 거리 및 이동한 방향은 서로 같거나 다를 수 있다. 예를 들어, 제1 표준 셀(STD1) 내의 첫 번째 내부 배선 패턴(M2a_I)은 제2 방향(D2)의 반대 방향으로 제4 거리(L4)만큼 이동할 수 있고, 제1 표준 셀(STD1) 내의 두 번째 내부 배선 패턴(M2a_I)은 제2 방향(D2)으로 제4 거리(L4)보다 큰 제5 거리(L5)만큼 이동할 수 있다. 재정렬된 내부 배선 패턴들(M2a_I)간의 간격은, 재정렬되기 이전의 내부 배선 패턴들(M2a_I)간의 간격(n×P1)과 달라질 수 있다.During the reordering step, the internal wiring patterns M2a_I can horizontally move in a direction parallel to the second direction D2. As the internal wiring patterns M2a_I are rearranged, the distance and the direction in which they are moved may be equal to or different from each other. For example, the first internal wiring pattern M2a_I in the first standard cell STD1 can move by the fourth distance L4 in the direction opposite to the second direction D2, The second internal wiring pattern M2a_I can move by a fifth distance L5 larger than the fourth distance L4 in the second direction D2. The interval between the rearranged internal wiring patterns M2a_I may be different from the interval (nxP1) between the internal wiring patterns M2a_I before rearrangement.

비아 패턴들(V2a) 역시 내부 배선 패턴들(M2a_I)과 함께 제2 배선 패턴 트랙(MPT2)에 맞추어 재정렬될 수 있다. 다시 말하면, 비아 패턴(V2a)은 이와 대응하는 내부 배선 패턴(M2a_I)과 함께 이동될 수 있다. The via patterns V2a may be rearranged to the second wiring pattern track MPT2 together with the internal wiring patterns M2a_I. In other words, the via pattern V2a can be moved together with the corresponding internal wiring pattern M2a_I.

도 3 및 도 6을 참조하면, 표준 셀들을 라우팅하는 단계가 수행될 수 있다 (S130). 표준 셀들을 라우팅하는 것은, 라우팅 패턴들(M2a_O)을 배치하는 것을 포함할 수 있다. 라우팅 패턴들(M2a_O)의 배치를 통해, 표준 셀들이 설계된 회로에 맞추어 서로 연결될 수 있다. Referring to FIGS. 3 and 6, a step of routing standard cells may be performed (S130). Routing the standard cells may include placing routing patterns M2a_O. Through the arrangement of the routing patterns M2a_O, the standard cells can be connected to each other according to the designed circuit.

라우팅 패턴들(M2a_O)은 내부 배선 패턴들(M2a_I)과 동일한 레벨에 속할 수 있다. 라우팅 패턴들(M2a_O)은 내부 배선 패턴들(M2a_I)과 함께 제2 배선 패턴들(M2a)을 구성할 수 있다. 제2 배선 패턴들(M2a)은 제2 금속 층을 정의할 수 있다. 라우팅 패턴들(M2a_O)은 제2 배선 패턴 트랙들(MPT2)에 맞추어 정렬될 수 있다. 라우팅 패턴들(M2a_O) 각각의 중심선은 제2 배선 패턴 트랙들(MPT2) 각각과 중첩될 수 있다. 도시되진 않았지만, 라우팅 단계(S130)에서 제2 배선 패턴들(M2a)보다 상위 레벨에 위치하는 라우팅 패턴들도 배치될 수 있다.The routing patterns M2a_O may belong to the same level as the internal wiring patterns M2a_I. The routing patterns M2a_O may form the second wiring patterns M2a together with the internal wiring patterns M2a_I. The second wiring patterns M2a may define a second metal layer. The routing patterns M2a_O may be aligned with the second wiring pattern tracks MPT2. The center line of each of the routing patterns M2a_O may be overlapped with each of the second wiring pattern tracks MPT2. Although not shown, routing patterns located at a higher level than the second wiring patterns M2a in the routing step S130 may be arranged.

제2 배선 패턴들(M2a)간의 최소 피치는 제3 거리(L3)와 동일한 제2 피치(P2)일 수 있다. 제2 피치(P2)는 제1 피치(P1)보다 작을 수 있다. 제2 배선 패턴들(M2a)간의 간격은 n×P2 (n은 1 이상의 정수)일 수 있다.The minimum pitch between the second wiring patterns M2a may be a second pitch P2 equal to the third distance L3. The second pitch P2 may be smaller than the first pitch P1. The interval between the second wiring patterns M2a may be n x P2 (n is an integer of 1 or more).

도 3에 따른 표준 셀들의 배치 및 라우팅이 완료되면, 설계된 레이아웃에 광 근접 보정이 수행되고, 포토마스크가 제작될 수 있다. 제작된 포토마스크를 이용해 반도체 공정이 수행되어, 반도체 소자가 제조될 수 있다 (도 1 참고).Upon completion of placement and routing of the standard cells according to Fig. 3, optical proximity correction is performed on the designed layout, and a photomask can be fabricated. A semiconductor process is performed using the produced photomask, so that a semiconductor device can be manufactured (see FIG. 1).

본 발명의 실시예들에 따른 표준 셀들의 배치 및 라우팅 방법은, 내부 배선 패턴들(M2a_I)을 라우팅 패턴들(M2a_O)의 배치 간격(즉, 제2 피치(P2))에 맞추어 재정렬하는 단계를 포함할 수 있다. 만약, 상기 재정렬 단계가 생략될 경우, 내부 배선 패턴들(M2a_I) 인근에는 라우팅 패턴들(M2a_O)이 배치되지 못할 수 있다.The method of arranging and routing the standard cells according to the embodiments of the present invention is a step of rearranging the internal wiring patterns M2a_I according to the arrangement interval of the routing patterns M2a_O (i.e., the second pitch P2) . If the reordering step is omitted, the routing patterns M2a_O may not be disposed in the vicinity of the internal wiring patterns M2a_I.

도 7은 본 발명의 실시예들에 따른 재정렬 단계가 생략된 경우의 레이아웃이다. 도 7을 참조하면, 앞서 도 5를 참조하여 설명한 내부 배선 패턴들(M2a_I)의 재정렬 단계가 생략된 경우, 도 7의 내부 배선 패턴들(M2a_I)의 배치는 도 5의 내부 배선 패턴들(M2a_I)의 배치와 동일할 수 있다. 도 7의 제1 표준 셀(STD1) 내의 한 쌍의 내부 배선 패턴들(M2a_I) 사이에는 도 6에서는 배치되어 있던 라우팅 패턴(M2a_O)이 배치되지 못할 수 있다. 상기 한 쌍의 내부 배선 패턴들(M2a_I) 사이에 라우팅 패턴(M2a_O)이 배치될 경우, 이들 패턴들 사이의 거리가 너무 가까워 공정 마진을 확보할 수 없기 때문이다. 도 7의 제2 표준 셀(STD2) 내의 내부 배선 패턴(M2a_I)의 일 측에는 도 6에서는 배치되어 있던 라우팅 패턴(M2a_O)이 배치되지 못할 수 있다. 내부 배선 패턴(M2a_I)의 일 측에 라우팅 패턴(M2a_O)이 배치될 경우, 이들 패턴들 사이의 거리가 너무 가까워 공정 마진을 확보할 수 없기 때문이다.7 is a layout in the case where the rearrangement step according to the embodiments of the present invention is omitted. 7, when the rearrangement step of the internal wiring patterns M2a_I described above with reference to FIG. 5 is omitted, the arrangement of the internal wiring patterns M2a_I of FIG. 7 corresponds to the internal wiring patterns M2a_I ). ≪ / RTI > The routing pattern M2a_O arranged in FIG. 6 may not be arranged between the pair of inner wiring patterns M2a_I in the first standard cell STD1 of FIG. When the routing pattern M2a_O is arranged between the pair of inner wiring patterns M2a_I, the distance between these patterns is too close to ensure a process margin. The routing pattern M2a_O arranged in FIG. 6 may not be arranged on one side of the internal wiring pattern M2a_I in the second standard cell STD2 of FIG. When the routing pattern M2a_O is arranged on one side of the internal wiring pattern M2a_I, the distance between these patterns is too close to ensure a process margin.

도 6에 배치된 라우팅 패턴들(M2a_O)의 개수는 도 7에 배치된 라우팅 패턴들(M2a_O)의 개수보다 더 많음을 확인할 수 있다. 결과적으로, 본 발명의 실시예들에 따른 표준 셀들의 배치 및 라우팅 방법은 상기 재정렬 단계를 포함하기 때문에, 표준 셀 내의 제2 배선 패턴들(M2a)의 패턴 밀도가 증가할 수 있다.It can be confirmed that the number of routing patterns M2a_O arranged in FIG. 6 is larger than the number of routing patterns M2a_O arranged in FIG. As a result, the pattern density of the second wiring patterns M2a in the standard cell can increase because the method of arranging and routing standard cells according to the embodiments of the present invention includes the above-described reordering.

이하에서, 전술한 내용들을 바탕으로 본 발명의 실시예들이 더욱 상세하게 설명된다. 도 8a는 본 발명의 실시예들에 따른 표준 셀(STD)의 예시적인 회로도이다. 도 8b는 도 8a의 회로도에 대응하는 표준 셀(STD) 레이아웃이다. 도 9 내지 도 11은 도 3의 표준 셀들의 배치 및 라우팅을 설명하기 위한 본 발명의 일 실시예에 따른 레이아웃들이다. 도 12 및 도 13는 각각 도 9 및 도 10의 내부 배선 패턴과 그와 연결되는 제1 배선 패턴들을 확대한 평면도이다. 본 실시예에서는, 앞서 도 3 내지 도 6을 참조하여 설명한 것과 중복되는 기술적 특징에 대한 상세한 설명은 생략하고, 차이점에 대해 상세히 설명한다.Hereinafter, embodiments of the present invention will be described in more detail based on the above description. 8A is an exemplary circuit diagram of a standard cell STD according to embodiments of the present invention. Fig. 8B is a standard cell (STD) layout corresponding to the circuit diagram of Fig. 8A. Figs. 9-11 are layouts according to an embodiment of the present invention to illustrate the placement and routing of the standard cells of Fig. 12 and 13 are enlarged plan views of the internal wiring patterns of FIGS. 9 and 10 and the first wiring patterns connected thereto, respectively. In the present embodiment, detailed description of technical features overlapping with those described above with reference to Figs. 3 to 6 will be omitted, and differences will be described in detail.

도 8a를 참조하면, 본 실시예의 표준 셀(STD)은 낸드2(NAND2) 표준 셀일 수 있다. 본 실시예의 표준 셀(STD)은 제1 내지 제4 트랜지스터들(TR1~TR4)을 포함할 수 있다. 제1 및 제2 트랜지스터들(TR1, TR2)은 PMOS 트랜지스터들일 수 있다. 제3 및 제4 트랜지스터들(TR3, TR4)은 NMOS 트랜지스터들일 수 있다.Referring to FIG. 8A, the standard cell STD of the present embodiment may be a NAND 2 standard cell. The standard cell STD of the present embodiment may include first through fourth transistors TR1 through TR4. The first and second transistors TR1 and TR2 may be PMOS transistors. The third and fourth transistors TR3 and TR4 may be NMOS transistors.

제1 트랜지스터(TR1)는 전원 전압(VDD)이 공급되는 노드와 출력 노드(O) 사이에 연결될 수 있다. 제1 트랜지스터(TR1)의 게이트에 제1 입력(I1)이 전달될 수 있다. 제2 트랜지스터(TR2)는 전원 전압(VDD)이 공급되는 노드와 출력 노드(O) 사이에 연결될 수 있다. 제2 트랜지스터(TR2)의 게이트에 제2 입력(I2)이 전달될 수 있다. 제1 및 제2 트랜지스터들(TR1, TR2)은 전원 전압(VDD)이 공급되는 노드와 출력 노드(O) 사이에 병렬 연결될 수 있다.The first transistor TR1 may be connected between the node to which the power supply voltage VDD is supplied and the output node O. [ The first input (I1) may be transmitted to the gate of the first transistor (TR1). The second transistor TR2 may be connected between the node to which the power supply voltage VDD is supplied and the output node O. [ A second input (I2) may be delivered to the gate of the second transistor (TR2). The first and second transistors TR1 and TR2 may be connected in parallel between the node to which the power supply voltage VDD is supplied and the output node O. [

제3 트랜지스터(TR3)는 출력 노드(O)와 제4 트랜지스터(TR4) 사이에 연결될 수 있다. 제3 트랜지스터(TR3)의 게이트에 제2 입력(I2)이 전달될 수 있다. 제4 트랜지스터(TR4)는 접지 전압(VSS)이 공급되는 노드와 제3 트랜지스터(TR3) 사이에 연결될 수 있다. 제4 트랜지스터(TR4)의 게이트에 제1 입력(I1)이 전달될 수 있다. 제3 및 제4 트랜지스터들(TR3, TR4)은 접지 전압(VSS)이 공급되는 노드와 출력 노드(O)의 사이에 직렬 연결될 수 있다.The third transistor TR3 may be coupled between the output node O and the fourth transistor TR4. And the second input (I2) may be transmitted to the gate of the third transistor (TR3). The fourth transistor TR4 may be connected between the node to which the ground voltage VSS is supplied and the third transistor TR3. The first input I1 may be transmitted to the gate of the fourth transistor TR4. The third and fourth transistors TR3 and TR4 may be connected in series between the node to which the ground voltage VSS is supplied and the output node O. [

도 8a 및 도 8b를 참조하면, 본 실시예의 표준 셀(STD)은 게이트 패턴들(GEa), 제1 배선 패턴들(M1a), 내부 배선 패턴(M2a_I) 및 비아 패턴들(V2a)을 포함할 수 있다. 도면의 간략화를 위하여, 도 8b에 나타난 표준 셀(STD)내의 다른 레이아웃 패턴들(예를 들어, 활성 패턴들, 활성 콘택 패턴들, 게이트 콘택 패턴들 등)은 생략하였다. 게이트 패턴들(GEa)은 게이트 패턴 트랙들(GPT)에 맞추어 정렬될 수 있다. 게이트 패턴들(GEa)간의 최소 피치는 제1 피치(P1)일 수 있다.8A and 8B, the standard cell STD of the present embodiment includes the gate patterns GEa, the first wiring patterns M1a, the internal wiring pattern M2a_I, and the via patterns V2a . For the sake of simplicity, other layout patterns (e.g., active patterns, active contact patterns, gate contact patterns, etc.) in the standard cell STD shown in FIG. 8B have been omitted. The gate patterns GEa may be aligned with the gate pattern tracks GPT. The minimum pitch between the gate patterns GEa may be the first pitch P1.

제1 배선 패턴들(M1a) 중 일부는 전원 전압(VDD) 및 접지 전압(VSS)을 공급하기 위한 제1 배선들을 정의할 수 있다. 내부 배선 패턴(M2a_I)은, 낸드2 회로를 구성하는 배선을 정의할 수 있다. 구체적으로, 내부 배선 패턴(M2a_I)은 낸드2 회로의 출력 노드(O)에 대응할 수 있다. 비아 패턴들(V2a)은 제1 배선 패턴들(M1a)과 내부 배선 패턴(M2a_I)간의 수직적 연결을 제공할 수 있다.Some of the first wiring patterns M1a may define first wirings for supplying the power supply voltage VDD and the ground voltage VSS. The internal wiring pattern M2a_I can define wirings constituting the NAND circuit. Specifically, the internal wiring pattern M2a_I may correspond to the output node O of the NAND circuit. The via patterns V2a may provide a vertical connection between the first wiring patterns M1a and the internal wiring pattern M2a_I.

내부 배선 패턴(M2a_I)은 제1 배선 패턴 트랙들(MPT1)에 맞추어 정렬될 수 있다. 제1 배선 패턴 트랙들(MPT1) 사이의 간격은 게이트 패턴 트랙들(GPT) 사이의 간격과 동일한 제1 피치(P1)일 수 있다.The internal wiring pattern M2a_I can be aligned with the first wiring pattern tracks MPT1. The interval between the first wiring pattern tracks MPT1 may be the first pitch P1 equal to the interval between the gate pattern tracks GPT.

도 3, 도 9 및 도 12을 참조하면, 도 8a 및 도 8b를 참조하여 설명한 낸드2 표준 셀(STD)이 복수개로 제공되어, 제2 방향(D2)을 따라 배치될 수 있다 (S110). 구체적으로, 제1 내지 제3 표준 셀들(STD1, STD2, STD3)이 제2 방향(D2)을 따라 배치될 수 있다. 제1 내지 제3 표준 셀들(STD1, STD2, STD3)은 서로 동일한 도 8b의 낸드2 표준 셀(STD)일 수 있다. 제3 표준 셀(STD3)은 제1 및 제2 표준 셀들(STD1, STD2)에 대해 거울 대칭(mirror symmetry)적으로 배치될 수 있다. 제1 내지 제3 표준 셀들(STD1, STD2, STD3)은 게이트 피치에 따라 배치될 수 있다. 상기 게이트 피치는, 도 8b에 나타난 바와 같이 제1 피치(P1)일 수 있다.Referring to FIGS. 3, 9 and 12, a plurality of NAND 2 standard cells STD described with reference to FIGS. 8A and 8B may be provided and arranged along a second direction D2 (S110). Specifically, the first to third standard cells STD1, STD2, and STD3 may be disposed along the second direction D2. The first to third standard cells STD1, STD2, and STD3 may be the same NAND 2 standard cell STD of FIG. 8B. The third standard cell STD3 may be mirror symmetrically arranged with respect to the first and second standard cells STD1 and STD2. The first to third standard cells STD1, STD2 and STD3 may be arranged according to the gate pitch. The gate pitch may be a first pitch P1 as shown in Fig. 8B.

도 12을 다시 참조하면, 각각의 제1 내지 제3 표준 셀들(STD1, STD2, STD3) 내에서, 내부 배선 패턴(M2a_I)과 연결되는 제1 배선 패턴(M1a)은 일 말단(EN)을 가질 수 있다. 일 말단(EN)은 내부 배선 패턴(M2a_I)의 일 변에 인접할 수 있다. 일 말단(EN)과 내부 배선 패턴(M2a_I)의 상기 일 변간의 거리는 제1 마진(D)과 제2 마진(OV)의 합일 수 있다. 제1 마진(D)은 후술할 제2 배선 패턴들(M2a)간의 최소 피치인 제2 피치(P2)의 절반일 수 있다 (D=P2/2). 제2 마진(OV)은 공정 불량을 방지하기 위해 정해지는 최소 마진일 수 있다. 상기 최소 마진은, 공정에서 패턴이 구현될 때 상기 패턴이 왜곡됨으로써 접촉 불량이 발생하는 것을 방지할 수 있는 값일 수 있다. 상기 최소 마진은 디자인 룰에 의해 정의될 수 있다.12, in each of the first to third standard cells STD1, STD2 and STD3, the first wiring pattern M1a connected to the internal wiring pattern M2a_I has one end EN . One end EN may be adjacent to one side of the internal wiring pattern M2a_I. The distance between one end of the one end EN and the inner wiring pattern M2a_I may be the sum of the first margin D and the second margin OV. The first margin D may be half of the second pitch P2 which is the minimum pitch between the second wiring patterns M2a to be described later (D = P2 / 2). The second margin (OV) may be the minimum margin determined to prevent process failure. The minimum margin may be a value that can prevent a contact failure from occurring due to distortion of the pattern when the pattern is implemented in the process. The minimum margin may be defined by a design rule.

도 3, 도 10 및 도 13를 참조하면, 제1 내지 제3 표준 셀들(STD1, STD2, STD3) 내의 내부 배선 패턴들(M2a_I)이 재정렬될 수 있다 (S120). 제1 내지 제3 표준 셀들(STD1, STD2, STD3)이 배치된 이후, 도 8b에 나타난 제1 배선 패턴 트랙들(MPT1) 대신 새로운 제2 배선 패턴 트랙들(MPT2)이 설정될 수 있다. 인접하는 한 쌍의 제2 배선 패턴 트랙들(MPT2)간의 거리(L3)는 인접하는 한 쌍의 제1 배선 패턴 트랙들(MPT1)간의 거리(L2)보다 작을 수 있다. 제2 배선 패턴 트랙들(MPT2)에 맞추어 제1 내지 제3 표준 셀들(STD1, STD2, STD3) 내의 내부 배선 패턴들(M2a_I)이 재정렬될 수 있다. 비아 패턴들(V2a) 역시 내부 배선 패턴들(M2a_I)과 함께 제2 배선 패턴 트랙(MPT2)에 맞추어 재정렬될 수 있다.Referring to FIGS. 3, 10 and 13, the internal wiring patterns M2a_I in the first to third standard cells STD1, STD2, and STD3 may be rearranged (S120). After the first to third standard cells STD1, STD2, and STD3 are disposed, new second wiring pattern tracks MPT2 may be set instead of the first wiring pattern tracks MPT1 shown in FIG. 8B. The distance L3 between the adjacent pair of second wiring pattern tracks MPT2 may be smaller than the distance L2 between the adjacent pair of first wiring pattern tracks MPT1. The internal wiring patterns M2a_I in the first to third standard cells STD1, STD2 and STD3 can be rearranged in accordance with the second wiring pattern tracks MPT2. The via patterns V2a may be rearranged to the second wiring pattern track MPT2 together with the internal wiring patterns M2a_I.

재정렬 단계 동안 내부 배선 패턴들(M2a_I)은 제2 방향(D2)에 평행한 방향으로 수평적으로 이동할 수 있다. 내부 배선 패턴들(M2a_I)이 재정렬 되면서 이들이 이동한 거리 및 이동한 방향은 서로 같거나 다를 수 있다. 내부 배선 패턴들(M2a_I)이 이동할 수 있는 최대 이동 거리는 제3 거리(L3)의 절반일 수 있다 (L3/2). 다시 말하면, 내부 배선 패턴들(M2a_I)이 이동할 수 있는 최대 이동 거리는 제2 피치(P2)의 절반일 수 있다 (P2/2). 예를 들어, 제2 표준 셀(STD2)의 내부 배선 패턴(M2a_I)은 한 쌍의 제2 배선 패턴 트랙들(MPT2)의 중앙에 위치하고 있고, 이때 내부 배선 패턴(M2a_I)은 상기 최대 이동 거리만큼 이동하여 어느 하나의 제2 배선 패턴 트랙(MPT2)에 맞추어 재정렬될 수 있다.During the reordering step, the internal wiring patterns M2a_I can horizontally move in a direction parallel to the second direction D2. As the internal wiring patterns M2a_I are rearranged, the distance and the direction in which they are moved may be equal to or different from each other. The maximum travel distance at which the internal wiring patterns M2a_I can move may be half of the third distance L3 (L3 / 2). In other words, the maximum movement distance at which the internal wiring patterns M2a_I can move can be half of the second pitch P2 (P2 / 2). For example, the internal wiring pattern M2a_I of the second standard cell STD2 is located at the center of the pair of second wiring pattern tracks MPT2, and the internal wiring pattern M2a_I is located at the maximum moving distance And can be rearranged according to any one of the second wiring pattern tracks MPT2.

도 13를 다시 참조하면, 일 예로 제2 표준 셀(STD2) 내의 내부 배선 패턴(M2a_I)은 제2 방향(D2)으로 제6 거리(L6)만큼 이동할 수 있다. 제6 거리(L6)는 상기 최대 이동 거리와 실질적으로 동일하거나 유사할 수 있다. 제6 거리(L6)는 약 제2 피치(P2)의 절반일 수 있다. 도 12의 제1 배선 패턴(M1a)은 제2 마진(OV)뿐만 아니라 제1 마진(D)을 갖고 있으므로, 내부 배선 패턴(M2a_I)이 재정렬되어 제1 배선 패턴(M1a)의 일 말단(EN)에 더 근접할 지라도 적어도 제2 마진(OV)을 확보할 수 있다. 따라서, 내부 배선 패턴(M2a_I)의 재정렬로 인해 공정 불량이 발생하는 것을 방지할 수 있다.Referring again to FIG. 13, for example, the internal wiring pattern M2a_I in the second standard cell STD2 can be moved by the sixth distance L6 in the second direction D2. The sixth distance L6 may be substantially the same as or similar to the maximum travel distance. The sixth distance L6 may be about half of the second pitch P2. Since the first wiring pattern M1a of FIG. 12 has not only the second margin OV but also the first margin D, the internal wiring patterns M2a_I are rearranged to form one end of the first wiring pattern M1a At least the second margin (OV) can be secured. Therefore, it is possible to prevent a process failure from occurring due to the rearrangement of the internal wiring patterns M2a_I.

도 3 및 도 11을 참조하면, 표준 셀들을 라우팅하는 단계가 수행되어, 제1 내지 제3 표준 셀들(STD1, STD2, STD3) 내에 라우팅 패턴들(M2a_O)이 배치될 수 있다 (S130). 라우팅 패턴들(M2a_O)은 제2 배선 패턴 트랙들(MPT2)에 맞추어 정렬될 수 있다. 배치된 라우팅 패턴들(M2a_O)은 내부 배선 패턴들(M2a_I)과 함께 제2 배선 패턴들(M2a)을 구성할 수 있다. 제2 배선 패턴들(M2a)간의 최소 피치는 제3 거리(L3)와 동일한 제2 피치(P2)일 수 있다. 제2 피치(P2)는 상기 게이트 피치인 제1 피치(P1)보다 작을 수 있다. 제2 배선 패턴들(M2a)간의 간격은 n×P2 (n은 1 이상의 정수)일 수 있다.3 and 11, a step of routing standard cells is performed, and routing patterns M2a_O may be placed in the first to third standard cells STD1, STD2, and STD3 (S130). The routing patterns M2a_O may be aligned with the second wiring pattern tracks MPT2. The arranged routing patterns M2a_O can form the second wiring patterns M2a together with the internal wiring patterns M2a_I. The minimum pitch between the second wiring patterns M2a may be a second pitch P2 equal to the third distance L3. The second pitch P2 may be smaller than the first pitch P1 which is the gate pitch. The interval between the second wiring patterns M2a may be n x P2 (n is an integer of 1 or more).

도 14는 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 15a 내지 도 15f는 각각 도 1의 A-A'선, B-B'선, C-C'선, D-D'선, E-E'선 및 F-F'선에 따른 단면도들이다. 도 14 및 도 15a 내지 도 15f에 도시된 반도체 소자는, 도 11의 설계된 레이아웃을 이용하여 실제 기판 상에 구현된 반도체 소자의 일 예이다.14 is a plan view illustrating a semiconductor device according to embodiments of the present invention. 15A to 15F are cross-sectional views taken along line A-A ', line B-B', line C-C ', line D-D', line E-E 'and line F-F', respectively. The semiconductor device shown in Figs. 14 and 15A to 15F is an example of a semiconductor device implemented on an actual substrate using the designed layout of Fig.

도 14 및 도 15a 내지 도 15f를 참조하면, 제1 내지 제3 로직 셀들(LC1, LC2, LC3)이 제공될 수 있다. 제1 내지 제3 로직 셀들(LC1, LC2, LC3)은 제2 방향(D2)으로 배열될 수 있다. 제1 내지 제3 로직 셀들(LC1, LC2, LC3) 각각은 로직 회로를 구성할 수 있다. 일 예로, 각각의 제1 내지 제3 로직 셀들(LC1, LC2, LC3) 상에 로직 회로를 구성하는 로직 트랜지스터들이 배치될 수 있다. Referring to Figs. 14 and 15A to 15F, first to third logic cells LC1, LC2, LC3 may be provided. The first to third logic cells LC1, LC2, LC3 may be arranged in the second direction D2. Each of the first to third logic cells LC1, LC2, LC3 may constitute a logic circuit. In one example, logic transistors constituting a logic circuit may be arranged on each of the first to third logic cells LC1, LC2, LC3.

본 실시예에서, 제1 내지 제3 로직 셀들(LC1, LC2, LC3)은 서로 동일한 로직 회로를 포함할 수 있다. 일 예로, 제1 내지 제3 로직 셀들(LC1, LC2, LC3)은 서로 동일한 낸드2 셀일 수 있다. 제1 및 제2 로직 셀들(LC1, LC2)은 동일한 트랜지스터 구조 및 내부 배선 구조를 가질 수 있다. 제3 로직 셀(LC3)은, 제1 및 제2 로직 셀들(LC1, LC2) 각각의 트랜지스터 구조 및 내부 비선 구조와 거울 대칭(mirror symmetry)을 이룰 수 있다. 이하, 제1 내지 제3 로직 셀들(LC1, LC2, LC3)을 구성하는 로직 트랜지스터들 및 배선들에 대해 보다 상세히 설명한다.In this embodiment, the first to third logic cells LC1, LC2, LC3 may include the same logic circuit. For example, the first to third logic cells LC1, LC2, LC3 may be the same NAND 2 cell. The first and second logic cells LC1, LC2 may have the same transistor structure and internal wiring structure. The third logic cell LC3 can achieve a mirror symmetry with the transistor structure and the internal nonlinear structure of each of the first and second logic cells LC1, LC2. Hereinafter, the logic transistors and wirings constituting the first to third logic cells LC1, LC2, LC3 will be described in more detail.

기판(100)이 제공될 수 있다. 일 예로, 기판(100)은 실리콘 기판 또는 게르마늄 기판이거나, SOI(Silicon On Insulator) 기판일 수 있다. 기판(100)에 PMOSFET 영역(PR) 및 NMOSFET 영역(NR)을 정의하는 제2 소자 분리막들(ST2)이 제공될 수 있다. 제2 소자 분리막들(ST2)은 기판(100)의 상부에 형성될 수 있다.A substrate 100 may be provided. As an example, the substrate 100 may be a silicon substrate, a germanium substrate, or a SOI (Silicon On Insulator) substrate. The substrate 100 may be provided with second element isolation films ST2 that define the PMOSFET region PR and the NMOSFET region NR. The second device isolation films ST2 may be formed on the substrate 100. [

PMOSFET 영역(PR) 및 NMOSFET 영역(NR)은 제2 소자 분리막(ST2)을 사이에 두고 제1 방향(D1)으로 서로 이격될 수 있다. PMOSFET 영역(PR) 및 NMOSFET 영역(NR)은 제2 방향(D2)으로 연장되면서 제1 내지 제3 로직 셀들(LC1, LC2, LC3)을 가로지를 수 있다. 도시되진 않았지만, PMOSFET 영역(PR) 및 NMOSFET 영역(NR)뿐만 아니라 제2 소자 분리막들(ST2)에 의하여 추가적인 PMOSFET 영역들 및 추가적인 NMOSFET 영역들이 정의될 수 있다.The PMOSFET region PR and the NMOSFET region NR may be spaced apart from each other in the first direction D1 with the second element isolation film ST2 therebetween. The PMOSFET region PR and the NMOSFET region NR may extend across the first to third logic cells LC1, LC2, LC3 while extending in the second direction D2. Although not shown, additional PMOSFET regions and additional NMOSFET regions may be defined by the second isolation layers ST2 as well as the PMOSFET region PR and the NMOSFET region NR.

PMOSFET 영역(PR) 상에 제2 방향(D2)으로 연장되는 복수의 제1 활성 패턴들(FN1)이 제공될 수 있다. NMOSFET 영역(NR) 상에 제2 방향(D2)으로 연장되는 복수의 제2 활성 패턴들(FN2)이 제공될 수 있다. 제1 및 제2 활성 패턴들(FN1, FN2)은 기판(100)의 일부로써, 기판(100)의 상면으로부터 돌출된 부분들일 수 있다. 제1 및 제2 활성 패턴들(FN1, FN2)은 제1 방향(D1)을 따라 배열될 수 있다.A plurality of first active patterns FN1 extending in the second direction D2 may be provided on the PMOSFET region PR. A plurality of second active patterns FN2 extending in the second direction D2 may be provided on the NMOSFET region NR. The first and second active patterns FN1 and FN2 may be portions protruding from the upper surface of the substrate 100 as a part of the substrate 100. [ The first and second active patterns FN1 and FN2 may be arranged along the first direction D1.

일 예로, PMOSFET 영역(PR) 상에서 두 개의 제1 활성 패턴들(FN1)이 제2 방향(D2)을 따라 나란히 연장될 수 있다. 일 예로, NMOSFET 영역(NR) 상에서 세 개의 제2 활성 패턴들(FN2)이 제2 방향(D2)을 따라 나란히 연장될 수 있다. 그러나, PMOSFET 영역(PR) 상의 제1 활성 패턴들(FN1)의 개수와 형태 및 NMOSFET 영역(NR) 상의 제2 활성 패턴들(FN2)의 개수와 형태는 예시적인 것이며, 도시된 형태에 제한되지 않는다.In one example, two first active patterns FN1 may extend along the second direction D2 on the PMOSFET region PR. As an example, three second active patterns FN2 may extend along the second direction D2 on the NMOSFET region NR. However, the number and shape of the first active patterns FN1 on the PMOSFET region PR and the number and shape of the second active patterns FN2 on the NMOSFET region NR are exemplary and are not limited to the illustrated form Do not.

제1 및 제2 활성 패턴들(FN1, FN2) 각각의 양 측에 제2 방향(D2)으로 연장되는 제1 소자 분리막들(ST1)이 배치될 수 있다. 제1 소자 분리막들(ST1)은 제1 활성 패턴들(FN1) 사이의 트렌치들을 채울 수 있다. 제1 소자 분리막들(ST1)은 제2 활성 패턴들(FN2) 사이의 트렌치들을 채울 수 있다.The first device isolation films ST1 extending in the second direction D2 may be disposed on both sides of each of the first and second active patterns FN1 and FN2. The first device isolation layers ST1 may fill the trenches between the first active patterns FN1. The first device isolation layers ST1 may fill the trenches between the second active patterns FN2.

제1 및 제2 활성 패턴들(FN1, FN2)의 상부들은 제1 소자 분리막들(ST1)의 상면보다 더 높이 위치할 수 있다. 제1 및 제2 활성 패턴들(FN1, FN2)의 상부들은 제1 소자 분리막들(ST1)에 비해 수직하게 돌출될 수 있다. 제1 및 제2 활성 패턴들(FN1, FN2) 각각의 상부는 한 쌍의 제1 소자 분리막들(ST1) 사이에서 돌출된 핀(fin) 형태를 가질 수 있다.The upper portions of the first and second active patterns FN1 and FN2 may be positioned higher than the upper surfaces of the first element isolation films ST1. The upper portions of the first and second active patterns FN1 and FN2 may vertically protrude from the first element isolation films ST1. The upper portion of each of the first and second active patterns FN1 and FN2 may have a fin shape protruding between the pair of first element isolation films ST1.

제2 소자 분리막들(ST2)과 제1 소자 분리막들(ST1)은 실질적으로 연결된 하나의 절연막일 수 있다. 제2 소자 분리막들(ST2)의 상면들과 제1 소자 분리막들(ST1)의 상면들은 서로 공면을 이룰 수 있다. 제2 소자 분리막들(ST2)의 두께는 제1 소자 분리막들(ST1)의 두께보다 두꺼울 수 있다. 이 경우, 제1 소자 분리막들(ST1)은 제2 소자 분리막들(ST2)과 별도의 공정에 의하여 형성될 수 있다. 일 예로, 제1 및 제2 소자 분리막들(ST1, ST2)은 실리콘 산화막을 포함할 수 있다.The second device isolation films ST2 and the first device isolation films ST1 may be one insulating film substantially connected to each other. The upper surfaces of the second element isolation films ST2 and the upper surfaces of the first element isolation films ST1 may be coplanar. The thickness of the second element isolation films ST2 may be thicker than the thickness of the first element isolation films ST1. In this case, the first element isolation films ST1 may be formed by a process different from the second device isolation films ST2. For example, the first and second isolation films ST1 and ST2 may include a silicon oxide film.

제1 활성 패턴들(FN1)의 상부들에 제1 채널 영역들(CH1) 및 제1 소스/드레인 영역들(SD1)이 제공될 수 있다. 제1 소스/드레인 영역들(SD1)은 p형의 불순물 영역들일 수 있다. 제1 채널 영역들(CH1) 각각은 한 쌍의 제1 소스/드레인 영역들(SD1) 사이에 개재될 수 있다. 제2 활성 패턴들(FN2)의 상부들에 제2 채널 영역들(CH2) 및 제2 소스/드레인 영역들(SD2)이 제공될 수 있다. 제2 소스/드레인 영역들(SD2)은 n형의 불순물 영역들일 수 있다. 제2 채널 영역들(CH2) 각각은 한 쌍의 제2 소스/드레인 영역들(SD2) 사이에 개재될 수 있다.First channel regions CH1 and first source / drain regions SD1 may be provided at upper portions of the first active patterns FN1. The first source / drain regions SD1 may be p-type impurity regions. Each of the first channel regions CH1 may be interposed between the pair of first source / drain regions SD1. Second channel regions CH2 and second source / drain regions SD2 may be provided at upper portions of the second active patterns FN2. The second source / drain regions SD2 may be n-type impurity regions. Each of the second channel regions CH2 may be interposed between the pair of second source / drain regions SD2.

제1 및 제2 소스/드레인 영역들(SD1, SD2)은 선택적 에피택시얼 성장 공정으로 형성된 에피택시얼 패턴들일 수 있다. 제1 및 제2 소스/드레인 영역들(SD1, SD2)의 상면들은 제1 및 제2 채널 영역들(CH1, CH2)의 상면들보다 더 높은 레벨에 위치할 수 있다. 제1 및 제2 소스/드레인 영역들(SD1, SD2)은 기판(100)과 다른 반도체 원소를 포함할 수 있다. 일 예로, 제1 소스/드레인 영역들(SD1)은 기판(100)의 반도체 원소의 격자 상수보다 큰 격자 상수를 갖는 반도체 원소를 포함할 수 있다. 이로써, 제1 소스/드레인 영역들(SD1)은 제1 채널 영역들(CH1)에 압축 응력(compressive stress)을 제공할 수 있다. 일 예로, 제2 소스/드레인 영역들(SD2)은 기판(100)의 반도체 원소의 격자 상수보다 작은 격자 상수를 갖는 반도체 원소를 포함할 수 있다. 이로써, 제2 소스/드레인 영역들(SD2)은 제2 채널 영역들(CH2)에 인장 응력(tensile stress)을 제공할 수 있다. 다른 예로, 제2 소스/드레인 영역들(SD2)은 기판(100)의 반도체 원소와 동일한 반도체 원소를 포함할 수 있다.The first and second source / drain regions SD1 and SD2 may be epitaxial patterns formed by a selective epitaxial growth process. The upper surfaces of the first and second source / drain regions SD1 and SD2 may be located at a higher level than the upper surfaces of the first and second channel regions CH1 and CH2. The first and second source / drain regions SD1 and SD2 may include a substrate and other semiconductor elements. In one example, the first source / drain regions SD1 may comprise a semiconductor element having a lattice constant greater than the lattice constant of the semiconductor element of the substrate 100. In this way, the first source / drain regions SD1 can provide compressive stress to the first channel regions CH1. In one example, the second source / drain regions SD2 may comprise a semiconductor element having a lattice constant that is less than the lattice constant of the semiconductor element of the substrate 100. As such, the second source / drain regions SD2 may provide tensile stress to the second channel regions CH2. As another example, the second source / drain regions SD2 may include the same semiconductor element as the semiconductor element of the substrate 100. [

제1 방향(D1)으로의 관점에서, 제1 소스/드레인 영역들(SD1)의 단면 형태는 제2 소스/드레인 영역들(SD2)의 단면 형태와 다를 수 있다 (도 15c 참조). 예를 들어, 제1 소스/드레인 영역들(SD1)은 실리콘-게르마늄을 포함할 수 있고, 제2 소스/드레인 영역들(SD2)은 실리콘을 포함할 수 있다.In view of the first direction D1, the cross-sectional shape of the first source / drain regions SD1 may be different from the cross-sectional shape of the second source / drain regions SD2 (see FIG. 15C). For example, the first source / drain regions SD1 may comprise silicon-germanium and the second source / drain regions SD2 may comprise silicon.

제1 및 제2 활성 패턴들(FN1, FN2)을 가로지르며 제1 방향(D1)으로 연장되는 게이트 전극들(GE1-GE4)이 제공될 수 있다. 게이트 전극들(GE1-GE4)은 제2 방향(D2)으로 서로 이격될 수 있다. 게이트 전극들(GE1-GE4)간의 최소 피치는 제1 피치(P1)일 수 있다. 게이트 전극들(GE1-GE4)은 제1 피치(P1)를 따라 일정한 간격으로 배열될 수 있다.Gate electrodes GE1-GE4 extending in the first direction D1 across the first and second active patterns FN1 and FN2 may be provided. The gate electrodes GE1 to GE4 may be spaced apart from each other in the second direction D2. The minimum pitch between the gate electrodes GE1-GE4 may be the first pitch P1. The gate electrodes GE1 to GE4 may be arranged at regular intervals along the first pitch P1.

게이트 전극들(GE1-GE4)은 제1 및 제2 채널 영역들(CH1, CH2)과 수직적으로 중첩될 수 있다. 각각의 게이트 전극들(GE1-GE4)은, 제1 및 제2 채널 영역들(CH1, CH2) 각각의 상면 및 양 측벽들을 둘러쌀 수 있다 (도 15d 참조). 일 예로, 게이트 전극들(GE1-GE4)은 도전성 금속 질화물(예를 들면, 티타늄 질화물 또는 탄탈늄 질화물) 및 금속 물질(예를 들면, 티타늄, 탄탈늄, 텅스텐, 구리 또는 알루미늄) 중 적어도 하나를 포함할 수 있다.The gate electrodes GE1-GE4 may vertically overlap with the first and second channel regions CH1 and CH2. Each gate electrode GE1-GE4 may surround the top and both sidewalls of each of the first and second channel regions CH1, CH2 (see FIG. 15D). In one example, the gate electrodes GE1-GE4 comprise at least one of a conductive metal nitride (e.g., titanium nitride or tantalum nitride) and a metal material (e.g., titanium, tantalum, tungsten, .

게이트 전극들(GE1-GE4) 각각의 양 측벽들 상에 한 쌍의 게이트 스페이서들(GS)이 배치될 수 있다. 게이트 스페이서들(GS)은 게이트 전극들(GE1-GE4)을 따라 제1 방향(D1)으로 연장될 수 있다. 게이트 스페이서들(GS)의 상면들은 게이트 전극들(GE1-GE4)의 상면들보다 높을 수 있다. 게이트 스페이서들(GS)의 상면들은 후술할 게이트 캐핑막(CP)의 상면과 공면을 이룰 수 있다. 일 예로, 게이트 스페이서들(GS)은 SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다. 다른 예로, 게이트 스페이서들(GS)은 SiCN, SiCON 및 SiN 중 적어도 두 개로 이루어진 다중 막(multi-layer)을 포함할 수 있다.A pair of gate spacers GS may be disposed on both sidewalls of each of the gate electrodes GE1-GE4. The gate spacers GS may extend in the first direction D1 along the gate electrodes GE1-GE4. The upper surfaces of the gate spacers GS may be higher than the upper surfaces of the gate electrodes GE1-GE4. The upper surfaces of the gate spacers GS may be coplanar with the upper surface of the gate cap film CP, which will be described later. In one example, the gate spacers GS may comprise at least one of SiCN, SiCON, and SiN. As another example, the gate spacers GS may comprise a multi-layer of at least two of SiCN, SiCON and SiN.

게이트 전극들(GE1-GE4)과 제1 및 제2 활성 패턴들(FN1, FN2) 사이에 게이트 유전막들(GI)이 개재될 수 있다. 각각의 게이트 유전막들(GI)은 각각의 게이트 전극들(GE1-GE4)의 바닥면을 따라 연장될 수 있다. 각각의 게이트 유전막들(GI)은 제1 및 제2 채널 영역들(CH1, CH2) 각각의 상면 및 양 측벽들을 덮을 수 있다. 게이트 유전막들(GI)은 실리콘 산화막보다 유전상수가 높은 고유전율 물질을 포함할 수 있다. 일 예로, 상기 고유전율 물질은 하프늄 산화물, 하프늄 실리콘 산화물, 란탄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 리튬 산화물, 알루미늄 산화물, 납 스칸듐 탄탈 산화물, 및 납 아연 니오브산염 중 적어도 하나를 포함할 수 있다.Gate dielectric films GI may be interposed between the gate electrodes GE1-GE4 and the first and second active patterns FN1 and FN2. Each gate dielectric layer GI may extend along the bottom surface of each of the gate electrodes GE1-GE4. Each gate dielectric layer (GI) may cover the top and both sidewalls of each of the first and second channel regions (CHl, CH2). The gate dielectric layers (GI) may include a high dielectric constant material having a higher dielectric constant than the silicon oxide film. In one example, the high-k material may be selected from the group consisting of hafnium oxide, hafnium silicon oxide, lanthanum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, Lead scandium tantalum oxide, lead zinc niobate, and lead zinc niobate.

각각의 게이트 전극들(GE1-GE4) 상에 게이트 캐핑막(CP)이 제공될 수 있다. 게이트 캐핑막들(CP)은 게이트 전극들(GE1-GE4)을 따라 제1 방향(D1)으로 연장될 수 있다. 게이트 캐핑막들(CP)은 후술하는 제1 층간 절연막(110)에 대하여 식각 선택성이 있는 물질을 포함할 수 있다. 구체적으로, 게이트 캐핑막들(CP)은 SiON, SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다.A gate capping layer CP may be provided on each of the gate electrodes GE1-GE4. The gate capping films CP may extend in the first direction D1 along the gate electrodes GE1-GE4. The gate capping layer CP may include a material having etching selectivity with respect to the first interlayer insulating layer 110 described later. Specifically, the gate capping films CP may include at least one of SiON, SiCN, SiCON, and SiN.

각각의 제1 내지 제3 로직 셀들(LC1, LC2, LC3) 내의 게이트 전극들(GE1-GE4)은 제1 내지 제4 게이트 전극들(GE1-GE4)일 수 있다. 제1 내지 제4 게이트 전극들(GE1-GE4)은 각각의 제1 내지 제3 로직 셀들(LC1, LC2, LC3)을 가로지를 수 있다. 제1 로직 셀(LC1) 및 제2 로직 셀(LC2) 각각의 내에서, 제1 내지 제4 게이트 전극들(GE1-GE4)은 제2 방향(D2)을 따라 배열될 수 있다. 제3 로직 셀(LC3) 내에서, 제1 내지 제4 게이트 전극들(GE1-GE4)은 제2 방향(D2)의 반대 방향을 따라 배열될 수 있다. 이는 제3 로직 셀(LC3)이 제1 로직 셀(LC1) 및 제2 로직 셀(LC2)과 거울 대칭(mirror symmetry)을 이루기 때문이다.The gate electrodes GE1 to GE4 in the first to third logic cells LC1, LC2 and LC3 may be the first to fourth gate electrodes GE1 to GE4. The first to fourth gate electrodes GE1 to GE4 may cross each of the first to third logic cells LC1, LC2, LC3. Within each of the first logic cell LC1 and the second logic cell LC2, the first through fourth gate electrodes GE1-GE4 may be arranged along the second direction D2. In the third logic cell LC3, the first to fourth gate electrodes GE1 to GE4 may be arranged along the direction opposite to the second direction D2. This is because the third logic cell LC3 makes a mirror symmetry with the first logic cell LC1 and the second logic cell LC2.

제1 및 제2 활성 패턴들(FN1, FN2), 게이트 스페이서들(GS) 및 게이트 캐핑막들(CP)을 덮는 제1 층간 절연막(110)이 제공될 수 있다. 제1 층간 절연막(110) 상에 순차적으로 적층된 제2 층간 절연막(120) 및 제3 층간 절연막(130)이 제공될 수 있다. 제1 내지 제3 층간 절연막들(110, 120, 130) 각각은 실리콘 산화막 또는 실리콘 산화질화막을 포함할 수 있다.A first interlayer insulating film 110 covering the first and second active patterns FN1 and FN2, the gate spacers GS and the gate capping films CP may be provided. A second interlayer insulating film 120 and a third interlayer insulating film 130 sequentially stacked on the first interlayer insulating film 110 may be provided. Each of the first to third interlayer insulating films 110, 120, and 130 may include a silicon oxide film or a silicon oxynitride film.

한 쌍의 게이트 전극들(GE1-GE4) 사이에, 제1 층간 절연막(110)을 관통하여 제1 및 제2 소스/드레인 영역들(SD1, SD2)과 전기적으로 연결되는 적어도 하나의 활성 콘택(AC)이 제공될 수 있다. 활성 콘택들(AC)은 제1 방향(D1)으로 연장되는 바(bar) 형태를 가질 수 있다. 일 예로, 적어도 하나의 활성 콘택(AC)은 복수개의 제1 소스/드레인 영역들(SD1)과 연결될 수 있다. 일 예로, 적어도 하나의 활성 콘택(AC)은 복수개의 제2 소스/드레인 영역들(SD2)과 연결될 수 있다. 다른 예로, 도시되진 않았지만, 적어도 하나의 활성 콘택(AC)이 하나의 제1 소스/드레인 영역(SD1) 또는 하나의 제2 소스/드레인 영역(SD2)과 연결될 수 있으며, 특별히 제한되지 않는다.At least one active contact (not shown) electrically connected to the first and second source / drain regions SD1 and SD2 through the first interlayer insulating film 110 is formed between the pair of gate electrodes GE1 to GE4 AC) may be provided. The active contacts AC may have the form of a bar extending in a first direction D1. In one example, at least one active contact (AC) may be coupled to a plurality of first source / drain regions SD1. In one example, at least one active contact (AC) may be connected to a plurality of second source / drain regions SD2. As another example, although not shown, at least one active contact (AC) may be connected to one first source / drain region SD1 or one second source / drain region SD2, and is not particularly limited.

적어도 하나의 게이트 전극(GE1-GE4) 상에, 제1 층간 절연막(110) 및 게이트 캐핑막(CP)을 관통하여 적어도 하나의 게이트 전극(GE1-GE4)과 전기적으로 연결되는 적어도 하나의 게이트 콘택(GC)이 제공될 수 있다. 게이트 콘택들(GC)은 제2 방향(D2)으로 연장되는 바 형태를 가질 수 있다. 예를 들어, 제2 및 제3 게이트 전극들(GE2, GE3) 상에 게이트 콘택들(GC)이 제공될 수 있다. 평면적 관점에서, 게이트 콘택들(GC)은 PMOSFET 영역(PR) 및 NMOSFET 영역(NR) 사이에 배치될 수 있다. 게이트 콘택들(GC)은 PMOSFET 영역(PR) 및 NMOSFET 영역(NR) 사이의 제2 소자 분리막(ST2)과 수직적으로 중첩될 수 있다.At least one gate contact electrically connected to at least one gate electrode GE1-GE4 through the first interlayer insulating film 110 and the gate capping film CP on at least one gate electrode GE1-GE4, (GC) may be provided. The gate contacts GC may have a bar shape extending in the second direction D2. For example, gate contacts GC may be provided on the second and third gate electrodes GE2, GE3. From a plan viewpoint, the gate contacts GC can be disposed between the PMOSFET region PR and the NMOSFET region NR. The gate contacts GC can vertically overlap with the second isolation film ST2 between the PMOSFET region PR and the NMOSFET region NR.

활성 콘택들(AC) 및 게이트 콘택들(GC)은 서로 동일한 도전 물질을 포함할 수 있다. 활성 콘택들(AC) 및 게이트 콘택들(GC)은 금속 물질, 예를 들어 알루미늄, 구리, 텅스텐, 몰리브데늄 및 코발트 중 적어도 하나를 포함할 수 있다. Active contacts (AC) and gate contacts (GC) may comprise the same conductive material. The active contacts AC and the gate contacts GC may comprise at least one of a metallic material, for example aluminum, copper, tungsten, molybdenum and cobalt.

제2 층간 절연막(120) 내에 제1 배선들(M1) 및 제1 비아들(V1)이 제공될 수 있다. 제1 배선들(M1) 및 제1 비아들(V1)은 제1 금속 층을 구성할 수 있다. 제1 배선들(M1)은 제1 내지 제3 로직 셀들(LC1, LC2, LC3)을 가로지르며 제2 방향(D2)으로 연장되는 전원 배선들(VDD, VSS)을 포함할 수 있다. 제1 배선들(M1)은 제2 방향(D2)으로 연장되는 라인 형태 또는 바 형태를 가질 수 있다. 다시 말하면, 제1 배선들(M1)은 모두 제2 방향(D2)으로 서로 평행하게 연장될 수 있다. The first interconnects M1 and the first vias V1 may be provided in the second interlayer insulating film 120. [ The first wirings M1 and the first vias V1 may constitute a first metal layer. The first wirings M1 may include power supply lines VDD and VSS extending in the second direction D2 across the first to third logic cells LC1, LC2 and LC3. The first wires M1 may have a line shape or a bar shape extending in the second direction D2. In other words, the first wirings M1 may all extend in parallel to each other in the second direction D2.

제1 비아들(V1)은 제1 배선들(M1)과 활성 콘택들(AC) 사이에 개재되어, 이들을 서로 전기적으로 연결할 수 있다. 제1 비아들(V1)은 제1 배선들(M1)과 게이트 콘택들(GC) 사이에 개재되어, 이들을 서로 전기적으로 연결할 수 있다. 제1 배선(M1)과 그 아래의 제1 비아(V1)는 서로 일체로 연결되어 하나의 도전 구조체를 구성할 수 있다. 다시 말하면, 제1 배선(M1)과 제1 비아(V1)는 함께 형성될 수 있다. 예를 들어, 제1 배선(M1)과 제1 비아(V1)는 듀얼 다마신 공정을 통해 하나의 도전 구조체로 형성될 수 있다.The first vias V1 are interposed between the first wirings M1 and the active contacts AC and can electrically connect them to each other. The first vias V1 are interposed between the first wirings M1 and the gate contacts GC and can electrically connect them to each other. The first wiring M1 and the first via V1 under the first wiring M1 may be integrally connected to each other to form one conductive structure. In other words, the first wiring M1 and the first via V1 can be formed together. For example, the first wiring M1 and the first via V1 may be formed as a single conductive structure through a dual damascene process.

제1 로직 셀(LC1) 내의 활성 콘택들(AC), 게이트 콘택들(GC), 제1 비아들(V1) 및 제1 배선들(M1)의 형태 및 위치는 제2 로직 셀(LC2) 내의 활성 콘택들(AC), 게이트 콘택들(GC), 제1 비아들(V1) 및 제1 배선들(M1)의 형태 및 위치와 실질적으로 동일할 수 있다. 이는 제1 로직 셀(LC1) 및 제2 로직 셀(LC2)이 서로 동일한 로직 회로를 포함하기 때문이다. 제2 로직 셀(LC2) 내의 활성 콘택들(AC), 게이트 콘택들(GC), 제1 비아들(V1) 및 제1 배선들(M1)의 형태 및 위치는 제3 로직 셀(LC3) 내의 활성 콘택들(AC), 게이트 콘택들(GC), 제1 비아들(V1) 및 제1 배선들(M1)의 형태 및 위치와 거울 대칭을 이룰 수 있다.The shape and location of the active contacts AC, gate contacts GC, first vias V1 and first wirings M1 in the first logic cell LC1 are the same in the second logic cell LC2 May be substantially the same as the shapes and positions of the active contacts (AC), the gate contacts (GC), the first vias (V1) and the first interconnects (M1). This is because the first logic cell LC1 and the second logic cell LC2 comprise the same logic circuit. The shape and location of the active contacts AC, gate contacts GC, first vias V1 and first wirings M1 in the second logic cell LC2 are the same in the third logic cell LC3 Mirror symmetry with the shape and position of active contacts AC, gate contacts GC, first vias V1 and first interconnects M1.

제3 층간 절연막(130) 내에 제2 배선들(M2) 및 제2 비아들(V2)이 제공될 수 있다. 제2 배선들(M2)은 내부 배선들(M2_I) 및 라우팅 배선들(M2_O)을 포함할 수 있다. 제2 배선들(M2) 및 제2 비아들(V2)은 제2 금속 층을 구성할 수 있다. 제2 배선들(M2)은 제1 방향(D1)으로 연장되는 라인 형태 또는 바 형태를 가질 수 있다. 다시 말하면, 제2 배선들(M2)은 모두 제1 방향(D1)으로 서로 평행하게 연장될 수 있다. 평면적 관점에서, 제2 배선들(M2)은 게이트 전극들(GE1-GE4)과 평행할 수 있다.Second interconnection (M2) and second vias (V2) may be provided in the third interlayer insulating film (130). The second wirings M2 may include internal wirings M2_I and routing wirings M2_O. The second wirings M2 and the second vias V2 may constitute a second metal layer. The second wirings M2 may have a line shape or a bar shape extending in the first direction D1. In other words, the second wirings M2 may all extend parallel to each other in the first direction D1. From a plan viewpoint, the second wirings M2 may be parallel to the gate electrodes GE1-GE4.

제2 배선들(M2)간의 최소 피치는 제2 피치(P2)일 수 있다. 인접하는 제2 배선들(M2)간의 간격은 n×P2 (n은 1 이상의 정수)일 수 있다. 게이트 전극들(GE1-GE4) 및 제2 배선들(M2)은 도 11의 레이아웃 내의 게이트 패턴들(GEa) 및 제2 배선 패턴들(M2a)을 이용하여 각각 형성될 수 있다. 게이트 패턴 트랙들(GPT)에 맞추어 정렬된 게이트 패턴들(GEa)에 의해 게이트 전극들(GE1-GE4)이 형성되고, 제2 배선 패턴 트랙들(MPT2)에 맞추어 정렬된 제2 배선 패턴들(M2a)에 의해 제2 배선들(M2)이 형성될 수 있다. 따라서, 제2 배선들(M2)간의 최소 피치인 제2 피치(P2)는 게이트 전극들(GE1-GE4)간의 피치인 제1 피치(P1)보다 작을 수 있다. The minimum pitch between the second wirings M2 may be the second pitch P2. The interval between the adjacent second wirings M2 may be n x P2 (n is an integer of 1 or more). The gate electrodes GE1-GE4 and the second wires M2 may be formed using the gate patterns GEa and the second wiring patterns M2a in the layout of FIG. 11, respectively. The gate electrodes GE1 to GE4 are formed by the gate patterns GEa aligned with the gate pattern tracks GPT and the second wiring patterns The second wirings M2 may be formed by the first wirings M2a. Accordingly, the second pitch P2, which is the minimum pitch between the second wirings M2, may be smaller than the first pitch P1, which is the pitch between the gate electrodes GE1-GE4.

제2 비아들(V2)은 제2 배선들(M2)과 제1 배선들(M1) 사이에 개재되어, 이들을 서로 전기적으로 연결할 수 있다. 제2 배선(M2)과 그 아래의 제2 비아(V2)는 서로 연결될 수 있다. 다시 말하면, 제2 배선들(M2)과 제2 비아들(V2)은 함께 형성될 수 있다. 예를 들어, 제2 배선들(M2)과 제2 비아들(V2)은 듀얼 다마신 공정을 통해 형성될 수 있다.The second vias V2 are interposed between the second wirings M2 and the first wirings M1 to electrically connect them to each other. The second wiring M2 and the second via V2 under the second wiring M2 may be connected to each other. In other words, the second wirings M2 and the second vias V2 can be formed together. For example, the second wirings M2 and the second vias V2 may be formed through a dual damascene process.

각각의 제1 내지 제3 로직 셀들(LC1, LC2, LC3) 내의 내부 배선(M2_I)은 PMOSFET 영역(PR) 상에서 NMOSFET 영역(NR) 상으로 연장될 수 있다. PMOSFET 영역(PR) 상의 내부 배선(M2_I)은, 제2 비아(V2), 제1 배선(M1), 제1 비아(V1) 및 활성 콘택(AC)을 통해 제1 소스/드레인 영역들(SD1)과 전기적으로 연결될 수 있다 (도 15a 참조). NMOSFET 영역(NR) 상의 내부 배선(M2_I)은, 제2 비아(V2), 제1 배선(M1), 제1 비아(V1) 및 활성 콘택(AC)을 통해 제2 소스/드레인 영역들(SD2)과 전기적으로 연결될 수 있다 (도 15b 참조). 다시 말하면, 각각의 제1 내지 제3 로직 셀들(LC1, LC2, LC3) 내의 내부 배선(M2_I)은 PMOSFET 영역(PR)의 PMOS 트랜지스터(PMOSFET)와 NMOSFET 영역(NR)의 NMOS 트랜지스터(NMOSFET)를 서로 전기적으로 연결할 수 있다. 각각의 제1 내지 제3 로직 셀들(LC1, LC2, LC3) 내의 내부 배선(M2_I)은 PMOSFET의 소스/드레인과 NMOSFET의 소스/드레인을 서로 전기적으로 연결할 수 있다.The internal wiring M2_I in each of the first to third logic cells LC1, LC2, LC3 can extend over the NMOSFET region NR on the PMOSFET region PR. The internal wiring M2_I on the PMOSFET region PR is electrically connected to the first source / drain regions SD1 through the second via V2, the first wiring M1, the first via V1 and the active contact AC. (See Fig. 15A). The internal wiring M2_I on the NMOSFET region NR is electrically connected to the second source / drain regions SD2 through the second via V2, the first wiring M1, the first via V1 and the active contact AC. (See FIG. 15B). In other words, the internal wiring M2_I in each of the first to third logic cells LC1, LC2 and LC3 is connected to the PMOS transistor (PMOSFET) of the PMOSFET region PR and the NMOS transistor (NMOSFET) of the NMOSFET region NR They can be electrically connected to each other. The internal wiring M2_I in each of the first to third logic cells LC1, LC2, LC3 can electrically connect the source / drain of the PMOSFET and the source / drain of the NMOSFET to each other.

각각의 제1 내지 제3 로직 셀들(LC1, LC2, LC3) 내의 내부 배선(M2_I)은 로직 회로를 구성하는 배선일 수 있다. 예를 들어, 내부 배선(M2_I)은 낸드2 셀의 출력 노드일 수 있다. 제1 로직 셀(LC1) 내의 내부 배선(M2_I)은 제1 로직 셀(LC1)의 경계를 넘어 연장되지 않을 수 있다. 다시 말하면, 내부 배선(M2_I)의 양 말단들은 제1 로직 셀(LC1) 내에 위치할 수 있다. 예를 들어, 내부 배선(M2_I)의 일 단은 PMOSFET 영역(PR) 상에 위치할 수 있고 내부 배선(M2_I)의 타 단은 NMOSFET 영역(NR) 상에 위치할 수 있다. 제2 및 제3 로직 셀들(LC2, LC3) 내의 내부 배선들(M2_I) 역시 제1 로직 셀(LC1) 내의 내부 배선(M2_I)과 실질적으로 동일한 형태를 가질 수 있다.The internal wiring M2_I in each of the first to third logic cells LC1, LC2, LC3 may be a wiring constituting a logic circuit. For example, the internal wiring M2_I may be the output node of the NAND 2 cell. The internal wiring M2_I in the first logic cell LC1 may not extend beyond the boundary of the first logic cell LC1. In other words, both ends of the internal wiring M2_I may be located in the first logic cell LC1. For example, one end of the internal wiring M2_I may be located on the PMOSFET region PR and the other end of the internal wiring M2_I may be located on the NMOSFET region NR. The internal wirings M2_I in the second and third logic cells LC2 and LC3 may also have substantially the same shape as the internal wirings M2_I in the first logic cell LC1.

제1 내지 제3 로직 셀들(LC1, LC2, LC3)이 서로 동일한 로직 회로를 포함할 지라도, 제1 내지 제3 로직 셀들(LC1, LC2, LC3) 내의 내부 배선들(M2_I)의 위치들은 서로 다를 수 있다. 평면적 관점에서, 제1 로직 셀(LC1)의 내부 배선(M2_I)이 이와 인접하는 제3 게이트 전극(GE3)으로부터 오프셋된 거리, 제2 로직 셀(LC2)의 내부 배선(M2_I)이 이와 인접하는 제3 게이트 전극(GE3)으로부터 오프셋된 거리, 및 제3 로직 셀(LC3)의 내부 배선(M2_I)이 이와 인접하는 제3 게이트 전극(GE3)으로부터 오프셋된 거리는 서로 다를 수 있다.Although the first to third logic cells LC1, LC2 and LC3 include the same logic circuit, the positions of the internal wirings M2_I in the first to third logic cells LC1, LC2 and LC3 are different from each other . From the viewpoint of planarization, the distance that the internal wiring M2_I of the first logic cell LC1 is offset from the third gate electrode GE3 adjacent thereto, the internal wiring M2_I of the second logic cell LC2 is adjacent thereto The distance offset from the third gate electrode GE3 and the distance offset from the third gate electrode GE3 adjacent to the internal wiring M2_I of the third logic cell LC3 may be different from each other.

제1 로직 셀(LC1) 내의 내부 배선(M2_I)은 제3 게이트 전극(GE3)과 수직적으로 일부 중첩될 수 있다. 평면적 관점에서, 제1 로직 셀(LC1) 내의 내부 배선(M2_I)은 제4 게이트 전극(GE4)과 수평적으로 이격될 수 있다 (도 14 및 도 15a 참조).The internal wiring M2_I in the first logic cell LC1 may partially overlap the third gate electrode GE3 vertically. From a plan viewpoint, the internal wiring M2_I in the first logic cell LC1 can be horizontally spaced from the fourth gate electrode GE4 (see Figs. 14 and 15A).

제2 로직 셀(LC2) 내의 내부 배선(M2_I)은 제4 게이트 전극(GE4)과 수직적으로 일부 중첩될 수 있다. 평면적 관점에서, 제2 로직 셀(LC2) 내의 내부 배선(M2_I)은 제3 게이트 전극(GE3)과 수평적으로 이격될 수 있다 (도 14 및 도 15e 참조). The internal wiring M2_I in the second logic cell LC2 may partially overlap with the fourth gate electrode GE4 vertically. From a plan viewpoint, the internal wiring M2_I in the second logic cell LC2 can be horizontally spaced from the third gate electrode GE3 (see Figs. 14 and 15E).

평면적 관점에서, 제3 로직 셀(LC3) 내의 내부 배선(M2_I)은 제3 게이트 전극(GE3)과 제4 게이트 전극(GE4) 사이에 위치할 수 있다. 제3 로직 셀(LC3) 내의 내부 배선(M2_I)은 제3 게이트 전극(GE3) 및 제4 게이트 전극(GE4) 모두와 수평적으로 이격될 수 있다 (도 14 및 도 15f 참조).From a plan viewpoint, the internal wiring M2_I in the third logic cell LC3 may be located between the third gate electrode GE3 and the fourth gate electrode GE4. The internal wiring M2_I in the third logic cell LC3 can be horizontally spaced from both the third gate electrode GE3 and the fourth gate electrode GE4 (see Figs. 14 and 15F).

각각의 제1 내지 제3 로직 셀들(LC1, LC2, LC3) 내의 라우팅 배선들(M2_O)은 그의 로직 회로를 다른 로직 셀의 로직 회로와 연결할 수 있다. 다시 말하면, 라우팅 배선들(M2_O)은 제1 내지 제3 로직 셀들(LC1, LC2, LC3)의 로직 회로들(예를 들어, 낸드2 회로)과는 독립적일 수 있다. 제1 내지 제3 로직 셀들(LC1, LC2, LC3) 내의 라우팅 배선들(M2_O)의 개수 및 형태는 서로 다를 수 있다. 라우팅 배선들(M2_O)은 제1 내지 제3 로직 셀들(LC1, LC2, LC3)의 경계를 넘어 연장될 수 있다. 또는, 적어도 하나의 라우팅 배선(M2_O)은 제1 내지 제3 로직 셀들(LC1, LC2, LC3)의 경계를 넘어 연장되지 않을 수 있다. 도시된 라우팅 배선들(M2_O)의 길이 및 배치는 임의적인 것이며, 특별히 제한되지 않는다.Routing wirings M2_O within each of the first through third logic cells LC1, LC2, LC3 can couple their logic circuitry with the logic circuitry of the other logic cell. In other words, the routing wirings M2_O may be independent of the logic circuits (e.g., NAND 2 circuits) of the first to third logic cells LC1, LC2, LC3. The number and shape of the routing wirings M2_O in the first to third logic cells LC1, LC2, LC3 may be different from each other. The routing wirings M2_O may extend beyond the boundaries of the first through third logic cells LC1, LC2, LC3. Alternatively, at least one routing line M2_O may not extend beyond the boundaries of the first through third logic cells LC1, LC2, LC3. The length and arrangement of the routing wirings M2_O shown are arbitrary and not particularly limited.

제1 배선들(M1), 제1 비아들(V1), 제2 배선들(M2) 및 제2 비아들(V2)은 서로 동일한 도전 물질을 포함할 수 있다. 예를 들어, 제1 배선들(M1), 제1 비아들(V1), 제2 배선들(M2) 및 제2 비아들(V2)은 알루미늄, 구리, 텅스텐, 몰리브데늄 및 코발트 중에서 선택된 적어도 하나의 금속 물질을 포함할 수 있다. 도시되진 않았지만, 제3 층간 절연막(130) 상에 추가적인 금속 층들이 더 배치될 수 있다. 상기 추가적인 금속 층들은 라우팅 배선들을 포함할 수 있다. The first wirings M1, the first vias V1, the second wirings M2, and the second vias V2 may include the same conductive material. For example, the first wirings M1, the first vias V1, the second wirings M2, and the second vias V2 may be formed of at least one selected from aluminum, copper, tungsten, molybdenum, and cobalt And may include one metal material. Although not shown, additional metal layers may be further disposed on the third interlayer insulating film 130. The additional metal layers may include routing wires.

본 발명의 실시예들에 따르면, 제2 배선들(M2)간의 최소 피치인 제2 피치(P2)는 게이트 전극들(GE1-GE4)간의 최소 피치인 제1 피치(P1)보다 작을 수 있다. 제2 배선들(M2) 중 내부 배선들(M2_I)은 라우팅 배선들(M2_O)의 배치 간격(즉, 제2 피치(P2))에 정렬되어 배치될 수 있다. 따라서, 로직 셀 내의 제2 배선들(M2)의 패턴 밀도가 증가할 수 있다.According to the embodiments of the present invention, the second pitch P2, which is the minimum pitch between the second wirings M2, may be smaller than the first pitch P1 which is the minimum pitch between the gate electrodes GE1-GE4. The internal wirings M2_I of the second wirings M2 may be arranged in alignment with the arrangement interval of the routing wirings M2_O (i.e., the second pitch P2). Therefore, the pattern density of the second wirings M2 in the logic cell can be increased.

도 16, 17 및 19는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 평면도들이다. 도 17a, 도 19a 및 도 21a는 각각 도 16, 17 및 19의 A-A'선에 대응하는 단면도들이고, 도 17b, 도 19b 및 도 21b는 각각 도 16, 17 및 19의 B-B'선에 대응하는 단면도들이고, 도 19c 및 도 21c는 각각 도 18 및 19의 C-C'선에 대응하는 단면도들이고, 도 19d 및 도 21d는 각각 도 18 및 19의 D-D'선에 대응하는 단면도들이다. 본 실시예에 따른 반도체 소자의 제조 방법은, 도 11의 설계된 레이아웃을 이용하여 실제 기판 상에 패턴들을 형성하는 단계들을 포함한다.16, 17 and 19 are plan views for explaining a method of manufacturing a semiconductor device according to embodiments of the present invention. 17A, 19A and 21A are sectional views corresponding to the line A-A 'in FIGS. 16, 17 and 19, and FIGS. 17B, 19B and 21B are sectional views corresponding to line B- 19C and 21C are cross-sectional views corresponding to line C-C 'in FIGS. 18 and 19, respectively, and FIGS. 19D and 21D are cross-sectional views corresponding to line D-D' admit. The method of manufacturing a semiconductor device according to the present embodiment includes the steps of forming patterns on an actual substrate using the designed layout of Fig.

도 16, 도 17a 및 도 17b를 참조하면, 기판(100)이 제공될 수 있다. 일 예로, 기판(100)은 실리콘 기판 또는 게르마늄 기판이거나, SOI(Silicon On Insulator) 기판일 수 있다. 기판(100)의 상부를 패터닝하여 제1 및 제2 활성 패턴들(FN1, FN2)이 형성될 수 있다. 제1 및 제2 활성 패턴들(FN1, FN2) 사이를 채우는 제1 소자 분리막들(ST1)이 형성될 수 있다. 기판(100) 상에 PMOSFET 영역(PR) 및 NMOSFET 영역(NR)을 정의하는 제2 소자 분리막들(ST2)이 형성될 수 있다. 16, 17A and 17B, a substrate 100 may be provided. As an example, the substrate 100 may be a silicon substrate, a germanium substrate, or a SOI (Silicon On Insulator) substrate. The first and second active patterns FN1 and FN2 may be formed by patterning the upper portion of the substrate 100. [ First element isolation films ST1 filling the space between the first and second active patterns FN1 and FN2 may be formed. Second device isolation films ST2 that define the PMOSFET region PR and the NMOSFET region NR may be formed on the substrate 100. [

제1 및 제2 소자 분리막들(ST1, ST2)은 STI(shallow trench isolation) 공정에 의하여 형성될 수 있다. 제1 및 제2 소자 분리막들(ST1, ST2)은 실리콘 산화물을 이용해 형성될 수 있다.The first and second isolation films ST1 and ST2 may be formed by a shallow trench isolation (STI) process. The first and second isolation films ST1 and ST2 may be formed using silicon oxide.

도 18 및 도 19a 내지 도 19d를 참조하면, 제1 및 제2 활성 패턴들(FN1, FN2)을 가로지르며 제1 방향(D1)으로 연장되는 게이트 전극들(GE1-GE4)이 형성될 수 있다. 게이트 전극들(GE1-GE4) 아래에 게이트 유전막들(GI)이 형성될 수 있다. 게이트 전극들(GE1-GE4) 각각의 양 측에 게이트 스페이서들(GS)이 형성될 수 있다. 게이트 전극들(GE1-GE4) 상에 게이트 캐핑막들(CP)이 형성될 수 있다.Referring to FIGS. 18 and 19A to 19D, gate electrodes GE1 to GE4 extending in the first direction D1 across the first and second active patterns FN1 and FN2 may be formed . Gate dielectric films GI may be formed under the gate electrodes GE1-GE4. Gate spacers GS may be formed on both sides of each of the gate electrodes GE1-GE4. Gate capping films CP may be formed on the gate electrodes GE1-GE4.

구체적으로, 게이트 전극들(GE1-GE4)을 형성하는 것은, 제1 및 제2 활성 패턴들(FN1, FN2)을 가로지르는 희생 패턴들을 형성하는 것, 상기 희생 패턴들의 양 측에 게이트 스페이서들(GS)을 형성하는 것, 및 상기 희생 패턴들을 게이트 전극들(GE1-GE4)로 교체하는 것을 포함할 수 있다.Specifically, forming the gate electrodes GE1-GE4 includes forming sacrificial patterns across the first and second active patterns FN1, FN2, forming gate spacers GS), and replacing the sacrificial patterns with gate electrodes (GE1-GE4).

게이트 전극들(GE1-GE4)은 도전성 금속 질화물 및 금속 물질 중 적어도 하나를 포함할 수 있다. 게이트 유전막들(GI)은 실리콘 산화막보다 유전상수가 높은 고유전율 물질을 포함할 수 있다. 게이트 스페이서들(GS)은 SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다. 게이트 캐핑막들(CP)은 SiON, SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다.The gate electrodes GE1-GE4 may comprise at least one of a conductive metal nitride and a metal material. The gate dielectric layers (GI) may include a high dielectric constant material having a higher dielectric constant than the silicon oxide film. The gate spacers GS may comprise at least one of SiCN, SiCON, and SiN. The gate capping layers CP may include at least one of SiON, SiCN, SiCON, and SiN.

제1 활성 패턴들(FN1)의 상부들에 제1 소스/드레인 영역들(SD1)이 형성될 수 있다. 제2 활성 패턴들(FN2)의 상부들에 제2 소스/드레인 영역들(SD2)이 형성될 수 있다. 제1 및 제2 소스/드레인 영역들(SD1, SD2)은 게이트 전극들(GE1-GE4) 각각의 양 측에 형성될 수 있다. 제1 소스/드레인 영역들(SD1)은 p형 불순물로 도핑될 수 있고, 제2 소스/드레인 영역들(SD2)은 n형 불순물로 도핑될 수 있다. First source / drain regions SD1 may be formed at upper portions of the first activation patterns FN1. Second source / drain regions SD2 may be formed on top of the second active patterns FN2. The first and second source / drain regions SD1 and SD2 may be formed on both sides of each of the gate electrodes GE1 to GE4. The first source / drain regions SD1 may be doped with a p-type impurity and the second source / drain regions SD2 may be doped with an n-type impurity.

구체적으로, 제1 및 제2 소스/드레인 영역들(SD1, SD2)은 에피택시얼 패턴들로서, 선택적 에피택시얼 성장 공정으로 형성될 수 있다. 게이트 전극들(GE1-GE4) 각각의 양 측의 제1 및 제2 활성 패턴들(FN1, FN2)을 일부 리세스한 뒤, 제1 및 제2 활성 패턴들(FN1, FN2)의 리세스된 영역들 상에 에피택시얼 성장 공정을 수행할 수 있다. Specifically, the first and second source / drain regions SD1 and SD2 may be formed as epitaxial patterns by a selective epitaxial growth process. After partially recessing the first and second active patterns FN1 and FN2 on both sides of each of the gate electrodes GE1-GE4, the first and second active patterns FN1 and FN2, The epitaxial growth process can be performed on the regions.

기판(100)의 전면 상에 제1 층간 절연막(110)이 형성될 수 있다. 제1 층간 절연막(110)은 실리콘 산화막 또는 실리콘 산화질화막으로 형성될 수 있다. 제1 층간 절연막(110) 내에 활성 콘택들(AC) 및 게이트 콘택들(GC)이 형성될 수 있다. 활성 콘택들(AC)은 제1 및 제2 소스/드레인 영역들(SD1, SD2) 상에 형성될 수 있다. 활성 콘택들(AC)은 제1 방향(D1)으로 연장되는 바 형태를 가질 수 있다. 게이트 콘택들(GC)은 게이트 전극들(GE1-GE4) 상에 형성될 수 있다. 게이트 콘택들(GC) 제2 방향(D2)으로 연장되는 바 형태를 가질 수 있다.The first interlayer insulating film 110 may be formed on the front surface of the substrate 100. [ The first interlayer insulating film 110 may be formed of a silicon oxide film or a silicon oxynitride film. Active contacts (AC) and gate contacts (GC) may be formed in the first interlayer insulating film (110). Active contacts AC may be formed on the first and second source / drain regions SD1 and SD2. Active contacts (AC) may have a bar shape extending in a first direction (D1). Gate contacts GC may be formed on the gate electrodes GE1-GE4. And may have a bar shape extending in the second direction D2 of the gate contacts GC.

도 20 및 도 21a 내지 도 21d를 참조하면, 제1 층간 절연막(110) 상에 제2 층간 절연막(120)이 형성될 수 있다. 제2 층간 절연막(120)은 실리콘 산화막 또는 실리콘 산화질화막으로 형성될 수 있다.Referring to FIG. 20 and FIGS. 21A to 21D, a second interlayer insulating film 120 may be formed on the first interlayer insulating film 110. The second interlayer insulating film 120 may be formed of a silicon oxide film or a silicon oxynitride film.

제2 층간 절연막(120) 내에 제1 배선들(M1) 및 제1 비아들(V1)이 형성될 수 있다. 제1 비아들(V1)은 제1 배선들(M1)과 활성 콘택들(AC) 사이 및 제1 배선들(M1)과 게이트 콘택들(GC) 사이에 형성될 수 있다. 제1 배선들(M1)은 제2 방향(D2)으로 연장되는 라인 형태 또는 바 형태를 가질 수 있다.The first interconnects M1 and the first vias V1 may be formed in the second interlayer insulating film 120. [ The first vias V1 may be formed between the first wirings M1 and the active contacts AC and between the first wirings M1 and the gate contacts GC. The first wires M1 may have a line shape or a bar shape extending in the second direction D2.

구체적으로, 도 11의 레이아웃 내의 제1 배선 패턴들(M1a)을 이용하여 제1 포토마스크가 제조될 수 있다 (도 2의 S40 참조). 상기 제1 포토마스크를 이용한 포토리소그래피 공정을 수행하여, 제2 층간 절연막(120)에 제1 배선 홀들이 형성될 수 있다. 상기 제1 배선 홀들을 도전 물질로 채워, 제1 배선들(M1)이 형성될 수 있다 (도 2의 S50 참조).Specifically, the first photomask can be manufactured using the first wiring patterns M1a in the layout of FIG. 11 (see S40 in FIG. 2). The first wiring holes may be formed in the second interlayer insulating film 120 by performing a photolithography process using the first photomask. The first wiring holes M1 may be formed by filling the first wiring holes with a conductive material (see S50 in FIG. 2).

도 14 및 도 15a 내지 도 15d를 다시 참조하면, 제2 층간 절연막(120) 상에 제3 층간 절연막(130)이 형성될 수 있다. 제3 층간 절연막(130)은 실리콘 산화막 또는 실리콘 산화질화막으로 형성될 수 있다.Referring again to FIGS. 14 and 15A to 15D, a third interlayer insulating film 130 may be formed on the second interlayer insulating film 120. FIG. The third interlayer insulating film 130 may be formed of a silicon oxide film or a silicon oxynitride film.

제3 층간 절연막(130) 내에 제2 배선들(M2) 및 제2 비아들(V2)이 형성될 수 있다. 제2 비아들(V2)은 제2 배선들(M2)과 제1 배선들(M1) 사이에 형성될 수 있다. 제2 배선들(M2)은 제1 방향(D1)으로 연장되는 라인 형태 또는 바 형태를 가질 수 있다.The second interconnects M2 and the second vias V2 may be formed in the third interlayer insulating film 130. [ The second vias V2 may be formed between the second wirings M2 and the first wirings M1. The second wirings M2 may have a line shape or a bar shape extending in the first direction D1.

구체적으로, 도 11의 레이아웃 내의 제2 배선 패턴들(M2a)을 이용하여 제2 포토마스크가 제조될 수 있다 (도 2의 S40 참조). 상기 제2 포토마스크를 이용한 포토리소그래피 공정을 수행하여, 제3 층간 절연막(130)에 제2 배선 홀들이 형성될 수 있다. 도 11의 레이아웃 내의 비아 패턴들(V2a)을 이용하여 제3 포토마스크가 제조될 수 있다 (도 2의 S40 참조). 상기 제3 포토마스크를 이용한 포토리소그래피 공정을 수행하여, 상기 제2 배선 홀들 내에 상기 제1 배선들(M1)의 일부를 노출하는 수직 홀들이 형성될 수 있다. 상기 제2 배선 홀들 및 상기 수직 홀들을 도전 물질로 채워, 제2 배선들(M2) 및 제2 비아들(V2)이 일체로 함께 형성될 수 있다 (도 2의 S50 참조).Specifically, a second photomask can be manufactured using the second wiring patterns M2a in the layout of FIG. 11 (see S40 in FIG. 2). The second wiring holes may be formed in the third interlayer insulating film 130 by performing a photolithography process using the second photomask. A third photomask can be fabricated using the via patterns V2a in the layout of FIG. 11 (see S40 in FIG. 2). The photolithography process using the third photomask may be performed to form vertical holes that expose a part of the first interconnects M1 in the second interconnect holes. The second wiring holes and the vertical holes may be filled with a conductive material so that the second wires M2 and the second vias V2 may be integrally formed together (refer to S50 in FIG. 2).

도 22은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 23는 도 22의 A-A'선에 따른 단면도이다. 본 실시예에서는, 앞서 도 14 및 도 15a 내지 도 15f를 참조하여 설명한 것과 중복되는 기술적 특징에 대한 상세한 설명은 생략하고, 차이점에 대해 상세히 설명한다.22 is a plan view for explaining a semiconductor device according to embodiments of the present invention. 23 is a cross-sectional view taken along the line A-A 'in Fig. In the present embodiment, detailed descriptions of technical features overlapping with those described with reference to FIG. 14 and FIGS. 15A to 15F will be omitted, and differences will be described in detail.

도 22 및 도 23를 참조하면, 제1 및 제2 로직 셀들(LC1, LC2)이 제공될 수 있다. 제1 및 제2 로직 셀들(LC1, LC2)은 제2 방향(D2)으로 배열될 수 있다. 제1 및 제2 로직 셀들(LC1, LC2) 각각은 임의의 로직 회로를 구성할 수 있다. 본 실시예에서, 제1 및 제2 로직 셀들(LC1, LC2)은 서로 동일한 로직 회로를 포함할 수 있다. 다시 말하면, 제1 및 제2 로직 셀들(LC1, LC2)은 동일한 트랜지스터 구조 및 내부 배선 구조를 가질 수 있다.Referring to Figures 22 and 23, first and second logic cells LC1, LC2 may be provided. The first and second logic cells LC1, LC2 may be arranged in a second direction D2. Each of the first and second logic cells LC1, LC2 may comprise any logic circuit. In this embodiment, the first and second logic cells LC1 and LC2 may comprise the same logic circuit. In other words, the first and second logic cells LC1, LC2 may have the same transistor structure and internal wiring structure.

기판(100)의 PMOSFET 영역(PR) 및 NMOSFET 영역(NR)을 가로지르는 게이트 전극들(GE1-GE3)이 제공될 수 있다. 게이트 전극들(GE1-GE3)간의 최소 피치는 제1 피치(P1)일 수 있다. 게이트 전극들(GE1-GE3)은 제1 피치(P1)를 따라 일정한 간격으로 배열될 수 있다. 각각의 제1 및 제2 로직 셀들(LC1, LC2)내의 게이트 전극들(GE1-GE3)은, 제1 내지 제3 게이트 전극들(GE1-GE3)을 포함할 수 있다.Gate electrodes GE1-GE3 may be provided across the PMOSFET region PR and the NMOSFET region NR of the substrate 100. [ The minimum pitch between the gate electrodes GE1-GE3 may be the first pitch P1. The gate electrodes GE1 to GE3 may be arranged at regular intervals along the first pitch P1. The gate electrodes GE1 to GE3 in the first and second logic cells LC1 and LC2 may include first to third gate electrodes GE1 to GE3.

제1 층간 절연막(110) 상에 순차적으로 적층된 제2 내지 제3 층간 절연막들(120, 130, 140)이 제공될 수 있다. 제2 층간 절연막(120) 내에 제1 금속 층이 제공될 수 있고, 제3 층간 절연막(130) 내에 제2 금속 층이 제공될 수 있고, 제4 층간 절연막(140) 내에 제3 금속 층이 제공될 수 있다. 제2 층간 절연막(120) 내의 제1 금속 층은 제1 배선들(M1) 및 제1 비아들(V1)을 포함할 수 있다. 제3 층간 절연막(130) 내의 제2 금속 층은 제2 배선들(M2) 및 제2 비아들(V2)을 포함할 수 있다. 제4 층간 절연막(140) 내의 제3 금속 층은 제3 배선들(M3_I, M3_O) 및 제3 비아들(V3)을 포함할 수 있다.The second to third interlayer insulating films 120, 130, and 140 may be sequentially stacked on the first interlayer insulating film 110. A first metal layer may be provided in the second interlayer insulating film 120, a second metal layer may be provided in the third interlayer insulating film 130, and a third metal layer may be provided in the fourth interlayer insulating film 140 . The first metal layer in the second interlayer insulating film 120 may include first wirings M1 and first vias V1. The second metal layer in the third interlayer insulating film 130 may include second wirings M2 and second vias V2. The third metal layer in the fourth interlayer insulating film 140 may include third wirings M3_I and M3_O and third vias V3.

적어도 하나의 제1 배선(M1)은, 제1 방향(D1)으로 연장되는 부분 및 제2 방향(D2)으로 연장되는 부분을 포함할 수 있다. 앞서 도 14 및 도 15a 내지 도 15f를 참조하여 설명한 것 제1 배선들(M1)과는 달리, 본 실시예의 제1 배선들(M1)은 제1 방향(D1) 및 /또는 제2 방향(D2)으로 연장될 수 있으며, 특별히 제한되지 않는다.At least one of the first wirings M1 may include a portion extending in the first direction D1 and a portion extending in the second direction D2. The first wirings M1 of the present embodiment are different from the first wirings M1 described with reference to Figs. 14 and 15A to 15F in the first direction D1 and / or the second direction D2 ), And is not particularly limited.

제2 배선들(M2)은 제2 방향(D2)으로 연장될 수 있다. 앞서 도 14 및 도 15a 내지 도 15f를 참조하여 설명한 것 제2 배선들(M2)과는 달리, 본 실시예의 제2 배선들(M2)은 게이트 전극들(GE1-GE3)의 연장 방향과 교차하는 제2 방향(D2)으로 연장될 수 있다. And the second wirings M2 may extend in the second direction D2. Unlike the second wirings M2 described with reference to Figs. 14 and 15A to 15F, the second wirings M2 of the present embodiment are formed so as to cross the extending direction of the gate electrodes GE1-GE3 And may extend in the second direction D2.

제1 로직 셀(LC1) 내의 로직 트랜지스터들, 제1 금속 층 및 제2 금속 층의 형태 및 위치는 제2 로직 셀(LC2) 내의 로직 트랜지스터들, 제1 금속 층 및 제2 금속 층의 형태 및 위치와 실질적으로 동일할 수 있다. 이는 제1 로직 셀(LC1) 및 제2 로직 셀(LC2)이 서로 동일한 로직 회로를 포함하기 때문이다.The shape and location of the logic transistors, the first metal layer and the second metal layer in the first logic cell LC1 are determined by the shape of the logic transistors, the first metal layer and the second metal layer in the second logic cell LC2, Position. ≪ / RTI > This is because the first logic cell LC1 and the second logic cell LC2 comprise the same logic circuit.

제3 배선들(M3_I, M3_O)은 내부 배선들(M3_I) 및 라우팅 배선들(M3_O)을 포함할 수 있다. 제3 배선들(M3_I, M3_O)은 게이트 전극들(GE1-GE3)의 연장 방향과 평행한 제1 방향(D1)으로 연장될 수 있다.The third wirings M3_I and M3_O may include the internal wirings M3_I and the routing wirings M3_O. The third wirings M3_I and M3_O may extend in a first direction D1 parallel to the extending direction of the gate electrodes GE1-GE3.

제3 배선들(M3_I, M3_O)간의 최소 피치는 제2 피치(P2)일 수 있다. 인접하는 제3 배선들(M3_I, M3_O)간의 간격은 n×P2 (n은 1 이상의 정수)일 수 있다. 제3 배선들(M3_I, M3_O)간의 최소 피치인 제2 피치(P2)는 게이트 전극들(GE1-GE3)간의 최소 피치인 제1 피치(P1)보다 작을 수 있다. The minimum pitch between the third wirings M3_I and M3_O may be the second pitch P2. The interval between the adjacent third wirings M3_I and M3_O may be n x P2 (n is an integer of 1 or more). The second pitch P2 which is the minimum pitch between the third wirings M3_I and M3_O may be smaller than the first pitch P1 which is the minimum pitch between the gate electrodes GE1 and GE3.

각각의 제1 및 제2 로직 셀들(LC1, LC2) 내의 내부 배선(M3_I)은 PMOSFET 영역(PR) 상에서 NMOSFET 영역(NR) 상으로 연장될 수 있다. 내부 배선(M3_I)은 PMOSFET과 NMOSFET을 서로 전기적으로 연결할 수 있다. 다시 말하면, 각각의 제1 및 제2 로직 셀들(LC1, LC2) 내의 내부 배선(M3_I)은 로직 회로를 구성하는 배선일 수 있다. 예를 들어, 내부 배선(M3_I)은 상기 로직 회로의 입력 노드 또는 출력 노드일 수 있다.The internal wiring M3_I in each of the first and second logic cells LC1 and LC2 may extend over the NMOSFET region NR on the PMOSFET region PR. The internal wiring M3_I can electrically connect the PMOSFET and the NMOSFET to each other. In other words, the internal wiring M3_I in each of the first and second logic cells LC1, LC2 may be a wiring constituting a logic circuit. For example, the internal wiring M3_I may be an input node or an output node of the logic circuit.

제1 및 제2 로직 셀들(LC1, LC2)이 서로 동일한 로직 회로를 포함할 지라도, 제1 및 제2 로직 셀들(LC1, LC2) 내의 내부 배선들(M3_I)의 위치들은 서로 다를 수 있다. 평면적 관점에서, 제1 로직 셀(LC1)의 내부 배선(M3_I)이 이와 인접하는 제1 게이트 전극(GE1)으로부터 오프셋된 거리는 제2 로직 셀(LC2)의 내부 배선(M3_I)이 이와 인접하는 제1 게이트 전극(GE1)으로부터 오프셋된 거리와 다를 수 있다.Although the first and second logic cells LC1 and LC2 include the same logic circuit, the positions of the internal wirings M3_I in the first and second logic cells LC1 and LC2 may be different from each other. The distance offset from the first gate electrode GE1 adjacent to the internal wiring M3_I of the first logic cell LC1 is smaller than the distance between the internal wiring M3_I of the second logic cell LC2 and the adjacent 1 < / RTI > gate electrode GE1.

각각의 제1 및 제2 로직 셀들(LC1, LC2) 내의 라우팅 배선들(M3_O)은 그의 로직 회로를 다른 로직 셀의 로직 회로와 연결할 수 있다. 다시 말하면, 라우팅 배선들(M3_O)은 제1 및 제2 로직 셀들(LC1, LC2)의 로직 회로들과는 독립적일 수 있다. 제1 및 제2 로직 셀들(LC1, LC2) 내의 라우팅 배선들(M3_O)의 개수 및 형태는 서로 다를 수 있다.The routing interconnects M3_O in each of the first and second logic cells LC1, LC2 can couple their logic circuitry with the logic circuitry of the other logic cell. In other words, the routing interconnections M3_O may be independent of the logic circuits of the first and second logic cells LC1, LC2. The number and type of routing interconnections M3_O in the first and second logic cells LC1, LC2 may be different.

이상, 첨부된 도면들을 참조하여 본 발명의 실시 예들을 설명하였지만, 본 발명은 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수도 있다. 그러므로 이상에서 기술한 실시 예들에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야 한다.Although the embodiments of the present invention have been described with reference to the accompanying drawings, the present invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. It is to be understood, therefore, that the embodiments described above are in all respects illustrative and not restrictive.

Claims (20)

PMOSFET 영역 및 NMOSFET 영역을 포함하는 기판;
상기 PMOSFET 영역 상의 제1 활성 패턴들;
상기 NMOSFET 영역 상의 제2 활성 패턴들;
상기 제1 및 제2 활성 패턴들을 가로지르며 제1 방향으로 연장되는 게이트 전극들; 및
상기 게이트 전극들 상에 배치되고, 상기 제1 방향으로 연장되는 제1 배선들을 포함하되,
상기 게이트 전극들은 제1 피치(P1)에 따라 상기 제1 방향에 교차하는 제2 방향으로 배열되고,
상기 제1 배선들은 제2 피치(P2)에 따라 상기 제2 방향으로 배열되며,
상기 제2 피치(P2)는 상기 제1 피치(P1)보다 작은 반도체 소자.
A substrate including a PMOSFET region and an NMOSFET region;
First active patterns on the PMOSFET region;
Second active patterns on the NMOSFET region;
Gate electrodes extending in a first direction across the first and second active patterns; And
And first wirings disposed on the gate electrodes and extending in the first direction,
The gate electrodes are arranged in a second direction intersecting the first direction according to a first pitch (P1)
The first wirings are arranged in the second direction in accordance with the second pitch P2,
And the second pitch (P2) is smaller than the first pitch (P1).
제1항에 있어서,
상기 제1 배선들은 라우팅 배선 및 내부 배선을 포함하고,
평면적 관점에서, 상기 내부 배선은 상기 PMOSFET 영역으로부터 상기 NMOSFET 영역으로 연장되고,
상기 내부 배선은 상기 제1 활성 패턴들과 상기 제2 활성 패턴들을 서로 전기적으로 연결하며,
평면적 관점에서, 상기 내부 배선의 일 단은 상기 PMOSFET 영역 상에 위치하고,
평면적 관점에서, 상기 내부 배선의 타 단은 상기 NMOSFET 영역 상에 위치하는 반도체 소자.
The method according to claim 1,
Wherein the first wirings include a routing wiring and an internal wiring,
From a planar viewpoint, the internal wiring extends from the PMOSFET region to the NMOSFET region,
The internal wiring electrically connecting the first active patterns and the second active patterns to each other,
From a plan viewpoint, one end of the internal wiring is located on the PMOSFET region,
The other end of the internal wiring is located on the NMOSFET region.
제2항에 있어서,
상기 PMOSFET 영역 및 상기 NMOSFET 영역은 하나의 로직 셀을 구성하고,
상기 라우팅 배선은 상기 로직 셀의 경계를 넘어 다른 로직 셀 상으로 연장되는 반도체 소자.
3. The method of claim 2,
Wherein the PMOSFET region and the NMOSFET region constitute one logic cell,
Wherein the routing interconnect extends over another logic cell beyond a boundary of the logic cell.
제2항에 있어서,
상기 라우팅 배선과 상기 내부 배선간의 간격은 n×P2 이며,
상기 n은 1 이상의 정수인 반도체 소자.
3. The method of claim 2,
The interval between the routing wiring and the internal wiring is n x P2,
And n is an integer of 1 or more.
제1항에 있어서,
상기 게이트 전극들은 상기 제1 방향으로 연장되는 가상의 게이트 트랙들에 맞추어 정렬되고,
상기 제1 배선들은 상기 제1 방향으로 연장되는 가상의 배선 트랙들에 맞추어 정렬되며,
서로 인접하는 상기 게이트 트랙들 사이의 거리는 상기 제1 피치(P1)이고,
서로 인접하는 상기 배선 트랙들 사이의 거리는 상기 제2 피치(P2)인 반도체 소자.
The method according to claim 1,
Wherein the gate electrodes are aligned with virtual gate tracks extending in the first direction,
Wherein the first wirings are aligned with virtual wiring tracks extending in the first direction,
The distance between the gate tracks adjacent to each other is the first pitch Pl,
And a distance between the adjacent wiring tracks is the second pitch (P2).
제1항에 있어서,
상기 기판 상에, 상기 제1 및 제2 활성 패턴들 및 상기 게이트 전극들을 덮는 층간 절연막;
상기 층간 절연막 내의 활성 콘택들 및 게이트 콘택들을 더 포함하되,
상기 제1 및 제2 활성 패턴들은:
상기 게이트 전극들 아래의 채널 영역들; 및
상기 채널 영역들 사이의 소스/드레인 영역들을 포함하고,
상기 활성 콘택들은 상기 소스/드레인 영역들과 연결되고,
상기 게이트 콘택들은 상기 게이트 전극들과 연결되는 반도체 소자.
The method according to claim 1,
An interlayer insulating film covering the first and second active patterns and the gate electrodes on the substrate;
Further comprising active contacts and gate contacts in the interlayer dielectric,
Wherein the first and second active patterns comprise:
Channel regions under the gate electrodes; And
Source / drain regions between the channel regions,
The active contacts are connected to the source / drain regions,
Wherein the gate contacts are connected to the gate electrodes.
제6항에 있어서,
상기 활성 콘택들과 상기 제1 배선들 사이 및 상기 게이트 콘택들과 상기 제1 배선들 사이에 개재된 제2 배선들을 더 포함하되,
상기 제2 배선들은 상기 제2 방향으로 연장되고,
상기 제2 배선들은 상기 활성 콘택들 및 상기 게이트 콘택들을 상기 제1 배선들과 전기적으로 연결하는 반도체 소자.
The method according to claim 6,
Further comprising second wirings interposed between the active contacts and the first wirings and between the gate contacts and the first wirings,
The second wirings extend in the second direction,
Wherein the second wirings electrically connect the active contacts and the gate contacts with the first wirings.
기판 상의 제1 로직 셀 및 제2 로직 셀을 포함하되,
상기 제1 로직 셀 및 상기 제2 로직 셀은 서로 동일한 로직 회로를 포함하고,
각각의 상기 제1 및 제2 로직 셀들은:
상기 PMOSFET 영역 및 상기 NMOSFET 영역을 가로지르며 제1 방향으로 연장되는 게이트 전극; 및
상기 게이트 전극 상에 배치되고, 상기 제1 방향으로 연장되는 내부 배선을 포함하고,
상기 내부 배선은 상기 로직 회로를 구성하는 배선이며,
평면적 관점에서, 상기 제1 로직 셀의 상기 내부 배선이 상기 제1 로직 셀의 상기 게이트 전극으로부터 오프셋된 거리는, 상기 제2 로직 셀의 상기 내부 배선이 상기 제2 로직 셀의 상기 게이트 전극으로부터 오프셋된 거리와 다른 반도체 소자.
A first logic cell and a second logic cell on the substrate,
Wherein the first logic cell and the second logic cell comprise the same logic circuit,
Each of said first and second logic cells comprising:
A gate electrode extending in the first direction across the PMOSFET region and the NMOSFET region; And
And an internal wiring disposed on the gate electrode and extending in the first direction,
Wherein the internal wiring is a wiring constituting the logic circuit,
From a plan viewpoint, the distance of the internal wiring of the first logic cell offset from the gate electrode of the first logic cell is such that the internal wiring of the second logic cell is offset from the gate electrode of the second logic cell Distance and other semiconductor devices.
제8항에 있어서,
상기 내부 배선은 상기 PMOSFET 영역의 PMOS 트랜지스터와 상기 NMOSFET 영역의 NMOS 트랜지스터를 서로 전기적으로 연결하는 반도체 소자.
9. The method of claim 8,
Wherein the internal wiring electrically connects the PMOS transistor of the PMOSFET region and the NMOS transistor of the NMOSFET region to each other.
제8항에 있어서,
평면적 관점에서, 상기 내부 배선의 일 단은 상기 PMOSFET 영역 상에 위치하고,
평면적 관점에서, 상기 내부 배선의 타 단은 상기 NMOSFET 영역 상에 위치하는 반도체 소자.
9. The method of claim 8,
From a plan viewpoint, one end of the internal wiring is located on the PMOSFET region,
The other end of the internal wiring is located on the NMOSFET region.
제8항에 있어서,
상기 제1 로직 셀의 상기 내부 배선의 형태는 상기 제2 로직 셀의 상기 내부 배선의 형태와 실질적으로 동일한 반도체 소자.
9. The method of claim 8,
Wherein the shape of the internal wiring of the first logic cell is substantially the same as the shape of the internal wiring of the second logic cell.
제8항에 있어서,
각각의 상기 제1 및 제2 로직 셀들은, 상기 내부 배선과 동일한 레벨에 배치되고 상기 제1 방향으로 연장되는 라우팅 배선을 더 포함하고,
상기 라우팅 배선은 각각의 상기 제1 및 제2 로직 셀들을 다른 로직 셀과 연결하는 반도체 소자.
9. The method of claim 8,
Each of the first and second logic cells further includes a routing wiring disposed at the same level as the internal wiring and extending in the first direction,
Wherein the routing interconnect connects each of the first and second logic cells to another logic cell.
제12항에 있어서,
각각의 상기 제1 및 제2 로직 셀들 내의 상기 게이트 전극은 복수개로 제공되고,
상기 게이트 전극들은 제1 피치(P1)에 따라 상기 제1 방향에 교차하는 제2 방향으로 배열되고,
상기 내부 배선 및 상기 라우팅 배선은 제2 피치(P2)에 따라 상기 제2 방향으로 배열되며,
상기 제2 피치(P2)는 상기 제1 피치(P1)보다 작은 반도체 소자.
13. The method of claim 12,
Wherein a plurality of said gate electrodes in each of said first and second logic cells are provided,
The gate electrodes are arranged in a second direction intersecting the first direction according to a first pitch (P1)
The internal wiring and the routing wiring are arranged in the second direction in accordance with the second pitch P2,
And the second pitch (P2) is smaller than the first pitch (P1).
제8항에 있어서,
각각의 상기 제1 및 제2 로직 셀들은, 상기 게이트 전극과 상기 내부 배선 사이에 개재된 제1 배선을 더 포함하고,
상기 제1 배선은 상기 제1 방향에 교차하는 제2 방향으로 연장되며,
상기 제1 로직 셀 내에서 상기 제1 배선의 배치는, 상기 제2 로직 셀 내에서 상기 제1 배선의 배치와 실질적으로 동일한 반도체 소자.
9. The method of claim 8,
Each of the first and second logic cells further includes a first wiring interposed between the gate electrode and the internal wiring,
Wherein the first wiring extends in a second direction crossing the first direction,
Wherein the arrangement of the first wiring in the first logic cell is substantially the same as the arrangement of the first wiring in the second logic cell.
제8항에 있어서,
상기 제1 로직 셀의 상기 게이트 전극과 상기 제2 로직 셀의 상기 게이트 전극은, 상기 로직 회로에 있어서 동일한 게이트를 구성하는 반도체 소자.
9. The method of claim 8,
Wherein the gate electrode of the first logic cell and the gate electrode of the second logic cell form the same gate in the logic circuit.
반도체 소자의 레이아웃을 설계하는 것; 및
상기 레이아웃을 이용하여 기판 상에 패턴들을 형성하는 것을 포함하되,
상기 레이아웃을 설계하는 것은:
표준 셀들을 배치하는 것;
적어도 하나의 상기 표준 셀들 내의 내부 배선 패턴을 배선 패턴 트랙들에 맞추어 재정렬하는 것; 및
상기 배선 패턴 트랙들에 맞추어 라우팅 패턴들을 배치하여, 표준 셀들을 라우팅하는 것을 포함하는 반도체 소자의 제조 방법.
Designing the layout of semiconductor devices; And
And forming patterns on the substrate using the layout,
Designing the layout includes:
Placing standard cells;
Rearranging the internal wiring patterns in at least one of the standard cells to the wiring pattern tracks; And
And routing routing patterns to the wiring pattern tracks to route standard cells.
제16항에 있어서,
상기 표준 셀들 각각은 게이트 패턴 트랙들에 맞추어 정렬된 게이트 패턴들을 포함하고,
서로 인접하는 상기 배선 패턴 트랙들간의 거리는 제1 거리이며,
서로 인접하는 상기 게이트 패턴 트랙들간의 거리는 제2 거리이고,
상기 제1 거리는 상기 제2 거리보다 작은 반도체 소자의 제조 방법.
17. The method of claim 16,
Each of the standard cells including gate patterns aligned with gate pattern tracks,
The distance between the adjacent wiring pattern tracks is a first distance,
The distance between the adjacent gate pattern tracks is a second distance,
Wherein the first distance is smaller than the second distance.
제17항에 있어서,
상기 게이트 패턴들, 상기 내부 배선 패턴 및 상기 라우팅 패턴들은 제1 방향으로 연장되는 라인 형태 또는 바 형태를 갖는 반도체 소자의 제조 방법.
18. The method of claim 17,
Wherein the gate patterns, the internal wiring pattern, and the routing patterns have a line shape or a bar shape extending in a first direction.
제16항에 있어서,
상기 내부 배선 패턴 및 상기 라우팅 패턴들은 동일한 레벨에 배치되는 반도체 소자의 제조 방법.
17. The method of claim 16,
Wherein the internal wiring pattern and the routing patterns are disposed at the same level.
제16항에 있어서,
서로 인접하는 상기 배선 패턴 트랙들간의 거리는 제1 거리이며,
상기 내부 배선 패턴이 재정렬되면서 이동하는 최대 거리는, 상기 제1 거리의 절반인 반도체 소자의 제조 방법.
17. The method of claim 16,
The distance between the adjacent wiring pattern tracks is a first distance,
Wherein a maximum distance that the inner wiring patterns move while rearranging is half of the first distance.
KR1020170099161A 2017-03-02 2017-08-04 Semiconductor device and method for manufacturing the same KR102370024B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US15/908,253 US10593701B2 (en) 2017-03-02 2018-02-28 Semiconductor device including a gate pitch and an interconnection line pitch and a method for manufacturing the same
CN201810177416.9A CN108538829B (en) 2017-03-02 2018-03-02 Semiconductor device and method for manufacturing the same
US16/791,964 US11398499B2 (en) 2017-03-02 2020-02-14 Semiconductor device including a gate pitch and an interconnection line pitch and a method for manufacturing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170027209 2017-03-02
KR20170027209 2017-03-02

Publications (2)

Publication Number Publication Date
KR20180101698A true KR20180101698A (en) 2018-09-13
KR102370024B1 KR102370024B1 (en) 2022-03-07

Family

ID=63593566

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170099161A KR102370024B1 (en) 2017-03-02 2017-08-04 Semiconductor device and method for manufacturing the same

Country Status (1)

Country Link
KR (1) KR102370024B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200146026A (en) * 2019-06-19 2020-12-31 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Tie off device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150333008A1 (en) * 2014-05-15 2015-11-19 Qualcomm Incorporated Standard cell architecture with m1 layer unidirectional routing
KR20160105263A (en) * 2015-02-26 2016-09-06 삼성전자주식회사 System on chip and method of design layout for the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150333008A1 (en) * 2014-05-15 2015-11-19 Qualcomm Incorporated Standard cell architecture with m1 layer unidirectional routing
KR20160105263A (en) * 2015-02-26 2016-09-06 삼성전자주식회사 System on chip and method of design layout for the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200146026A (en) * 2019-06-19 2020-12-31 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Tie off device
US11862637B2 (en) 2019-06-19 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Tie off device

Also Published As

Publication number Publication date
KR102370024B1 (en) 2022-03-07

Similar Documents

Publication Publication Date Title
US11404443B2 (en) Semiconductor device
CN108538829B (en) Semiconductor device and method for manufacturing the same
US11101803B2 (en) Semiconductor device
US10332870B2 (en) Semiconductor device including a field effect transistor
CN109037215B (en) Semiconductor device and method for manufacturing the same
US11302636B2 (en) Semiconductor device and manufacturing method of the same
US11557585B2 (en) Semiconductor device including a field effect transistor
CN112086450A (en) Semiconductor device with a plurality of transistors
CN112786582A (en) Semiconductor device and method for manufacturing the same
KR20210070892A (en) Semiconductor device and method for manufacturing the same
KR102370024B1 (en) Semiconductor device and method for manufacturing the same
US11387255B2 (en) Semiconductor device
KR20170027241A (en) Semiconductor device
KR20210054437A (en) Semiconductor device and method for manufacturing the same
KR20220158886A (en) Semiconductor device and method for manufacturing the same
KR20200143631A (en) Semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right