KR20180042358A - Three-dimensional NAND device including support pedestal structures for buried source lines and method of manufacturing the same - Google Patents

Three-dimensional NAND device including support pedestal structures for buried source lines and method of manufacturing the same Download PDF

Info

Publication number
KR20180042358A
KR20180042358A KR1020187007914A KR20187007914A KR20180042358A KR 20180042358 A KR20180042358 A KR 20180042358A KR 1020187007914 A KR1020187007914 A KR 1020187007914A KR 20187007914 A KR20187007914 A KR 20187007914A KR 20180042358 A KR20180042358 A KR 20180042358A
Authority
KR
South Korea
Prior art keywords
layer
memory
conductive
dielectric
semiconductor
Prior art date
Application number
KR1020187007914A
Other languages
Korean (ko)
Other versions
KR101944229B1 (en
Inventor
통 장
요한 알스마이어
제임스 카이
진 류
얀리 장
Original Assignee
샌디스크 테크놀로지스 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/017,961 external-priority patent/US9799670B2/en
Priority claimed from US15/225,492 external-priority patent/US9831266B2/en
Application filed by 샌디스크 테크놀로지스 엘엘씨 filed Critical 샌디스크 테크놀로지스 엘엘씨
Publication of KR20180042358A publication Critical patent/KR20180042358A/en
Application granted granted Critical
Publication of KR101944229B1 publication Critical patent/KR101944229B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • H01L27/11582
    • H01L21/28282
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • H01L27/11565
    • H01L27/1157
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42344Gate electrodes for transistors with charge trapping gate insulator with at least one additional gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND

Abstract

3차원 메모리 디바이스는 기판 위에 위치된 전기 전도성 층들 및 절연 층들의 교번 스택, 및 메모리 스택 구조물들의 어레이를 포함한다. 소스 전도성 라인 구조물이 기판과 교번 스택 사이에 제공된다. 소스 전도성 라인 구조물은, 동일한 수평 방향을 따라 연장되고 공통 전도성 스트래들링 구조물에 인접된, 복수의 평행한 전도성 레일 구조물들을 포함한다. 각각의 메모리 스택 구조물은 전도성 레일 구조물과 지지 매트릭스 사이의 수직 계면을 스트래들한다. 각각의 메모리 스택 구조물 내의 반도체 채널은 각자의 전도성 레일 구조물 및 지지 매트릭스와 접촉한다.A three-dimensional memory device includes an alternating stack of electrically conductive layers and insulating layers positioned over a substrate, and an array of memory stack structures. A source conductive line structure is provided between the substrate and the alternating stack. The source conductive line structure includes a plurality of parallel conductive rail structures extending along the same horizontal direction and adjacent to the common conductive straining structure. Each memory stack structure straddles the vertical interface between the conductive rail structure and the support matrix. The semiconductor channels in each memory stack structure are in contact with their respective conductive rail structures and support matrices.

Figure P1020187007914
Figure P1020187007914

Description

매립형 소스 라인을 위한 지지 페데스탈 구조물들을 포함하는 3차원 NAND 디바이스 및 그 제조 방법Three-dimensional NAND device including support pedestal structures for buried source lines and method of manufacturing the same

관련 출원들Related Applications

본 출원은 2016년 8월 1일자로 출원된 미국 특허 출원 제15/225,492호의 일부 계속 출원이고, 미국 특허 출원 제15/225,492호는 2016년 2월 8일자로 출원된 미국 특허 출원 제15/017,961호의 일부 계속 출원이며, 미국 특허 출원 제15/017,961호는 2015년 11월 20일자로 출원된 미국 특허 가특허 출원 제62/258,250호의 우선권의 이익을 주장하며, 이 출원들의 전체 내용은 참조에 의해 그 전체가 본원에 원용된다.This application is a continuation-in-part of U.S. Patent Application No. 15 / 225,492, filed August 1, 2016, and U.S. Patent Application No. 15 / 225,492, entitled U.S. Patent Application No. 15 / 017,961, filed February 8, And U.S. Patent Application No. 15 / 017,961, which claims priority from U.S. Patent Application No. 62 / 258,250, filed on November 20, 2015, the entire contents of which are incorporated herein by reference The entirety of which is hereby incorporated by reference.

본 개시내용은 일반적으로 반도체 디바이스들의 분야에 관한 것으로서, 구체적으로는, 수직 NAND 스트링들 및 다른 3차원 디바이스들과 같은, 3차원 메모리 구조물들, 및 그 제조 방법들에 관한 것이다.This disclosure relates generally to the field of semiconductor devices, and more specifically, to three-dimensional memory structures, such as vertical NAND strings and other three-dimensional devices, and methods of fabrication thereof.

셀당 1 비트를 갖는 3차원 수직 NAND 스트링들은 T. Endoh 등의 논문 ["Novel Ultra High Density Memory With A Stacked-Surrounding Gate Transistor (S-SGT) Structured Cell", IEDM Proc. (2001) 33-36]에 개시되어 있다.Three-dimensional vertical NAND strings with 1 bit per cell are described in T. Endoh et al., &Quot; Novel Ultra High Density Memory with A Stacked-Surrounding Gate Transistor (S-SGT) Structured Cell ", IEDM Proc. (2001) 33-36.

본 개시내용의 일 양태에 따르면, 3차원 메모리 디바이스가 제공되며, 이 3차원 메모리 디바이스는: 기판 위에 위치된 전기 전도성 층들 및 절연 층들의 교번 스택(alternating stack); 메모리 스택 구조물들의 어레이 - 각각의 메모리 스택 구조물은 교번 스택을 관통하여 연장되고 메모리 막 및 메모리 막에 의해 측방으로 둘러싸인 반도체 채널을 포함함 -; 및 각각의 반도체 채널의 측벽의 하단 부분과 접촉하고 교번 스택과 기판 사이에 위치된 소스 전도성 층을 포함한다. 소스 전도성 층은, 제1 수평 방향을 따라 연장되고 서로 측방으로 이격된, 복수의 전도성 레일 구조물들을 포함한다.According to an aspect of the present disclosure, a three-dimensional memory device is provided, the three-dimensional memory device comprising: an alternating stack of electrically conductive layers and insulating layers located on a substrate; An array of memory stack structures, each memory stack structure comprising a semiconductor channel extending through an alternating stack and laterally surrounded by a memory film and a memory film; And a source conductive layer in contact with the lower portion of the sidewalls of each semiconductor channel and positioned between the alternating stack and the substrate. The source conductive layer includes a plurality of conductive rail structures extending along the first horizontal direction and laterally spaced from each other.

본 개시내용의 다른 양태에 따르면, 3차원 메모리 디바이스를 형성하는 방법이 제공된다. 제1 수평 방향을 따라 연장되는 복수의 채널들을 포함하는 매트릭스 재료 층이 기판 위에 형성된다. 복수의 희생 레일 구조물들이 복수의 채널들에 형성된다. 절연체 층들 및 스페이서 재료 층들의 교번 스택이 매트릭스 재료 층 및 희생 레일 구조물들 위에 형성된다. 메모리 스택 구조물들이 교번 스택 및 희생 레일 구조물들의 부분들을 관통하여 형성된다. 메모리 스택 구조물들 각각은 각자의 메모리 막 및 각자의 반도체 채널을 포함한다. 교번 스택을 관통하여 연장되는 배면 트렌치가 형성된다. 희생 레일 구조물들의 표면들이 배면 트렌치 아래쪽에서 물리적으로 노출된다. 복수의 측방 연장 캐비티들을 형성하기 위해 복수의 희생 레일 구조물들이 매트릭스 재료 층에 대해 선택적으로 제거된다. 매트릭스 재료 층과 접촉하는 메모리 막의 부분들은 제거하지 않으면서, 측방 연장 캐비티들에 물리적으로 노출된 메모리 막의 부분들이 제거된다. 소스 전도성 층이 배면 트렌치의 하부 부분 및 복수의 측방 연장 캐비티들 내에 그리고 반도체 채널들의 측벽들과 접촉하게 형성된다.According to another aspect of the present disclosure, a method of forming a three-dimensional memory device is provided. A layer of matrix material comprising a plurality of channels extending along a first horizontal direction is formed over the substrate. A plurality of sacrificial rail structures are formed in the plurality of channels. Alternating stacks of insulating layers and spacer material layers are formed over the matrix material layer and the sacrificial rail structures. Memory stack structures are formed through portions of the alternating stack and sacrificial rail structures. Each of the memory stack structures includes a respective memory film and a respective semiconductor channel. A backside trench extending through the alternating stack is formed. The surfaces of the sacrificial rail structures are physically exposed below the back trenches. A plurality of sacrificial rail structures are selectively removed with respect to the layer of matrix material to form a plurality of laterally extending cavities. Portions of the memory film physically exposed to the side extension cavities are removed without removing portions of the memory film that are in contact with the layer of matrix material. A source conductive layer is formed in the bottom portion of the backside trench and in the plurality of lateral extension cavities and in contact with the sidewalls of the semiconductor channels.

본 개시내용의 일 양태에 따르면, 3차원 메모리 디바이스는 기판 위에 위치된 전기 전도성 층들 및 절연 층들의 교번 스택, 메모리 스택 구조물들의 어레이 - 각각의 메모리 스택 구조물은 교번 스택을 관통하여 연장되고 메모리 막 및 메모리 막에 의해 측방으로 둘러싸인 반도체 채널을 포함함 -, 및 교번 스택과 기판 사이에 위치된 지지 구조물들을 포함한다. 본 디바이스는 또한, 교번 스택 아래에 있고 기판 위에 있으며 지지 구조물들과 접촉하는, 소스 전도성 층을 포함할 수 있다.According to one aspect of the disclosure, a three-dimensional memory device includes an alternating stack of electrically conductive layers and insulating layers disposed on a substrate, an array of memory stack structures, each memory stack structure extending through an alternating stack, A semiconductor channel surrounded laterally by the memory film, and support structures located between the alternating stack and the substrate. The device may also include a source conductive layer under the alternating stack and over the substrate and in contact with the support structures.

본 개시내용의 다른 양태에 따르면, 3차원 메모리 디바이스를 형성하는 방법이 제공된다. 지지 페데스탈 구조물들 및 희생 재료 부분들을 포함하는 층이 기판 위에 형성된다. 절연체 층들 및 스페이서 재료 층들의 교번 스택이 지지 페데스탈 구조물들 및 희생 재료 부분들 위에 형성된다. 메모리 스택 구조물들은 교번 스택을 관통하여 형성된다. 메모리 스택 구조물들 각각은 메모리 막의 각자의 부분 및 각자의 반도체 채널을 포함하고, 각자의 희생 재료 부분 내로 돌출한다. 적어도 하나의 측방 연장 캐비티를 형성하기 위해 지지 페데스탈 구조물들은 제거하지 않으면서 희생 재료 부분들이 제거된다. 지지 페데스탈 구조물들과 접촉하는 메모리 막의 부분들을 제거하지 않으면서, 적어도 하나의 측방 연장 캐비티에 물리적으로 노출된 메모리 막의 부분들이 제거된다. 적어도 하나의 측방 연장 캐비티에 그리고 반도체 채널들의 측벽들 상에 전도성 레일 구조물들이 형성된다.According to another aspect of the present disclosure, a method of forming a three-dimensional memory device is provided. A layer comprising support pedestal structures and sacrificial material portions is formed over the substrate. Alternating stacks of insulating layers and spacer material layers are formed over the support pedestal structures and sacrificial material portions. The memory stack structures are formed through alternating stacks. Each of the memory stack structures includes a respective portion of the memory film and a respective semiconductor channel and protrudes into a respective sacrificial material portion. The sacrificial material portions are removed without removing the support pedestal structures to form at least one lateral extending cavity. Portions of the memory film physically exposed to the at least one lateral extension cavity are removed without removing portions of the memory film that are in contact with the support pedestal structures. Conductive rail structures are formed in at least one lateral extension cavity and on the sidewalls of the semiconductor channels.

본 개시내용의 일 양태에 따르면, 3차원 메모리 디바이스가 제공되며, 이 3차원 메모리 디바이스는 기판 위에 위치된 전기 전도성 층들 및 절연 층들의 교번 스택; 메모리 스택 구조물들의 어레이 - 각각의 메모리 스택 구조물은 교번 스택을 관통하여 연장되고 메모리 막 및 메모리 막에 의해 측방으로 둘러싸인 반도체 채널을 포함함 -; 및 교번 스택과 기판 사이에 위치된 유전체 필라들의 어레이를 포함한다.According to one aspect of the disclosure, there is provided a three-dimensional memory device comprising: an alternating stack of electrically conductive layers and insulating layers disposed over a substrate; An array of memory stack structures, each memory stack structure comprising a semiconductor channel extending through an alternating stack and laterally surrounded by a memory film and a memory film; And an array of dielectric pillars positioned between the alternating stack and the substrate.

본 개시내용의 다른 양태에 따르면, 3차원 메모리 디바이스를 제조하는 방법이 제공된다. 희생 매트릭스 층이 기판 위에 형성된다. 희생 매트릭스 층이 캐비티들의 어레이를 형성하도록 패터닝된다. 캐비티들의 어레이를 유전체 충전 재료로 채우는 것에 의해 유전체 필라들의 어레이가 형성된다. 절연체 층들 및 스페이서 재료 층들의 교번 스택이 유전체 필라들의 어레이 및 희생 매트릭스 층 위에 형성된다. 메모리 스택 구조물들의 어레이는 교번 스택 및 희생 매트릭스 층을 관통하여 형성된다. 희생 매트릭스 층이 소스 전도성 층으로 대체된다.According to another aspect of the present disclosure, a method of manufacturing a three-dimensional memory device is provided. A sacrificial matrix layer is formed over the substrate. A sacrificial matrix layer is patterned to form an array of cavities. An array of dielectric pillars is formed by filling an array of cavities with a dielectric fill material. Alternating stacks of dielectric layers and spacer material layers are formed over the array of dielectric pillars and the sacrificial matrix layer. An array of memory stack structures is formed through alternating stack and sacrificial matrix layers. A sacrificial matrix layer is replaced with a source conductive layer.

도 1은 본 개시내용의 제1 실시예에 따른, 하부 소스 절연체 층, 희생 매트릭스 층, 및 상부 소스 절연체 층을 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 2는 본 개시내용의 제1 실시예에 따른, 비아 캐비티들의 어레이를 희생 매트릭스 층을 관통하게 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 3은 본 개시내용의 제1 실시예에 따른, 유전체 필라들의 어레이를 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 4a 내지 도 4c는 본 개시내용의 제1 실시예에 따른, 각각, 제1, 제2, 및 제3 예시적인 구성들에 대한 희생 재료 층 및 유전체 필라들의 어레이를 관통하는 도 3의 제1 예시적인 구조물의 수평 단면도들. 지그재그 수직 평면들(X-X')은 도 3의 수직 단면도의 평면에 대응함.
도 5는 본 개시내용의 제1 실시예에 따른, 절연 층들 및 희생 재료 층들의 교번 스택을 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 6은 본 개시내용의 제1 실시예에 따른, 교번 스택을 관통하여 연장되는 메모리 개구부들을 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 7a 내지 도 7c는 본 개시내용의 제1 실시예에 따른, 각각, 제1, 제2, 및 제3 예시적인 구성들에 대한 희생 재료 층, 유전체 필라들의 어레이, 및 메모리 개구부들의 어레이를 관통하는 도 6의 제1 예시적인 구조물의 수평 단면도들. 지그재그 수직 평면들(X-X')은 도 6의 수직 단면도의 평면에 대응함.
도 8a 내지 도 8d는 본 개시내용의 제1 실시예에 따른, 메모리 스택 구조물을 형성하기 위해 이용되는 다양한 처리 단계들 동안의 제1 예시적인 구조물 내의 메모리 개구부의 순차적인 수직 단면도들.
도 9는 본 개시내용의 제1 실시예에 따른, 메모리 스택 구조물들을 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 10은 본 개시내용의 제1 실시예에 따른, 한 세트의 단차가 있는(stepped) 표면들 및 역단차가 있는(retro-stepped) 유전체 재료 부분을 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 11은 본 개시내용의 제1 실시예에 따른, 스루-스택 유전체 지지 필라(through-stack dielectric support pillar)들을 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 12a는 본 개시내용의 제1 실시예에 따른, 배면 트렌치들을 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 12b는 도 12a의 제1 예시적인 구조물의 투시 평면도(see-through top-down view). 지그재그 수직 평면(A-A')은 제1 예시적인 구성의 경우에 대한 도 12a의 수직 단면의 평면임.
도 13a 내지 도 13c는 본 개시내용의 제1 실시예에 따른, 각각, 제1, 제2, 및 제3 예시적인 구성들에 대한 희생 재료 층, 유전체 필라들의 어레이, 및 메모리 개구부들의 어레이를 관통하는 도 12a의 제1 예시적인 구조물의 수평 단면도들. 지그재그 수직 평면들(X-X')은 도 12a의 수직 단면도의 평면에 대응함.
도 14는 본 개시내용의 제1 실시예에 따른, 배면 리세스들을 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 15는 본 개시내용의 제1 실시예에 따른, 희생 재료 층들을 전기 전도성 층들로 대체한 후의 제1 예시적인 구조물의 수직 단면도.
도 16은 본 개시내용의 제1 실시예에 따른, 절연 스페이서를 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 17은 본 개시내용의 제1 실시예에 따른, 희생 매트릭스 층을 제거하는 것에 의해 소스 라인 캐비티를 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 18은 본 개시내용의 제1 실시예에 따른, 연속적인 소스 구조물을 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 19는 본 개시내용의 제1 실시예에 따른, 다양한 콘택트 비아 구조물들을 형성한 후의 제1 예시적인 구조물의 수직 단면도.
도 20은 본 개시내용의 실시예들에 따른, 유전체 필라들의 다양한 구성들에 대한 메모리 스택 구조물들에 대한 응력의 크기(magnitude)를 예시하는 그래프.
도 21은 본 개시내용의 제2 실시예에 따른, 소스 전도성 층, 희생 재료 부분들, 및 임의적인 유전체 라이너를 형성한 후의 예시적인 목적들을 위한 컷아웃 영역을 갖는 제2 예시적인 구조물의 사시도.
도 22는 본 개시내용의 제2 실시예에 따른, 지지 페데스탈 구조물들을 형성한 후의 컷아웃 영역을 갖는 제2 예시적인 구조물의 사시도.
도 23은 본 개시내용의 제2 실시예에 따른, 절연 층들 및 스페이서 재료 층들의 교번 스택을 형성한 후의 컷아웃 영역을 갖는 제2 예시적인 구조물의 사시도.
도 24는 본 개시내용의 제2 실시예에 따른, 메모리 개구부들을 형성한 후의 컷아웃 영역을 갖는 제2 예시적인 구조물의 사시도.
도 25는 본 개시내용의 제2 실시예에 따른, 메모리 스택 구조물들을 형성한 후의 컷아웃 영역을 갖는 제2 예시적인 구조물의 사시도.
도 26은 본 개시내용의 제2 실시예에 따른, 배면 콘택트 트렌치를 형성한 후의 컷아웃 영역을 갖는 제2 예시적인 구조물의 사시도.
도 27은 본 개시내용의 제2 실시예에 따른, 희생 재료 부분들을 제거하고 측방 연장 캐비티들을 형성한 후의 컷아웃 영역을 갖는 제2 예시적인 구조물의 사시도.
도 28은 본 개시내용의 제2 실시예에 따른, 메모리 막들의 물리적으로 노출된 부분들을 제거한 후의 컷아웃 영역을 갖는 제2 예시적인 구조물의 사시도.
도 29는 도 28의 제2 예시적인 구조물의 한 영역의 확대도.
도 30은 본 개시내용의 제2 실시예에 따른, 도핑된 반도체 재료 층을 형성한 후의 컷아웃 영역을 갖는 제2 예시적인 구조물의 사시도.
도 31은 도 30에 도시된 제2 예시적인 구조물의 수직 단면도.
도 32는 본 개시내용의 제2 실시예에 따른, 도핑된 반도체 재료 층의 부분들을 배면 콘택트 트렌치 내부로부터 그리고 교번 스택 위쪽으로부터 제거하고 드레인 영역들을 형성한 후의 제2 예시적인 구조물의 수직 단면도.
도 33은 본 개시내용의 제2 실시예에 따른, 스페이서 재료 층들을 제거하는 것에 의해 배면 리세스들을 형성한 후의 제2 예시적인 구조물의 수직 단면도.
도 34는 본 개시내용의 제2 실시예에 따른, 배면 리세스들에 전기 전도성 층들을 형성한 후의 제2 예시적인 구조물의 수직 단면도.
도 35a는 본 개시내용의 제2 실시예에 따른, 절연 스페이서 및 배면 콘택트 비아 구조물을 형성한 후의 제2 예시적인 구조물의 수직 단면도.
도 35b는 도 35a에서의 평면(B-B')을 따른 제2 예시적인 구조물의 수평 단면도. 평면(A-A')은 도 35a의 수직 단면도의 평면에 대응함.
도 36은 본 개시내용의 제2 실시예에 따른, 부가의 콘택트 비아 구조물들을 형성한 후의 제2 예시적인 구조물의 수직 단면도.
도 37은 본 개시내용의 제3 실시예에 따른, 임의적인 절연체 층, 임의적인 블랭킷 전도체 층, 및 매트릭스 재료 층을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 38은 본 개시내용의 제3 실시예에 따른, 매트릭스 재료 층의 상부 부분에 복수의 채널들을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 39는 본 개시내용의 제3 실시예에 따른, 복수의 채널들에 희생 라이너들 및 희생 레일 구조물들을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 40a는 희생 레일 구조물들에 대한 제1 예시적인 구성이 제3 예시적인 구조물에 대해 이용되는 경우에 도 39의 제3 예시적인 구조물의 평면도. 수직 평면(X-X')은 도 39의 수직 단면도의 평면을 나타냄.
도 40b는 희생 레일 구조물들에 대한 제2 예시적인 구성이 제3 예시적인 구조물에 대해 이용되는 경우에 도 39의 제3 예시적인 구조물의 평면도. 수직 평면(X-X')은 도 39의 수직 단면도의 평면을 나타냄.
도 41은 본 개시내용의 제3 실시예에 따른, 임의적인 유전체 에칭 정지 층 및 임의적인 소스 연결 층을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 42는 본 개시내용의 제3 실시예에 따른, 임의적인 소스 연결 층, 임의적인 유전체 에칭 정지 층, 및 희생 레일 구조물들을 관통하게 그리고 매트릭스 재료 층을 부분적으로 관통하게 메모리 리세스들을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 43a는 희생 레일 구조물들에 대한 제1 예시적인 구성이 제3 예시적인 구조물에 대해 이용되는 경우에 도 42의 제3 예시적인 구조물의 평면도. 수직 평면(X-X')은 도 42의 수직 단면도의 평면을 나타냄.
도 43b는 희생 레일 구조물들에 대한 제2 예시적인 구성이 제3 예시적인 구조물에 대해 이용되는 경우에 도 42의 제3 예시적인 구조물의 평면도. 수직 평면(X-X')은 도 42의 수직 단면도의 평면을 나타냄.
도 44는 본 개시내용의 제3 실시예에 따른, 비-컨포멀 퇴적 방법에 의해 격리 유전체 층을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 45는 본 개시내용의 제3 실시예에 따른, 격리 유전체 층을 평탄화한 후의 제3 예시적인 구조물의 수직 단면도.
도 46은 본 개시내용의 제3 실시예에 따른, 제1 절연 층들 및 제1 스페이서 재료 층들의 제1 교번 스택을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 47은 본 개시내용의 제3 실시예에 따른, 제1 교번 스택, 임의적인 소스 연결 층, 임의적인 유전체 에칭 정지 층, 및 희생 레일 구조물들을 관통하게 그리고 매트릭스 재료 층을 부분적으로 관통하게 제1 메모리 개구부들을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 48a는 희생 레일 구조물들에 대한 제1 예시적인 구성이 제3 예시적인 구조물에 대해 이용되는 경우에 도 47의 제3 예시적인 구조물의 평면도. 수직 평면(X-X')은 도 47의 수직 단면도의 평면을 나타냄.
도 48b는 희생 레일 구조물들에 대한 제2 예시적인 구성이 제3 예시적인 구조물에 대해 이용되는 경우에 도 47의 제3 예시적인 구조물의 평면도. 수직 평면(X-X')은 도 47의 수직 단면도의 평면을 나타냄.
도 49는 본 개시내용의 제3 실시예에 따른, 제1 메모리 개구부들에 제1 메모리 개구부 충전 부분들을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 50은 본 개시내용의 제3 실시예에 따른, 제2 교번 스택, 제2 교번 스택을 관통하는 제2 개구부들을 채우는 제2 메모리 개구부 충전 구조물들, 제3 교번 스택, 및 제2 교번 스택을 관통하는 제3 개구부들을 채우는 제3 메모리 개구부 충전 구조물들을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 51은 본 개시내용의 제3 실시예에 따른, 메모리 개구부 충전 구조물들을 제거하는 것에 의해 티어간(inter-tier) 메모리 개구부들을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 52는 본 개시내용의 제3 실시예에 따른, 메모리 스택 구조물들, 유전체 코어들, 및 드레인 영역들을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 53은 본 개시내용의 제3 실시예에 따른, 배면 트렌치를 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 54a는 희생 레일 구조물들에 대한 제1 예시적인 구성이 제3 예시적인 구조물에 대해 이용되는 경우에 도 53의 제3 예시적인 구조물의 평면도. 수직 평면(X-X')은 도 53의 수직 단면도의 평면을 나타냄.
도 54b는 희생 레일 구조물들에 대한 제2 예시적인 구성이 제3 예시적인 구조물에 대해 이용되는 경우에 도 53의 제3 예시적인 구조물의 평면도. 수직 평면(X-X')은 도 53의 수직 단면도의 평면을 나타냄.
도 55a 및 도 55b는 본 개시내용의 제3 실시예에 따른, 배면 트렌치 내에 반도체 스페이서 및 유전체 스페이서를 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 56은 본 개시내용의 제3 실시예에 따른, 배면 트렌치를 소스 연결 층을 관통하여 연장시키고 반도체 산화물 스페이서를 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 57a는 희생 레일 구조물들에 대한 제1 예시적인 구성이 제3 예시적인 구조물에 대해 이용되는 경우에 도 56의 제3 예시적인 구조물의 평면도. 수직 평면(X-X')은 도 56의 수직 단면도의 평면을 나타냄.
도 57b는 희생 레일 구조물들에 대한 제2 예시적인 구성이 제3 예시적인 구조물에 대해 이용되는 경우에 도 56의 제3 예시적인 구조물의 평면도. 수직 평면(X-X')은 도 56의 수직 단면도의 평면을 나타냄.
도 58은 본 개시내용의 제3 실시예에 따른, 희생 레일 구조물들을 제거하는 것에 의해 측방 연장 캐비티들을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 59는 본 개시내용의 제3 실시예에 따른, 희생 라이너들 및 임의적인 유전체 에칭 정지 층의 부분들을 제거한 후의 제3 예시적인 구조물의 수직 단면도.
도 60a는 본 개시내용의 제3 실시예에 따른, 도핑된 반도체 재료 층의 퇴적을 포함하는 제1 처리 시퀀스가 이용되는 경우에 제3 예시적인 구조물의 수직 단면도.
도 60b는 본 개시내용의 제3 실시예에 따른, 도핑된 반도체 재료 층을 형성하기 전에 드레인 선택 게이트 구조물들이 형성되는 제3 예시적인 구조물에 대한 대안의 구성의 수직 단면도.
도 61은 본 개시내용의 제3 실시예에 따른, 제1 처리 시퀀스의 경우에 소스 전도성 층을 형성하기 위해 도핑된 반도체 재료 층의 수직 부분들을 제거한 후의 제3 예시적인 구조물의 수직 단면도.
도 62는 본 개시내용의 제3 실시예에 따른, 제1 처리 시퀀스의 경우에 반도체 산화물 부분을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 63은 본 개시내용의 제3 실시예에 따른, 제1 처리 시퀀스의 경우에 배면 리세스들을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 64는 본 개시내용의 제3 실시예에 따른, 제1 처리 시퀀스의 경우에 전기 전도성 층들 및 연속적인 전도성 재료 층을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 65는 본 개시내용의 제3 실시예에 따른, 제1 처리 시퀀스의 경우에 연속적인 전도성 재료 층을 제거한 후의 제3 예시적인 구조물의 수직 단면도.
도 66은 본 개시내용의 제3 실시예에 따른, 제1 처리 시퀀스의 경우에 유전체 세퍼레이터 구조물(dielectric separator structure)을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 67은 본 개시내용의 제3 실시예에 따른, 반도체 스페이서, 유전체 스페이서, 및 반도체 산화물 스페이서의 제거를 이용하는 제2 처리 시퀀스가 이용되는 경우에 제3 예시적인 구조물의 수직 단면도.
도 68은 본 개시내용의 제3 실시예에 따른, 제2 처리 시퀀스의 경우에 선택적 반도체 퇴적에 의해 소스 전도성 층을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 69는 본 개시내용의 제3 실시예에 따른, 제2 처리 시퀀스의 경우에 리세스 에칭 이후의 제3 예시적인 구조물의 수직 단면도.
도 70은 본 개시내용의 제3 실시예에 따른, 제2 처리 시퀀스의 경우에 반도체 산화물 부분을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 71은 본 개시내용의 제3 실시예에 따른, 제2 처리 시퀀스의 경우에 배면 리세스들을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 72는 본 개시내용의 제3 실시예에 따른, 제2 처리 시퀀스의 경우에 전기 전도성 층들 및 연속적인 전도성 재료 층을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 73은 본 개시내용의 제3 실시예에 따른, 제2 처리 시퀀스의 경우에 유전체 세퍼레이터 구조물을 형성한 후의 제3 예시적인 구조물의 수직 단면도.
도 74는 본 개시내용의 제3 실시예에 따른, 유전체 세퍼레이터 구조물을 형성한 후의 제3 예시적인 구조물의 대안의 실시예의 수직 단면도.
도 75a는 본 개시내용의 제3 실시예에 따른, 도 74의 수평 평면(A-A')을 따른 제1 구성에서의 제3 예시적인 구조물의 수평 단면도.
도 75b는 본 개시내용의 제3 실시예에 따른, 도 74의 수평 평면(B-B')을 따른 제1 구성에서의 제3 예시적인 구조물의 수평 단면도.
도 75c는 본 개시내용의 제3 실시예에 따른, 도 74의 수평 평면(C-C')을 따른 제1 구성에서의 제3 예시적인 구조물의 수평 단면도.
도 75d는 본 개시내용의 제3 실시예에 따른, 도 74의 수평 평면(D-D')을 따른 제1 구성에서의 제3 예시적인 구조물의 수평 단면도.
도 75e는 본 개시내용의 제3 실시예에 따른, 도 74의 수평 평면(E-E')을 따른 제1 구성에서의 제3 예시적인 구조물의 수평 단면도.
도 76a는 본 개시내용의 제3 실시예에 따른, 도 74의 수평 평면(A-A')을 따른 제2 구성에서의 제3 예시적인 구조물의 수평 단면도.
도 76b는 본 개시내용의 제3 실시예에 따른, 도 74의 수평 평면(B-B')을 따른 제2 구성에서의 제3 예시적인 구조물의 수평 단면도.
도 76c는 본 개시내용의 제3 실시예에 따른, 도 74의 수평 평면(C-C')을 따른 제2 구성에서의 제3 예시적인 구조물의 수평 단면도.
도 76d는 본 개시내용의 제3 실시예에 따른, 도 74의 수평 평면(D-D')을 따른 제2 구성에서의 제3 예시적인 구조물의 수평 단면도.
도 76e는 본 개시내용의 제3 실시예에 따른, 도 74의 수평 평면(E-E')을 따른 제2 구성에서의 제3 예시적인 구조물의 수평 단면도.
1 is a vertical cross-sectional view of a first exemplary structure after forming a lower source insulator layer, a sacrificial matrix layer, and an upper source insulator layer, according to a first embodiment of the present disclosure;
2 is a vertical cross-sectional view of a first exemplary structure after forming an array of via cavities through a sacrificial matrix layer, according to a first embodiment of the present disclosure;
3 is a vertical cross-sectional view of a first exemplary structure after forming an array of dielectric pillars, according to a first embodiment of the present disclosure;
4A-4C are cross-sectional views of a first embodiment of the first, second, and third exemplary configurations, respectively, according to a first embodiment of the present disclosure, Horizontal cross-sections of an exemplary structure. The zigzag vertical planes (X-X ') correspond to the planes of the vertical cross-sectional view of Fig.
5 is a vertical cross-sectional view of a first exemplary structure after forming alternating stacks of insulating layers and sacrificial material layers, according to a first embodiment of the present disclosure;
6 is a vertical cross-sectional view of a first exemplary structure after forming memory openings extending through an alternate stack, according to a first embodiment of the present disclosure;
Figures 7A-7C illustrate an array of dielectric pillars, and an array of memory openings, respectively, for a first, second, and third exemplary configurations, according to a first embodiment of the present disclosure. 6 is a horizontal cross-sectional view of the first exemplary structure of Fig. The zigzag vertical planes (X-X ') correspond to the planes of the vertical cross-sectional view of Fig.
Figures 8A-8D are sequential vertical cross-sectional views of a memory opening in a first exemplary structure during various processing steps used to form a memory stack structure, in accordance with a first embodiment of the present disclosure;
Figure 9 is a vertical cross-sectional view of a first exemplary structure after forming memory stack structures, in accordance with a first embodiment of the present disclosure;
10 is a vertical section of a first exemplary structure after forming a set of stepped surfaces and a retro-stepped dielectric material portion, according to a first embodiment of the present disclosure; .
11 is a vertical cross-sectional view of a first exemplary structure after forming through-stack dielectric support pillars, according to a first embodiment of the present disclosure;
12A is a vertical cross-sectional view of a first exemplary structure after forming backside trenches, in accordance with a first embodiment of the present disclosure;
Figure 12b is a see-through top-down view of the first exemplary structure of Figure 12a. The zigzag vertical plane (A-A ') is the plane of the vertical section of Figure 12A for the case of the first exemplary configuration.
Figures 13A-13C illustrate an array of dielectric pillails, and an array of memory openings, respectively, for a first, second, and third exemplary configurations, according to a first embodiment of the present disclosure. Sectional views of the first exemplary structure of Figure 12A. Zigzag vertical planes (X-X ') correspond to the planes of the vertical cross-sectional view of Figure 12 (a).
Figure 14 is a vertical cross-sectional view of a first exemplary structure after forming back recesses, according to a first embodiment of the present disclosure;
15 is a vertical cross-sectional view of a first exemplary structure after replacing sacrificial material layers with electrically conductive layers, according to a first embodiment of the present disclosure;
16 is a vertical cross-sectional view of a first exemplary structure after forming an insulating spacer, in accordance with a first embodiment of the present disclosure;
17 is a vertical cross-sectional view of a first exemplary structure after forming a source line cavity by removing a sacrificial matrix layer, according to a first embodiment of the present disclosure;
18 is a vertical cross-sectional view of a first exemplary structure after forming a continuous source structure, in accordance with a first embodiment of the present disclosure;
19 is a vertical cross-sectional view of a first exemplary structure after forming various contact via structures, in accordance with a first embodiment of the present disclosure;
Figure 20 is a graph illustrating the magnitude of stresses to memory stack structures for various configurations of dielectric pillars, in accordance with embodiments of the present disclosure;
Figure 21 is a perspective view of a second exemplary structure having a cutout region for exemplary purposes after forming a source conductive layer, sacrificial material portions, and optional dielectric liner, in accordance with a second embodiment of the present disclosure;
Figure 22 is a perspective view of a second exemplary structure having a cutout region after forming support pedestal structures, in accordance with a second embodiment of the present disclosure;
23 is a perspective view of a second exemplary structure having a cutout region after forming alternating stacks of insulating layers and spacer material layers, according to a second embodiment of the present disclosure;
Figure 24 is a perspective view of a second exemplary structure having a cutout region after forming memory openings, in accordance with a second embodiment of the present disclosure;
Figure 25 is a perspective view of a second exemplary structure having a cutout region after forming memory stack structures, in accordance with a second embodiment of the present disclosure;
Figure 26 is a perspective view of a second exemplary structure having a cutout region after forming a back contact trench, in accordance with a second embodiment of the present disclosure;
Figure 27 is a perspective view of a second exemplary structure having a cutout region after removing sacrificial material portions and forming laterally extending cavities, in accordance with a second embodiment of the present disclosure;
28 is a perspective view of a second exemplary structure having a cutout region after removing physically exposed portions of memory films, according to a second embodiment of the present disclosure;
Figure 29 is an enlarged view of an area of the second exemplary structure of Figure 28;
Figure 30 is a perspective view of a second exemplary structure having a cutout region after forming a doped semiconductor material layer, according to a second embodiment of the present disclosure;
31 is a vertical cross-sectional view of the second exemplary structure shown in FIG. 30;
32 is a vertical cross-sectional view of a second exemplary structure after removing portions of the doped semiconductor material layer from within the backside contact trench and above the alternate stack and forming the drain regions, in accordance with a second embodiment of the present disclosure;
33 is a vertical cross-sectional view of a second exemplary structure after forming the backside recesses by removing spacer material layers, according to a second embodiment of the present disclosure;
34 is a vertical cross-sectional view of a second exemplary structure after forming the electrically conductive layers in the backside recesses, according to a second embodiment of the present disclosure;
35A is a vertical cross-sectional view of a second exemplary structure after forming an insulating spacer and a rear contact via structure, according to a second embodiment of the present disclosure;
35B is a horizontal cross-sectional view of a second exemplary structure along plane B-B 'in Fig. 35A. Fig. The plane A-A 'corresponds to the plane of the vertical sectional view of Fig. 35A.
36 is a vertical cross-sectional view of a second exemplary structure after forming additional contact via structures, in accordance with a second embodiment of the present disclosure;
37 is a vertical cross-sectional view of a third exemplary structure after forming an optional insulator layer, an optional blanket conductor layer, and a layer of matrix material, according to a third embodiment of the present disclosure;
Figure 38 is a vertical cross-sectional view of a third exemplary structure after forming a plurality of channels in the upper portion of the matrix material layer, according to the third embodiment of the present disclosure;
39 is a vertical cross-sectional view of a third exemplary structure after forming sacrificial liners and sacrificial rail structures in a plurality of channels, according to a third embodiment of the present disclosure;
40A is a plan view of the third exemplary structure of FIG. 39 when a first exemplary configuration for sacrificial rail structures is used for the third exemplary structure; FIG. The vertical plane (X-X ') represents the plane of the vertical sectional view of FIG.
40B is a plan view of the third exemplary structure of FIG. 39 when a second exemplary configuration for sacrificial rail structures is used for the third exemplary structure; The vertical plane (X-X ') represents the plane of the vertical sectional view of FIG.
41 is a vertical cross-sectional view of a third exemplary structure after forming an optional dielectric etch stop layer and an optional source interconnect layer, in accordance with a third embodiment of the present disclosure;
FIG. 42 illustrates a cross-sectional view of an embodiment of a semiconductor memory device in accordance with the third embodiment of the present disclosure, after forming the memory recesses to penetrate the arbitrary source interconnect layer, the optional dielectric etch stop layer, and the sacrificial rail structures and partially penetrate the matrix material layer. 3 is a vertical sectional view of a third exemplary structure;
43A is a plan view of the third exemplary structure of FIG. 42 when a first exemplary configuration for sacrificial rail structures is utilized for the third exemplary structure; FIG. The vertical plane (X-X ') represents the plane of the vertical sectional view of FIG.
43B is a plan view of the third exemplary structure of FIG. 42 when a second exemplary configuration for sacrificial rail structures is used for the third exemplary structure; The vertical plane (X-X ') represents the plane of the vertical sectional view of FIG.
44 is a vertical cross-sectional view of a third exemplary structure after forming an isolation dielectric layer by a non-conformal deposition method, according to a third embodiment of the present disclosure;
45 is a vertical cross-sectional view of a third exemplary structure after planarization of an isolation dielectric layer, according to a third embodiment of the present disclosure;
46 is a vertical cross-sectional view of a third exemplary structure after forming a first alternating stack of first insulating layers and first spacer material layers, according to a third embodiment of the present disclosure;
Figure 47 is a cross-sectional view of a first alternate stack, an optional source connecting layer, an optional dielectric etch stop layer, and sacrificial rail structures, according to a third embodiment of the present disclosure, Sectional view of a third exemplary structure after forming memory openings.
48a is a plan view of the third exemplary structure of Fig. 47 when a first exemplary configuration for sacrificial rail structures is utilized for the third exemplary structure; Fig. The vertical plane (X-X ') represents the plane of the vertical sectional view of Fig.
Figure 48B is a plan view of the third exemplary structure of Figure 47 when a second exemplary configuration for sacrificial rail structures is used for the third exemplary structure; The vertical plane (X-X ') represents the plane of the vertical sectional view of Fig.
49 is a vertical cross-sectional view of a third exemplary structure after forming first memory opening fill portions in first memory openings, according to a third embodiment of the present disclosure;
50 is a cross-sectional view of a third alternate stack, a second alternate stack, and a second alternate stack, according to a third embodiment of the present disclosure, including a second alternate stack, second memory opening fill structures to fill second openings through the second alternate stack, Sectional view of a third exemplary structure after forming third memory opening fill structures that fill third through openings.
51 is a vertical cross-sectional view of a third exemplary structure after forming inter-tier memory openings by removing memory opening fill structures, in accordance with a third embodiment of the present disclosure;
Figure 52 is a vertical cross-sectional view of a third exemplary structure after forming memory stack structures, dielectric cores, and drain regions, according to a third embodiment of the present disclosure;
53 is a vertical cross-sectional view of a third exemplary structure after forming a backside trench, according to a third embodiment of the present disclosure;
FIG. 54A is a top view of the third exemplary structure of FIG. 53 when a first exemplary configuration for sacrificial rail structures is utilized for the third exemplary structure; FIG. The vertical plane (X-X ') represents the plane of the vertical section of FIG.
FIG. 54B is a plan view of the third exemplary structure of FIG. 53 when a second exemplary configuration for sacrificial rail structures is utilized for the third exemplary structure; FIG. The vertical plane (X-X ') represents the plane of the vertical section of FIG.
55A and 55B are vertical cross-sectional views of a third exemplary structure after forming the semiconductor spacers and dielectric spacers in the backside trenches, according to a third embodiment of the present disclosure;
56 is a vertical cross-sectional view of a third exemplary structure after extending the backside trench through the source connection layer and forming the semiconductor oxide spacer, according to the third embodiment of the present disclosure;
Figure 57a is a plan view of the third exemplary structure of Figure 56 when a first exemplary configuration for sacrificial rail structures is used for the third exemplary structure; The vertical plane (X-X ') represents the plane of the vertical cross-sectional view of Figure 56.
FIG. 57B is a plan view of the third exemplary structure of FIG. 56 when a second exemplary configuration for sacrificial rail structures is utilized for the third exemplary structure; FIG. The vertical plane (X-X ') represents the plane of the vertical cross-sectional view of Figure 56.
58 is a vertical cross-sectional view of a third exemplary structure after forming lateral extending cavities by removing sacrificial rail structures, according to a third embodiment of the present disclosure;
59 is a vertical cross-sectional view of a third exemplary structure after removing sacrificial liners and portions of an optional dielectric etch stop layer, according to a third embodiment of the present disclosure;
60A is a vertical cross-sectional view of a third exemplary structure in the case where a first process sequence is used, including deposition of a doped semiconductor material layer, in accordance with a third embodiment of the present disclosure;
60B is a vertical cross-sectional view of an alternative arrangement for a third exemplary structure in which drain select gate structures are formed prior to forming the doped semiconductor material layer, according to the third embodiment of the present disclosure;
61 is a vertical cross-sectional view of a third exemplary structure after removing vertical portions of the doped semiconductor material layer to form the source conductive layer in the case of the first processing sequence, according to the third embodiment of the present disclosure;
62 is a vertical cross-sectional view of a third exemplary structure after forming a semiconductor oxide portion in the case of a first processing sequence, according to a third embodiment of the present disclosure;
63 is a vertical cross-sectional view of a third exemplary structure after forming the backside recesses in the case of the first processing sequence, according to the third embodiment of the present disclosure;
64 is a vertical cross-sectional view of a third exemplary structure after forming the electrically conductive layers and the continuous layer of conductive material in the case of the first process sequence, according to the third embodiment of the present disclosure;
65 is a vertical cross-sectional view of a third exemplary structure after removing a continuous layer of conductive material in the case of a first processing sequence, according to a third embodiment of the present disclosure;
FIG. 66 is a vertical cross-sectional view of a third exemplary structure after forming a dielectric separator structure in the case of a first process sequence, according to a third embodiment of the present disclosure; FIG.
67 is a vertical cross-sectional view of a third exemplary structure when a second process sequence is utilized that utilizes removal of semiconductor spacers, dielectric spacers, and semiconductor oxide spacers, in accordance with a third embodiment of the present disclosure;
68 is a vertical cross-sectional view of a third exemplary structure after forming the source conductive layer by selective semiconductor deposition in the case of the second process sequence, according to the third embodiment of the present disclosure;
69 is a vertical cross-sectional view of a third exemplary structure after a recess etch in the case of a second process sequence, according to a third embodiment of the present disclosure;
70 is a vertical cross-sectional view of a third exemplary structure after forming a semiconductor oxide portion in the case of a second processing sequence, according to a third embodiment of the present disclosure;
71 is a vertical cross-sectional view of a third exemplary structure after forming back recesses in the case of a second process sequence, according to a third embodiment of the present disclosure;
72 is a vertical cross-sectional view of a third exemplary structure after forming the electrically conductive layers and the continuous layer of conductive material in the case of the second process sequence, according to the third embodiment of the present disclosure;
73 is a vertical cross-sectional view of a third exemplary structure after forming a dielectric separator structure in the case of a second process sequence, according to a third embodiment of the present disclosure;
74 is a vertical cross-sectional view of an alternate embodiment of a third exemplary structure after forming a dielectric separator structure, according to a third embodiment of the present disclosure;
75A is a horizontal cross-sectional view of a third exemplary structure in a first configuration along the horizontal plane (A-A ') of FIG. 74, according to a third embodiment of the present disclosure;
75B is a horizontal cross-sectional view of a third exemplary structure in a first configuration along the horizontal plane (B-B ') of FIG. 74, according to a third embodiment of the present disclosure;
75C is a horizontal cross-sectional view of a third exemplary structure in a first configuration along the horizontal plane (C-C ') of FIG. 74, according to a third embodiment of the present disclosure;
75D is a horizontal cross-sectional view of a third exemplary structure in a first configuration along the horizontal plane (D-D ') of FIG. 74, according to a third embodiment of the present disclosure;
Figure 75E is a horizontal cross-sectional view of a third exemplary structure in a first configuration along the horizontal plane (E-E ') of Figure 74, according to a third embodiment of the present disclosure;
76A is a horizontal cross-sectional view of a third exemplary structure in a second configuration along the horizontal plane (A-A ') of FIG. 74, according to the third embodiment of the present disclosure;
FIG. 76B is a horizontal cross-sectional view of a third exemplary structure in a second configuration along the horizontal plane (B-B ') of FIG. 74, according to a third embodiment of the present disclosure;
76C is a horizontal cross-sectional view of a third exemplary structure in a second configuration along the horizontal plane (C-C ') of FIG. 74, according to a third embodiment of the present disclosure;
76D is a horizontal cross-sectional view of a third exemplary structure in a second configuration along the horizontal plane (D-D ') of FIG. 74, according to the third embodiment of the present disclosure;
76E is a horizontal cross-sectional view of a third exemplary structure in a second configuration along the horizontal plane (E-E ') of FIG. 74, according to a third embodiment of the present disclosure;

앞서 논의된 바와 같이, 본 개시내용은, 수직 NAND 스트링들 및 다른 3차원 디바이스들과 같은, 3차원 메모리 구조물들, 그리고 그 제조 방법들에 관한 것이고, 그의 다양한 양태들이 이하에서 기술된다. 본 개시내용의 실시예들은 다중레벨 메모리 구조물 - 그의 비제한적인 예들은 복수의 NAND 메모리 스트링들을 포함하는 3차원 모놀리식 메모리 어레이 디바이스들과 같은 반도체 디바이스들을 포함함 - 을 포함하는 다양한 구조물들을 형성하는 데 이용될 수 있다. 도면들이 일정한 축척으로 그려져 있지 않다. 요소의 다수의 인스턴스들이 중복될 수 있고, 여기서 요소들의 중복이 없음이 명시적으로 기술되지 않거나 달리 명확히 표시되지 않는 한, 요소의 단일 인스턴스가 예시된다. "제1", "제2", 및 "제3"과 같은 서수들은 단지 유사한 요소들을 식별하는 데 이용되고, 본 개시내용의 명세서 및 청구범위에 걸쳐 상이한 서수들이 이용될 수 있다. 본원에서 사용된 바와 같이, 제2 요소 "상에" 위치된 제1 요소는 제2 요소의 표면의 외부 측면 상에 또는 제2 요소의 내부 측면 상에 위치될 수 있다. 본원에서 사용되는 바와 같이, 제1 요소의 표면과 제2 요소의 표면 사이에 물리적 접촉이 존재하는 경우, 제1 요소는 제2 요소 "바로 위에" 위치된다.As discussed above, the present disclosure is directed to three-dimensional memory structures, such as vertical NAND strings and other three-dimensional devices, and methods of fabrication thereof, and various aspects thereof are described below. Embodiments of the present disclosure may be embodied in a variety of forms including multi-level memory structures, including non-limiting examples of which include semiconductor devices such as three-dimensional monolithic memory array devices including a plurality of NAND memory strings . ≪ / RTI > The drawings are not drawn to scale. Multiple instances of an element may be duplicated, wherein a single instance of the element is exemplified unless the absence of duplication of elements is not explicitly stated or otherwise explicitly indicated. Ordinates such as " first ", "second ", and" third "are used merely to identify similar elements, and different ordinances may be used throughout the specification and claims of the present disclosure. As used herein, a first element located "on " a second element may be located on the outer side of the surface of the second element or on the inner side of the second element. As used herein, when there is a physical contact between the surface of the first element and the surface of the second element, the first element is "directly over" the second element.

본원에서 사용되는 바와 같이, "층(layer)"은 두께를 갖는 영역을 포함하는 재료 부분을 지칭한다. 층은 아래에 있는 또는 위에 있는 구조물 전체에 걸쳐 연장될 수 있거나, 아래에 있는 또는 위에 있는 구조물의 범위(extent)보다 더 작은 범위를 가질 수 있다. 게다가, 층은 연속적인 구조물의 두께보다 더 작은 두께를 갖는 균질한 또는 비균질한 연속적인 구조물의 영역일 수 있다. 예를 들어, 층은 연속적인 구조물의 상단 표면과 하단 표면 사이에 있는 또는 상단 표면 및 하단 표면에 있는 임의의 수평 평면들의 쌍 사이에 위치될 수 있다. 층은 수평으로, 수직으로, 그리고/또는 테이퍼진 표면을 따라 연장될 수 있다. 기판은 층일 수 있고, 기판에 하나 이상의 층을 포함할 수 있으며, 그리고/또는 기판 상에, 기판 위쪽에, 그리고/또는 기판 아래에 하나 이상의 층을 가질 수 있다.As used herein, "layer" refers to a portion of a material that includes a region having a thickness. The layer may extend over the underlying or over the structure, or may have a smaller extent than the underlying, or overlying, extent of the structure. In addition, the layer may be a region of a homogeneous or heterogeneous continuous structure having a thickness less than the thickness of the continuous structure. For example, the layer may be positioned between a pair of any horizontal planes that are between the top surface and the bottom surface of the continuous structure or that are on the top surface and bottom surface. The layer may extend horizontally, vertically, and / or along a tapered surface. The substrate can be a layer, can include one or more layers on the substrate, and / or can have one or more layers on the substrate, above the substrate, and / or below the substrate.

본원에서 사용되는 바와 같이, "전계 효과 트랜지스터"는 반도체 채널 - 이를 통해 전류가 외부 전계에 의해 변조된 전류 밀도로 흐름 - 을 갖는 임의의 반도체 디바이스를 지칭한다. 본원에서 사용되는 바와 같이, "활성 영역"은 전계 효과 트랜지스터의 소스 영역 또는 전계 효과 트랜지스터의 드레인 영역을 지칭한다. "상단 활성 영역(top active region)"은 전계 효과 트랜지스터의 다른 활성 영역 위쪽에 위치되는 전계 효과 트랜지스터의 활성 영역을 지칭한다. "하단 활성 영역(bottom active region)"은 전계 효과 트랜지스터의 다른 활성 영역 아래쪽에 위치되는 전계 효과 트랜지스터의 활성 영역을 지칭한다. 모놀리식 3차원 메모리 어레이는 다수의 메모리 레벨들이 어떠한 개재 기판들도 없이, 반도체 웨이퍼와 같은, 단일 기판 위쪽에 형성되는 메모리 어레이이다. "모놀리식"이라는 용어는 어레이의 각각의 레벨의 층들이 어레이의 각각의 아래에 있는 레벨의 층들 바로 위에 퇴적된다는 것을 의미한다. 이와 달리, 2차원 어레이들이 개별적으로 형성된 다음에, 비-모놀리식 메모리 디바이스를 형성하기 위해 함께 패키징될 수 있다. 예를 들어, 발명의 명칭이 "Three-dimensional Structure Memory"인 미국 특허 제5,915,167호에 기술된 바와 같이, 메모리 레벨들을 개별적인 기판들 상에 형성하고 메모리 레벨들을 수직으로 적층시키는 것에 의해 비-모놀리식 적층형 메모리들이 구성되었다. 기판들이 본딩 이전에 박형화되거나 메모리 레벨들로부터 제거될 수 있지만, 메모리 레벨들이 처음에 개별적인 기판들 위에 형성되기 때문에, 이러한 메모리들은 진정한 모놀리식 3차원 메모리 어레이들이 아니다. 본 개시내용의 다양한 3차원 메모리 디바이스들은 모놀리식 3차원 NAND 스트링 메모리 디바이스를 포함하고, 본원에 기술되는 다양한 실시예들을 이용하여 제조될 수 있다.As used herein, a "field effect transistor" refers to any semiconductor device having a semiconductor channel, through which current flows at a current density modulated by an external electric field. As used herein, "active region" refers to the source region of a field effect transistor or the drain region of a field effect transistor. The "top active region" refers to the active region of a field effect transistor located above another active region of the field effect transistor. The "bottom active region" refers to the active region of a field effect transistor located below another active region of the field effect transistor. A monolithic three-dimensional memory array is a memory array in which a plurality of memory levels are formed above a single substrate, such as a semiconductor wafer, without any intervening substrates. The term "monolithic" means that layers at each level of the array are deposited directly above the layers at levels below each of the arrays. Alternatively, two-dimensional arrays may be separately formed and then packaged together to form a non-monolithic memory device. For example, as described in U. S. Patent No. 5,915, 167 entitled " Three-dimensional Structure Memory ", non-monolithic memory structures are formed by forming memory levels on individual substrates and stacking memory levels vertically, Eclipse type memories were constructed. These memories are not true monolithic three dimensional memory arrays, since the memory levels may initially be formed on separate substrates, although the substrates may be thinned or removed from memory levels prior to bonding. The various three-dimensional memory devices of the present disclosure include monolithic three-dimensional NAND string memory devices and may be fabricated using various embodiments described herein.

도 1을 참조하면, 예를 들어, 수직 NAND 메모리 디바이스들을 포함하는 디바이스 구조물을 제조하는 데 이용될 수 있는, 본 개시내용의 제1 실시예에 따른 제1 예시적인 구조물이 예시되어 있다. 제1 예시적인 구조물은 반도체 기판(예컨대, 단결정 실리콘 웨이퍼와 같은, 반도체 기판)일 수 있는 기판을 포함한다. 기판은 기판 반도체 층(10)을 포함할 수 있다. 기판 반도체 층(10)은 반도체 재료 층이고, 적어도 하나의 원소 반도체 재료(예컨대, 단결정 실리콘과 같은, 실리콘), 적어도 하나의 III-V족 화합물 반도체 재료, 적어도 하나의 II-VI족 화합물 반도체 재료, 적어도 하나의 유기 반도체 재료, 또는 본 기술분야에 공지된 다른 반도체 재료들을 포함할 수 있다.Referring to FIG. 1, a first exemplary structure according to a first embodiment of the present disclosure, which may be used to fabricate a device structure including, for example, vertical NAND memory devices, is illustrated. The first exemplary structure includes a substrate that can be a semiconductor substrate (e.g., a semiconductor substrate, such as a monocrystalline silicon wafer). The substrate may comprise a substrate semiconductor layer 10. The substrate semiconductor layer 10 is a semiconductor material layer and includes at least one elemental semiconductor material (e.g., silicon such as monocrystalline silicon), at least one III-V compound semiconductor material, at least one II- , At least one organic semiconductor material, or other semiconductor materials known in the art.

본원에서 사용되는 바와 같이, "반도체 재료"는 1.0 x 10-6 S/cm 내지 1.0 x 105 S/cm의 범위에 있는 전기 전도율을 갖는 재료를 지칭하고, 전기 도펀트에 의한 적당한 도핑 시에 1.0 S/cm 내지 1.0 x 105 S/cm의 범위에 있는 전기 전도율을 갖는 도핑된 재료를 생성할 수 있다. 본원에서 사용되는 바와 같이, "전기 도펀트"는 밴드 구조(band structure) 내의 가전자 밴드(valance band)에 정공(hole)을 추가하는 p-형 도펀트, 또는 밴드 구조 내의 전도 밴드(conduction band)에 전자를 추가하는 n-형 도펀트를 지칭한다. 본원에서 사용되는 바와 같이, "전도성 재료"는 1.0 x 105 S/cm 초과의 전기 전도율을 갖는 재료를 지칭한다. 본원에서 사용되는 바와 같이, "절연 재료" 또는 "유전체 재료"는 1.0 x 10-6 S/cm 미만의 전기 전도율을 갖는 재료를 지칭한다. 전기 전도율들에 대한 모든 측정들은 표준의 조건에서 행해진다. 기판 반도체 층(10)은 실질적으로 균일한 도펀트 농도를 갖는 적어도 하나의 도핑된 웰(명확히 도시되어 있지 않음)을 포함할 수 있다.As used herein, "semiconductor material" is 1.0 x 10 -6 S / cm to 1.0 x 10 5 refers to a material having a conductivity in the range of S / cm, and at a suitable doping by a dopant electric 1.0 It is possible to produce a doped material having an electrical conductivity in the range of S / cm to 1.0 x 10 < 5 > S / cm. As used herein, an "electrical dopant" is a p-type dopant that adds holes to valance bands in a band structure, or a conduction band in a band structure. Type dopant that adds electrons. As used herein, "conductive material" refers to a material having an electrical conductivity of greater than 1.0 x 10 5 S / cm. As used herein, "insulating material" or "dielectric material" refers to a material having an electrical conductivity of less than 1.0 x 10-6 S / cm. All measurements of electrical conductivities are made under standard conditions. The substrate semiconductor layer 10 may include at least one doped well (not explicitly shown) having a substantially uniform dopant concentration.

제1 예시적인 구조물은 상이한 유형들의 디바이스들을 제조하기 위한 다수의 영역들을 가질 수 있다. 이러한 구역들은, 예를 들어, 메모리 어레이 영역(100), 콘택트 영역(300), 및 주변 디바이스 영역(200)을 포함할 수 있다. 일 실시예에서, 기판 반도체 층(10)은 메모리 어레이 영역(100)에 적어도 하나의 도핑된 웰을 포함할 수 있다. 본원에서 사용되는 바와 같이, "도핑된 웰"은 전체에 걸쳐 동일한 전도성 유형(p-형 또는 n-형일 수 있음)의 도핑 및 실질적으로 동일한 레벨의 도펀트 농도를 갖는 반도체 재료의 일부분을 지칭한다. 도핑된 웰은 기판 반도체 층(10)과 동일할 수 있거나 기판 반도체 층(10)의 일부분일 수 있다. 도핑된 웰의 전도성 유형은 본원에서, p-형 또는 n-형일 수 있는, 제1 전도성 유형이라고 지칭된다. 도핑된 웰의 도펀트 농도 레벨은 본원에서 제1 도펀트 농도 레벨이라고 지칭된다. 일 실시예에서, 제1 도펀트 농도 레벨은 1.0 x 1015/cm3 내지 1.0 x 1018/cm3의 범위에 있을 수 있지만, 보다 작은 그리고 보다 큰 도펀트 농도 레벨들이 또한 이용될 수 있다. 본원에서 사용되는 바와 같이, 도펀트 농도 레벨은 주어진 영역에 대한 평균 도펀트 농도를 지칭한다.The first exemplary structure may have multiple regions for manufacturing different types of devices. These regions may include, for example, a memory array region 100, a contact region 300, and a peripheral device region 200. In one embodiment, the substrate semiconductor layer 10 may include at least one doped well in the memory array region 100. As used herein, "doped well" refers to a portion of a semiconductor material having a doping of substantially the same conductivity type (which may be p-type or n-type) and a dopant concentration at substantially the same level. The doped well may be the same as the substrate semiconductor layer 10 or may be a part of the substrate semiconductor layer 10. The conductivity type of the doped well is referred to herein as the first conductivity type, which may be p-type or n- type. The dopant concentration level of the doped well is referred to herein as the first dopant concentration level. In one embodiment, the first dopant concentration level may be in the range of 1.0 x 10 15 / cm 3 to 1.0 x 10 18 / cm 3 , but smaller and larger dopant concentration levels may also be used. As used herein, the dopant concentration level refers to the average dopant concentration for a given region.

주변 디바이스들(210)은 주변 디바이스 영역(200) 내에 위치된 기판 반도체 층(10)의 일부분에 또는 그 상에 형성될 수 있다. 주변 디바이스들은 메모리 어레이 영역(100)에 형성될 메모리 디바이스들을 동작시키는 데 이용되는 다양한 디바이스들을 포함할 수 있고, 예를 들어, 메모리 디바이스들의 다양한 컴포넌트들에 대한 구동기 회로들을 포함할 수 있다. 주변 디바이스들(210)은, 예를 들어, 전계 효과 트랜지스터들 및/또는, 저항기들, 커패시터들, 인덕터들, 다이오드들 등과 같은, 수동 컴포넌트들을 포함할 수 있다.Peripheral devices 210 may be formed on or on a portion of the substrate semiconductor layer 10 located within the peripheral device region 200. Peripheral devices may include various devices used to operate the memory devices to be formed in the memory array area 100 and may include driver circuits for various components of, for example, memory devices. Peripheral devices 210 may include passive components, such as, for example, field effect transistors and / or resistors, capacitors, inductors, diodes, and the like.

하부 소스 절연 층(12)이 기판 반도체 층(10) 위쪽에 형성될 수 있다. 하부 소스 절연 층(12)은 차후에 형성될 연속적인 소스 구조물의, 기판 반도체 층(10)으로부터의, 전기적 격리를 제공한다. 하부 소스 절연 층(12)은, 예를 들어, 실리콘 산화물 및/또는 (HfO2, ZrO2, LaO2 등과 같은) 유전체 금속 산화물을 포함할 수 있다. 하부 소스 절연 층(12)의 두께는 3 nm 내지 30 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.The lower source insulating layer 12 may be formed on the substrate semiconductor layer 10. The lower source insulating layer 12 provides electrical isolation from the substrate semiconductor layer 10 of a subsequent source structure to be formed subsequently. Isolated lower source layer 12 is, for example, may comprise silicon oxide and / or dielectric metal oxide (such as HfO 2, ZrO 2, LaO 2 ). Thickness of the lower source insulating layer 12 may be in the range of 3 nm to 30 nm, but smaller thicknesses and larger thicknesses may also be used.

희생 매트릭스 층(14)이 하부 소스 절연 층(14) 위에 형성될 수 있다. 희생 매트릭스 층(14)은 하부 소스 절연 층(12)의 재료에 대해 선택적으로 그리고 차후에 형성될 상부 소스 절연 층 및 절연 스페이서의 재료들에 대해 선택적으로 제거될 수 있는 재료를 포함한다. 예를 들어, 희생 매트릭스 층(14)은 폴리실리콘 또는 실리콘-게르마늄 합금과 같은 반도체 재료를 포함할 수 있거나, 비정질 탄소, 유기 폴리머, 또는 무기 폴리머를 포함할 수 있다. 희생 매트릭스 층(14)은 화학적 기상 퇴적, 물리적 기상 퇴적, 또는 스핀 코팅에 의해 퇴적될 수 있다. 희생 매트릭스 층(14)의 두께는 10 nm 내지 60 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.A sacrificial matrix layer 14 may be formed over the lower source insulating layer 14. [ The sacrificial matrix layer 14 includes a material that can be selectively removed with respect to the materials of the upper source insulating layer and the insulating spacer to be selectively formed with respect to the material of the lower source insulating layer 12 and subsequently. For example, the sacrificial matrix layer 14 may comprise a semiconductor material such as a polysilicon or a silicon-germanium alloy, or may comprise an amorphous carbon, an organic polymer, or an inorganic polymer. The sacrificial matrix layer 14 may be deposited by chemical vapor deposition, physical vapor deposition, or spin coating. The thickness of the sacrificial matrix layer 14 may be in the range of 10 nm to 60 nm, but smaller thicknesses and larger thicknesses may also be used.

임의적인 상부 소스 절연 층(16)이 희생 매트릭스 층(14) 위쪽에 형성될 수 있다. 상부 소스 절연 층(16)은 차후에 형성될 연속적인 소스 구조물의, 차후에 형성될 전기 전도성 층들로부터의, 전기적 격리를 제공한다. 상부 소스 절연 층(16)은, 예를 들어, 실리콘 산화물 및/또는 (HfO2, ZrO2, LaO2 등과 같은) 유전체 금속 산화물을 포함할 수 있다. 상부 소스 절연 층(16)의 두께는 3 nm 내지 30 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 상부 소스 절연 층(16) 위에 형성될 후속하는 교번 스택이 희생 재료 층으로 시작하는 경우, 상부 소스 절연 층(16)이 포함되는 것이 바람직하다. 상부 소스 절연 층(16) 위에 형성될 후속하는 교번 스택이 절연 층으로 시작하는 경우, 상부 소스 절연 층(16)은 임의적이고, 교번 스택의 첫 번째 절연 재료가 상부 소스 절연 층(16)으로서 기능할 수 있다 - 즉, 상부 소스 절연 층(16)으로서 식별될 수 있음 -. 본 개시내용이 상부 소스 절연 층(16)이 차후에 형성될 교번 스택의 최하단 절연 층과 상이한 실시예를 이용하여 기술되지만, 상부 소스 절연 층(16)이 최하단 절연 층과 동일한 실시예들이 명확히 생각되고 있다.An optional upper source insulating layer 16 may be formed over the sacrificial matrix layer 14. [ The upper source insulating layer 16 provides electrical isolation of subsequent source structures to be subsequently formed, from the subsequently formed electrically conductive layers. The upper source insulating layer 16 may comprise, for example, silicon oxide and / or a dielectric metal oxide (such as HfO 2 , ZrO 2 , LaO 2 , etc.). Thickness of the upper source insulating layer 16 may be in the range of 3 nm to 30 nm, but smaller thicknesses and larger thicknesses may also be used. If the subsequent alternating stack to be formed on the top source insulating layer 16 starts with a sacrificial material layer, then the top source insulating layer 16 is preferably included. When the subsequent alternating stack to be formed on the upper source insulating layer 16 starts with an insulating layer, the upper source insulating layer 16 is optional and the first insulating material of the alternating stack functions as the upper source insulating layer 16 That is, it can be identified as the upper source insulating layer 16. Although the present disclosure is described using an embodiment different from the lowermost insulating layer of the alternate stack in which the upper source insulating layer 16 is to be formed later, the same embodiments as the lowermost insulating layer 16 are clearly contemplated have.

도 2를 참조하면, 포토레지스트 층(도시되지 않음)이 상부 소스 절연 층(16) 위에 도포될 수 있고 그에 개구부의 어레이를 형성하기 위해 리소그래피 방식으로 패터닝될 수 있다. 포토레지스트 층에서의 개구부들의 어레이의 패턴은 반응성 이온 에칭과 같은 비등방성 에칭에 의해 상부 소스 절연 층(16) 및 희생 매트릭스 층(14)을 관통하여 전사될 수 있다. 하부 소스 절연 층(12)이 에칭 정지 층으로서 이용될 수 있다. 원하는 경우, 에칭이 하부 소스 절연 층(12)을 관통하여 기판 반도체 층(10)의 상단 표면까지 또는 상단 표면 내로 계속될 수 있다. 비아 캐비티들(19)의 어레이가 희생 매트릭스 층(14)에 형성될 수 있다. 캐비티들(19)은 하부 소스 절연 층(12)까지, 하부 소스 절연 층(12)을 관통하여 기판 반도체 층(10)의 상단 표면까지 또는 기판 반도체 층(10) 내로 연장될 수 있다. 비아 캐비티들(19)의 어레이는 주기적인 패턴을 가질 수 있다. 일 실시예에서, 각각의 비아 캐비티(19)는 실질적으로 수직인 측벽들을 가질 수 있고 그리고/또는 실질적으로 원형인 수평 단면 형상을 가질 수 있다. 일 실시예에서, 각각의 비아 캐비티(19)는 실질적으로 원통형인 형상을 가질 수 있다. 일 실시예에서, 비아 캐비티들(19)의 어레이는 단위 셀 구조의 인스턴스들의 2차원 주기적 어레이일 수 있다. 포토레지스트 층은, 예를 들어, 애싱에 의해 차후에 제거될 수 있다.Referring to FIG. 2, a photoresist layer (not shown) may be applied over the top source insulating layer 16 and patterned in a lithographic fashion to form an array of openings therethrough. The pattern of arrays of openings in the photoresist layer may be transferred through the top source insulating layer 16 and the sacrificial layer 14 by anisotropic etching, such as reactive ion etching. The lower source insulating layer 12 may be used as an etching stop layer. If desired, the etch may continue through the bottom source insulating layer 12 to the top surface of the substrate semiconductor layer 10 or into the top surface. An array of via cavities 19 may be formed in the sacrificial matrix layer 14. The cavities 19 may extend up to the top surface of the substrate semiconductor layer 10 or into the substrate semiconductor layer 10 through the bottom source insulating layer 12 to the bottom source insulating layer 12. The array of via cavities 19 may have a periodic pattern. In one embodiment, each via cavity 19 may have substantially vertical sidewalls and / or may have a substantially circular horizontal cross-sectional shape. In one embodiment, each via cavity 19 may have a substantially cylindrical shape. In one embodiment, the array of via cavities 19 may be a two-dimensional periodic array of instances of a unit cell structure. The photoresist layer may be subsequently removed, for example, by ashing.

도 3을 참조하면, 유전체 충전 재료가, 예를 들어, 화학적 기상 퇴적 또는 스핀 코팅에 의해 비아 캐비티들(19)의 어레이에 퇴적된다. 유전체 충전 재료는, 예를 들어, (도핑된 실리케이트 유리 또는 도핑되지 않은 실리케이트 유리와 같은) 실리콘 산화물, 유전체 금속 산화물, 실리콘 질화물, 유기 실리케이트 유리, 또는 이들의 조합을 포함할 수 있다. 예를 들어, 유전체 충전 재료는 실리콘 산화물을 포함할 수 있다. 퇴적된 유전체 충전 재료의 잉여 부분들은, 리세스 에칭 및/또는 화학 기계적 평탄화를 이용할 수 있는, 평탄화 공정에 의해 상부 소스 절연 층(16)의 상단 표면을 포함하는 수평 평면 위쪽으로부터 제거될 수 있다.Referring to FIG. 3, a dielectric fill material is deposited in an array of via cavities 19, for example, by chemical vapor deposition or spin coating. The dielectric filler material may include, for example, silicon oxide (such as doped silicate glass or undoped silicate glass), dielectric metal oxide, silicon nitride, organosilicate glass, or combinations thereof. For example, the dielectric filler material may comprise silicon oxide. Surplus portions of the deposited dielectric fill material can be removed from above the horizontal plane including the top surface of the top source insulating layer 16 by a planarization process, which can utilize recess etch and / or chemical mechanical planarization.

비아 캐비티들(19)을 채우는 유전체 충전 재료의 남아 있는 부분들은 유전체 필라들(20)의 어레이를 구성한다. 유전체 필라들(20)의 어레이는 주기적인 패턴을 가질 수 있다. 일 실시예에서, 각각의 유전체 필라(20)는 실질적으로 수직인 측벽들을 가질 수 있고 그리고/또는 실질적으로 원형인 수평 단면 형상을 가질 수 있다. 일 실시예에서, 각각의 유전체 필라(20)는 실질적으로 원통형인 형상을 가질 수 있다. 일 실시예에서, 유전체 필라들(20)의 어레이는 단위 셀 구조의 인스턴스들의 2차원 주기적 어레이일 수 있다. 유전체 필라들(20)의 상단 표면들 및 상부 소스 절연 층(16)의 상단 표면은 코플래너(coplanar)일 수 있다 - 즉, 동일한 유클리드 평면 내에 위치될 수 있음 -.The remaining portions of the dielectric fill material filling the via cavities 19 constitute an array of dielectric pillar 20. The array of dielectric pillars 20 may have a periodic pattern. In one embodiment, each dielectric pillar 20 may have substantially vertical sidewalls and / or may have a substantially circular horizontal cross-sectional shape. In one embodiment, each dielectric pillar 20 may have a substantially cylindrical shape. In one embodiment, the array of dielectric pillars 20 may be a two-dimensional periodic array of instances of a unit cell structure. The upper surfaces of the dielectric pillars 20 and the upper surface of the upper source insulating layer 16 may be coplanar - that is, they may be located in the same Euclidean plane.

도 4a 내지 도 4c는 유전체 필라들(20)의 어레이에 대해 이용될 수 있는 다양한 예시적인 패턴들을 예시하고 있다. 구체적으로는, 도 4a 내지 도 4c는, 각각, 제1, 제2, 및 제3 예시적인 구성들에 대한 유전체 필라들(20)의 어레이를 예시하고 있다. 도 4a에 예시된 패턴은 본원에서 지그재그 패턴이라고 지칭되고, 도 4b에 예시된 패턴은 본원에서 격자 패턴이라고 지칭되며, 도 4c에 예시된 패턴은 본원에서 대각 패턴이라고 지칭된다. 지그재그 패턴은 유전체 필라들(20)의 지그재그 행들(즉, 톱니 형상의 행들)을 포함할 수 있다. 행들은 워드 라인 방향에 평행하게 또는 비트 라인 방향에 평행하게 연장될 수 있다. 격자 패턴은 필라들(20)의 직사각형 또는 정사각형 단위 셀들을 형성하는 필라들(20)의 복수의 선형 행들 및 열들을 포함할 수 있다. 대각 패턴은 비트 라인 방향 및 워드 라인 방향에 대해, 약 45도와 같은, 30도 내지 60도의 각도로 연장되는 필라들(20)의 복수의 평행 대각 행들을 포함할 수 있다. 행들은 직각이 없는 평행사변형 형상의 단위 셀들을 형성한다. 각각의 패턴의 주기성은 차후에 형성될 메모리 개구부들의 패턴과 상응하도록 선택될 수 있다. 일 실시예에서, 유전체 필라들(20)의 각각의 패턴의 주기성은 동일한 방향을 따라 차후에 형성될 메모리 개구부들의 주기성과 동일할 수 있거나 그의 정수배일 수 있다.Figures 4A-4C illustrate various exemplary patterns that may be used for arrays of dielectric pillars 20. [ Specifically, FIGS. 4A-4C illustrate an array of dielectric pillars 20 for first, second, and third exemplary configurations, respectively. The pattern illustrated in Fig. 4A is referred to herein as a zigzag pattern, the pattern illustrated in Fig. 4B is referred to herein as a lattice pattern, and the pattern illustrated in Fig. 4C is referred to herein as a diagonal pattern. The zigzag pattern may include zigzag rows of dielectric pillars 20 (i.e., serrated rows). The rows may extend parallel to the word line direction or parallel to the bit line direction. The lattice pattern may comprise a plurality of linear rows and columns of pillars 20 forming rectangular or square unit cells of pillars 20. The diagonal pattern may include a plurality of parallel diagonal rows of pillars 20 extending at an angle of 30 to 60 degrees, such as about 45 degrees, for the bit line direction and the word line direction. The rows form unit cells of a parallelogram shape with no right angle. The periodicity of each pattern can be selected to correspond to the pattern of memory openings to be formed subsequently. In one embodiment, the periodicity of each pattern of dielectric pillars 20 may be equal to, or an integer multiple of, the periodicity of memory openings to be formed subsequently along the same direction.

도 5를 참조하면, 제1 재료 층들(절연 층들(32)일 수 있음) 및 제2 재료 층들(스페이서 재료 층들이라고 지칭됨)의 교번 스택이 상부 소스 절연 층(16) 위에 형성된다. 본원에서 사용되는 바와 같이, "재료 층"은 층 전체에 걸쳐 재료를 포함하는 층을 지칭한다. 일 실시예에서, 교번 스택은 절연 층들(32) 및 각각의 수직으로 이웃하는 절연 층들(32)의 쌍 사이에 위치되는 스페이서 재료 층들을 포함할 수 있다. 본원에서 사용되는 바와 같이, "스페이서 재료 층"은 2개의 다른 재료 층 사이, 즉 위에 있는 재료 층과 아래에 있는 재료 층 사이에 위치되는 재료 층을 지칭한다. 스페이서 재료 층들은 전기 전도성 층들로서 형성될 수 있거나, 후속 처리 단계에서 전기 전도성 층들로 대체될 수 있다.5, alternate stacks of first material layers (which may be insulating layers 32) and second material layers (which are referred to as spacer material layers) are formed over the top source insulating layer 16. As used herein, "material layer" refers to a layer comprising material throughout the layer. In one embodiment, the alternating stack may comprise spacer material layers positioned between the insulating layers 32 and a pair of respective vertically adjacent insulating layers 32. As used herein, a "spacer material layer" refers to a layer of material located between two different material layers, i. E., A layer of material above and a layer of material below. The spacer material layers may be formed as electrically conductive layers, or may be replaced with electrically conductive layers in subsequent processing steps.

본원에서 사용되는 바와 같이, 제1 요소들 및 제2 요소들의 교번 스택은 제1 요소들의 인스턴스들과 제2 요소들의 인스턴스들이 교대로 있는 구조물을 지칭한다. 교대로 있는 복수의 요소들 중 말단 요소(end element)가 아닌 제1 요소들의 각각의 인스턴스는 양측에 있는 제2 요소들의 2개의 인스턴스와 인접해 있고, 교대로 있는 복수의 요소들 중 말단 요소가 아닌 제2 요소들의 각각의 인스턴스는 양측에 있는 제1 요소들의 2개의 인스턴스와 인접해 있다. 제1 요소들은 그들 간에 동일한 두께를 가질 수 있거나, 상이한 두께들을 가질 수 있다. 제2 요소들은 그들 간에 동일한 두께를 가질 수 있거나, 상이한 두께들을 가질 수 있다. 교대로 있는 복수의 제1 재료 층들 및 제2 재료 층들은 제1 재료 층들의 인스턴스로 또는 제2 재료 층들의 인스턴스로 시작할 수 있고, 제1 재료 층들의 인스턴스로 또는 제2 재료 층들의 인스턴스로 끝날 수 있다. 일 실시예에서, 제1 요소들의 인스턴스 및 제2 요소들의 인스턴스는 교대로 있는 복수의 요소들 내에서 주기성을 갖고 반복되는 단위를 형성할 수 있다.As used herein, the alternating stack of first elements and second elements refers to a structure in which instances of first elements and instances of second elements alternate. Each instance of the first of the plurality of alternating elements not adjacent to the end is adjacent to the two instances of the second elements on either side and the end of the plurality of alternating elements Each of the second elements is adjacent to two instances of the first elements on both sides. The first elements may have the same thickness between them, or they may have different thicknesses. The second elements may have the same thickness therebetween, or they may have different thicknesses. A plurality of alternating first and second material layers may start with an instance of the first material layers or with an instance of the second material layers and may begin with an instance of the first material layers or with an instance of the second material layers . In one embodiment, instances of the first elements and instances of the second elements may form a repeating unit with periodicity within a plurality of alternating elements.

각각의 제1 재료 층은 제1 재료를 포함하고, 각각의 제2 재료 층은 제1 재료와 상이한 제2 재료를 포함한다. 일 실시예에서, 각각의 제1 재료 층은 절연 층(32)일 수 있고, 각각의 제2 재료 층(즉, 스페이서 재료 층들)은 희생 재료 층(42)일 수 있다. 이 경우에, 스택은 교대로 있는 복수의 절연 층들(32) 및 희생 재료 층들(42)을 포함할 수 있으며, 절연 층들(32) 및 희생 재료 층들(42)을 포함하는 공정 중 교번 스택(in-process alternating stack)을 구성한다. 본원에서 사용되는 바와 같이, 제1 요소들 및 제2 요소들의 "교번 스택"은 제1 요소의 인스턴스들과 제2 요소의 인스턴스들이, 수직 방향과 같은, 동일한 방향을 따라 교대로 있는 구조물이다. 본원에서 사용되는 바와 같이, "프로토타입" 구조물 또는 "공정 중" 구조물은 그 내의 적어도 하나의 컴포넌트의 형상 또는 조성이 차후에 수정되는 일시적 구조물을 지칭한다. 따라서, 공정 중 교번 스택(32, 42)이 하부 소스 절연체 층(12), 희생 매트릭스 층(14), 및 상부 소스 절연체 층(16)의 층 스택 위에 형성될 수 있다.Each first material layer comprises a first material, and each second material layer comprises a second material different from the first material. In one embodiment, each first material layer may be an insulating layer 32, and each second material layer (i.e., spacer material layers) may be a sacrificial material layer 42. In this case, the stack may comprise a plurality of alternating insulating layers 32 and sacrificial material layers 42 and may be formed of alternating stacks of in-process stacks, including insulating layers 32 and sacrificial material layers 42, -process alternating stack. As used herein, an "alternating stack" of first and second elements is a structure in which the instances of the first element and the instances of the second element are alternating along the same direction, such as the vertical direction. As used herein, a "prototype" structure or "in-process" structure refers to a temporary structure in which the shape or composition of at least one component therein is subsequently modified. Thus alternating stacks 32 and 42 in the process may be formed on the layer stack of lower source insulator layer 12, sacrificial matrix layer 14, and upper source insulator layer 16.

일 실시예에서, 교번 스택(32, 42)은 제1 재료로 이루어진 절연 층들(32), 및 절연 층들(32)의 재료와 상이한 제2 재료로 이루어진 희생 재료 층들(42)을 포함할 수 있다. 절연 층들(32)의 제1 재료는 적어도 하나의 절연 재료일 수 있다. 이에 따라, 각각의 절연 층(32)은 절연 재료 층일 수 있다. 절연 층들(32)에 대해 이용될 수 있는 절연 재료들은 실리콘 산화물(도핑된 또는 도핑되지 않은 실리케이트 유리를 포함함), 실리콘 질화물, 실리콘 산질화물, 유기 실리케이트 유리(OSG), 스핀-온 유전체 재료(spin-on dielectric material)들, 고 유전 상수(하이-k) 유전체 산화물들(예컨대, 알루미늄 산화물, 하프늄 산화물 등)이라고 흔히 알려져 있는 유전체 금속 산화물들 및 그의 실리케이트들, 유전체 금속 산질화물들 및 그의 실리케이트들, 그리고 유기 절연 재료들을 포함하지만, 이들로 제한되지 않는다. 일 실시예에서, 절연 층들(32)의 제1 재료는 실리콘 산화물일 수 있다.In alternate embodiments, alternate stacks 32 and 42 may include insulating layers 32 of a first material and sacrificial material layers 42 of a second material different from the materials of insulating layers 32 . The first material of the insulating layers 32 may be at least one insulating material. Accordingly, each insulating layer 32 can be an insulating material layer. The insulating materials that may be used for the insulating layers 32 include silicon oxide (including doped or undoped silicate glass), silicon nitride, silicon oxynitride, organosilicate glass (OSG), spin-on dielectric material dielectric metal oxides and silicates thereof, commonly known as high-k dielectric oxides (e.g., aluminum oxide, hafnium oxide, etc.), dielectric metal oxynitrides and silicates thereof But are not limited to, organic insulating materials. In one embodiment, the first material of the insulating layers 32 may be silicon oxide.

희생 재료 층들(42)의 제2 재료는 절연 층들(32)의 제1 재료에 대해 선택적으로 제거될 수 있는 희생 재료이다. 본원에서 사용되는 바와 같이, 제거 공정이 제2 재료의 제거 속도의 적어도 2배인 속도로 제1 재료를 제거하는 경우, 제1 재료의 제거가 제2 재료에 대해 "선택적"이다. 제2 재료의 제거 속도에 대한 제1 재료의 제거 속도의 비는 본원에서 제2 재료에 대한 제1 재료의 제거 공정의 "선택도(selectivity)"라고 지칭된다.The second material of the sacrificial material layers 42 is a sacrificial material that can be selectively removed relative to the first material of the insulating layers 32. As used herein, when the removal process removes the first material at a rate that is at least twice the removal rate of the second material, removal of the first material is "optional" for the second material. The ratio of the removal rate of the first material to the removal rate of the second material is referred to herein as the "selectivity" of the removal process of the first material for the second material.

희생 재료 층들(42)은 절연 재료, 반도체 재료, 또는 전도성 재료를 포함할 수 있다. 희생 재료 층들(42)의 제2 재료는, 예를 들어, 수직 NAND 디바이스의 제어 게이트 전극들로서 기능할 수 있는 전기 전도성 전극들로 차후에 대체될 수 있다. 제2 재료의 비제한적인 예들은 실리콘 질화물, (비정질 실리콘과 같은) 비정질 반도체 재료, 및 (폴리실리콘과 같은) 다결정 반도체 재료을 포함한다. 일 실시예에서, 희생 재료 층들(42)은 실리콘 질화물 또는 실리콘 및 게르마늄 중 적어도 하나를 비롯한 반도체 재료를 포함하는 스페이서 재료 층들일 수 있다.The sacrificial material layers 42 may comprise an insulating material, a semiconductor material, or a conductive material. The second material of sacrificial material layers 42 may be subsequently replaced with electrically conductive electrodes, which may, for example, function as control gate electrodes of a vertical NAND device. Non-limiting examples of the second material include silicon nitride, an amorphous semiconductor material (such as amorphous silicon), and a polycrystalline semiconductor material (such as polysilicon). In one embodiment, the sacrificial material layers 42 may be silicon nitride or spacer material layers comprising a semiconductor material, including at least one of silicon and germanium.

예시적인 예로서, 절연 층들(32)은 실리콘 산화물을 포함할 수 있고, 희생 재료 층들은 실리콘 질화물을 포함할 수 있다. 본원에서 사용되는 바와 같이, 실리콘 질화물, 또는 실리콘 질화물 재료는, 실리콘이 적어도 하나의 IV족 원소의 50 원자 퍼센트 초과를 차지하고 질소가 비금속 원소의 50 원자 퍼센트 초과를 차지하도록 되어 있는, 적어도 하나의 IV족 원소 및 적어도 하나의 비금속 원소의 유전체 화합물을 지칭한다. 이에 따라, 실리콘 질화물들은 Si3N4 및 질소의 원자 농도가 산소의 원자 농도보다 더 큰 실리콘 산질화물을 포함한다. 본원에서 사용되는 바와 같이, 실리콘 산화물, 또는 실리콘 산화물 재료는, 실리콘이 적어도 하나의 IV족 원소의 50 원자 퍼센트 초과를 차지하고 산소가 비금속 원소의 50 원자 퍼센트 초과를 차지하도록 되어 있는, 적어도 하나의 IV족 원소 및 적어도 하나의 비금속 원소의 유전체 화합물을 지칭한다. 실리콘 산화물들은 실리콘 이산화물, 실리콘의 원자 농도가 게르마늄의 원자 농도보다 더 큰 실리콘-게르마늄 합금의 산화물, 산소의 원자 농도가 질소의 원자 농도보다 더 큰 실리콘 산질화물, 및 (포스포실리케이트 유리, 플루오로실리케이트 유리, 보로포스포실리케이트 유리, 유기 실리케이트 유리 등과 같은) 그의 도핑된 유도체들을 포함한다. 절연 층들(32)의 제1 재료는, 예를 들어, 화학적 기상 퇴적(CVD)에 의해 퇴적될 수 있다. 예를 들어, 절연 층들(32)에 대해 실리콘 산화물이 이용되는 경우, TEOS(tetraethyl orthosilicate)가 CVD 공정에 대한 전구체 재료로서 이용될 수 있다. 희생 재료 층들(42)의 제2 재료는, 예를 들어, CVD 또는 원자 층 퇴적(ALD)에 의해 형성될 수 있다.As an illustrative example, the insulating layers 32 may comprise silicon oxide, and the sacrificial material layers may comprise silicon nitride. As used herein, a silicon nitride, or silicon nitride material, comprises at least one Group IV element in which silicon accounts for more than 50 atomic percent of at least one Group IV element and nitrogen is responsible for more than 50 atomic percent of the non- Group element and at least one non-metallic element. Thus, the silicon nitrides include Si 3 N 4 and silicon oxynitride where the atomic concentration of nitrogen is greater than the atomic concentration of oxygen. As used herein, a silicon oxide, or silicon oxide material, comprises at least one Group IV element in which silicon accounts for more than 50 atomic percent of at least one Group IV element and oxygen is responsible for more than 50 atomic percent of the non- Group element and at least one non-metallic element. The silicon oxides include silicon dioxide, an oxide of silicon-germanium alloy in which the atomic concentration of silicon is greater than the atomic concentration of germanium, a silicon oxynitride in which the atomic concentration of oxygen is greater than the atomic concentration of nitrogen, and (phosphosilicate glass, Silicate glass, borophosphosilicate glass, organosilicate glass, and the like). The first material of the insulating layers 32 may be deposited, for example, by chemical vapor deposition (CVD). For example, when silicon oxide is used for the insulating layers 32, tetraethyl orthosilicate (TEOS) can be used as the precursor material for the CVD process. The second material of sacrificial material layers 42 may be formed by, for example, CVD or atomic layer deposition (ALD).

절연 층들(32) 및 희생 재료 층들(42)의 두께들은 20 nm 내지 50 nm의 범위에 있을 수 있지만, 각각의 절연 층(32)에 대해 그리고 각각의 희생 재료 층(42)에 대해 보다 작은 두께들 및 보다 큰 두께들이 이용될 수 있다. 절연 층(32)과 희생 재료 층(예컨대, 제어 게이트 전극 또는 희생 재료 층)(42)의 쌍들의 반복 횟수가 2 내지 1,024, 그리고 전형적으로 8 내지 256의 범위에 있을 수 있지만, 보다 큰 반복 횟수가 또한 이용될 수 있다. 스택에서의 상단 및 하단 게이트 전극들은 선택 게이트 전극들로서 기능할 수 있다. 일 실시예에서, 교번 스택(32, 42) 내의 각각의 희생 재료 층(42)은 각자의 희생 재료 층(42) 각각 내에서 실질적으로 불변인 균일한 두께를 가질 수 있다.Thicknesses of the insulating layers 32 and sacrificial material layers 42 may be in the range of 20 nm to 50 nm but may be less than the thickness of each insulating layer 32 and of each sacrificial material layer 42 And larger thicknesses may be used. The number of repetitions of the pairs of insulating layer 32 and the sacrificial material layer (e.g., control gate electrode or sacrificial material layer) 42 may be in the range of 2 to 1,024, and typically 8 to 256, Can also be used. The upper and lower gate electrodes in the stack may function as select gate electrodes. In one embodiment, each sacrificial material layer 42 in alternating stacks 32 and 42 may have a uniform thickness that is substantially constant within each respective sacrificial material layer 42.

임의로, 절연 캡 층(70)이 교번 스택(32, 42) 위에 형성될 수 있다. 절연 캡 층(70)은 희생 재료 층들(42)의 재료와 상이한 유전체 재료를 포함한다. 일 실시예에서, 절연 캡 층(70)은, 앞서 기술된 바와 같이, 절연 층들(32)에 대해 이용될 수 있는 유전체 재료를 포함할 수 있다. 절연 캡 층(70)은 절연 층들(32) 각각보다 더 큰 두께를 가질 수 있다. 절연 캡 층(70)은, 예를 들어, 화학적 기상 퇴적에 의해 퇴적될 수 있다. 일 실시예에서, 절연 캡 층(70)은 실리콘 산화물 층일 수 있다.Optionally, an insulating cap layer 70 may be formed on the alternating stacks 32, 42. The insulating cap layer 70 includes a dielectric material that is different from the material of the sacrificial material layers 42. In one embodiment, the insulating cap layer 70 may comprise a dielectric material that may be used for the insulating layers 32, as described above. The insulating cap layer 70 may have a greater thickness than each of the insulating layers 32. The insulating cap layer 70 may be deposited, for example, by chemical vapor deposition. In one embodiment, the insulating cap layer 70 may be a silicon oxide layer.

도 6을 참조하면, 적어도 포토레지스트 층을 포함하는 리소그래피 재료 스택(도시되지 않음)이 절연 캡 층(70) 및 교번 스택(32, 42) 위에 형성될 수 있고, 그에 개구부들을 형성하기 위해 리소그래피 방식으로 패터닝될 수 있다. 리소그래피 재료 스택에서의 패턴은, 패터닝된 리소그래피 재료 스택을 에칭 마스크로서 이용하는 적어도 하나의 비등방성 에칭에 의해, 임의적인 절연 캡 층(70)을 관통하여, 교번 스택(32, 42) 전체를 관통하여, 그리고 상부 소스 절연 층(16), 희생 매트릭스 층(14), 및 하부 소스 절연 층(12)을 관통하여 전사될 수 있다. 패터닝된 리소그래피 재료 스택에서의 개구부들 아래에 있는 절연 캡 층(70), 교번 스택(32, 42), 상부 소스 절연 층(16), 희생 매트릭스 층(14), 및 하부 소스 절연 층(12)의 부분들이 메모리 개구부들(49)을 형성하기 위해 에칭된다. 환언하면, 패터닝된 리소그래피 재료 스택에서의 패턴을 임의적인 절연 캡 층(70)을 관통하여, 교번 스택(32, 42) 전체를 관통하여, 그리고 상부 소스 절연 층(16), 희생 매트릭스 층(14), 및 하부 소스 절연 층(12)을 관통하여 그리고 임의로 기판 반도체 층(10)을 부분적으로 관통하여 전사시키는 것은 메모리 개구부들(49)을 형성한다. 교번 스택(32, 42)의 재료들을 관통하게 에칭하기 위해 이용되는 비등방성 에칭 공정의 화학작용(chemistry)은 교번 스택(32, 42) 내의 제1 및 제2 재료들의 에칭을 최적화하도록 교대로 일어날 수 있다. 비등방성 에칭은, 예를 들어, 일련의 반응성 이온 에칭들일 수 있다. 임의로, 하부 소스 절연 층(12)이 에칭 정지 층으로서 사용될 수 있다. 메모리 개구부들(49)의 측벽들은 실질적으로 수직일 수 있거나, 테이퍼질 수 있다. 패터닝된 리소그래피 재료 스택은, 예를 들어, 애싱에 의해 차후에 제거될 수 있다.Referring to Figure 6, a lithographic material stack (not shown) comprising at least a photoresist layer may be formed over the insulating cap layer 70 and the alternating stacks 32 and 42, and a lithographic method As shown in FIG. The pattern in the lithographic material stack is passed through the optional insulating cap layer 70 and through the entirety of the alternating stacks 32 and 42 by at least one anisotropic etching using the patterned lithographic material stack as an etch mask And the upper source insulating layer 16, the sacrificial matrix layer 14, and the lower source insulating layer 12. In this case, An insulating cap layer 70 under the openings in the patterned lithographic material stack, alternating stacks 32 and 42, an upper source insulating layer 16, a sacrificial matrix layer 14, and a lower source insulating layer 12, Are etched to form memory openings (49). In other words, the pattern in the patterned lithographic material stack is passed through the optional insulating cap layer 70, through the entirety of the alternating stacks 32 and 42, and through the top source insulating layer 16, the sacrificial matrix layer 14 ) And transferring the substrate semiconductor layer 10 partially through the lower source insulating layer 12 and optionally forming the memory openings 49. The chemistry of the anisotropic etch process used to etch the materials of the alternating stacks 32 and 42 alternately occurs to optimize the etching of the first and second materials in the alternating stacks 32 and 42 . The anisotropic etch may be, for example, a series of reactive ion etches. Optionally, the lower source insulating layer 12 may be used as an etch stop layer. The sidewalls of the memory openings 49 may be substantially vertical or may be tapered. The patterned lithographic material stack may be subsequently removed, for example, by ashing.

도 7a 내지 도 7c는, 각각, 희생 재료 층(14)을 통과하는 수평 평면을 따른 수평 단면도들에 희생 재료 층(14), 유전체 필라들(20)의 어레이, 및 메모리 개구부들(49)의 어레이에 대한 제1, 제2, 및 제3 예시적인 구성들을 예시하고 있다. 일 실시예에서, 유전체 필라들(20)의 어레이 및 메모리 개구부들(49)의 어레이는 집단적으로 단위 셀 구조("U")의 다수의 인스턴스들의 2차원 주기적 어레이를 구성한다. 단위 셀 구조(U)는 (도 7a 내지 도 7c에 예시된 바와 같은 4개의 메모리 개구부와 같은) 다수의 메모리 개구부들(49) 및 적어도 하나의 유전체 필라(20)(도 7a에 예시된 바와 같이 단위 셀 내부에 있는 하나의 완전한 필라 및 단위 셀의 정점들에 있는 4개의 필라의 1/4 부분들로 이루어진 2개의 유전체 필라들(20), 또는 도 7b 및 도 7c에 예시된 바와 같이 단위 셀의 정점들에 있는 4개의 필라의 1/4 부분들로 이루어진 단일 유전체 필라(20)일 수 있음)를 포함할 수 있다. 일 실시예에서, 메모리 개구부들(49)의 어레이는 메모리 개구부들(49)의 육각형 어레이를 포함할 수 있다. 일 실시예에서, 단위 셀 구조(U) 내의 적어도 하나의 유전체 필라(20)의 총수에 대한 단위 셀 구조(U) 내의 다수의 메모리 개구부들(49)의 총수의 비는 2 내지 4의 범위에 있을 수 있다. 예를 들어, 비는 도 7a에 예시된 바와 같이 2, 또는 도 7b에 예시된 바와 같이 4, 또는 도 7c에 예시된 바와 같이 3일 수 있다.Figures 7a-7c illustrate the sacrificial material layer 14, the array of dielectric pillars 20, and the memory openings 49, respectively, in horizontal cross-sections along a horizontal plane through the sacrificial material layer 14, First, second, and third exemplary configurations for the array. In one embodiment, the array of dielectric pillars 20 and the array of memory openings 49 collectively constitute a two-dimensional periodic array of multiple instances of a unit cell structure ("U"). The unit cell structure U includes a plurality of memory openings 49 (such as four memory openings as illustrated in Figures 7A-7C) and at least one dielectric pillar 20 (as illustrated in Figure 7A) Two dielectric pillars 20 consisting of one complete pillar in the unit cell and one quarter of the four pillars in the apexes of the unit cell, or two dielectric pillars 20 in the unit cell, as illustrated in Figs. 7B and 7C, Which may be a single dielectric pillar 20 comprised of 1/4 of the four pillars at the apexes of the pillar). In one embodiment, the array of memory openings 49 may comprise a hexagonal array of memory openings 49. In one embodiment, The ratio of the total number of the plurality of memory openings 49 in the unit cell structure U to the total number of at least one dielectric pillar 20 in the unit cell structure U is in the range of 2 to 4 Can be. For example, the ratio may be 2 as illustrated in Figure 7a, or 4 as illustrated in Figure 7b, or 3 as illustrated in Figure 7c.

메모리 스택 구조물이 후속 처리 단계들에서 각각의 메모리 개구부(49)에 형성될 수 있다. 도 8a 내지 도 8d는 메모리 개구부(49)에 메모리 스택 구조물을 형성하는 공정을 예시하고 있다. 메모리 스택 구조물들의 형성에 대한 특정의 실시예가 본원에 예시되어 있지만, 상이한 유형들의 메모리 스택 구조물들이 형성되는 실시예들이 본원에서 명확히 생각되고 있다.A memory stack structure may be formed in each memory opening 49 in subsequent processing steps. FIGS. 8A-8D illustrate a process for forming a memory stack structure in the memory opening 49. FIG. Although specific embodiments of the formation of memory stack structures are illustrated herein, embodiments in which different types of memory stack structures are formed are specifically contemplated herein.

도 8a를 참조하면, 메모리 개구부(49)가 예시되어 있다. 메모리 개구부(49)는 절연 캡 층(70), 교번 스택(32, 42), 및 상부 소스 절연 층(16), 희생 매트릭스 층(14), 및 하부 소스 절연 층(12)의 층 스택을 관통하여 그리고 임의로 기판 반도체 층(10)의 상부 부분 내로 연장된다. 기판 반도체 층(10)의 상단 표면에 대한 각각의 메모리 개구부(49)의 하단 표면의 리세스 깊이는 0 nm 내지 30 nm의 범위에 있을 수 있지만, 보다 큰 리세스 깊이들이 또한 이용될 수 있다. 임의로, 희생 재료 층들(42)은, 예를 들어, 등방성 에칭에 의해 측방 리세스들(도시되지 않음)을 형성하도록 부분적으로 측방으로 리세싱될 수 있다.Referring to FIG. 8A, a memory opening 49 is illustrated. The memory opening 49 penetrates the stack of layers of insulating cap layer 70, alternating stacks 32 and 42 and upper source insulating layer 16, sacrificial matrix layer 14 and lower source insulating layer 12 And optionally into the upper portion of the substrate < RTI ID = 0.0 > semiconductor < / RTI > The recess depth of the bottom surface of each memory opening 49 with respect to the top surface of the substrate semiconductor layer 10 may range from 0 nm to 30 nm, but larger recess depths may also be used. Optionally, sacrificial material layers 42 may be partially recessed laterally to form lateral recesses (not shown), for example, by isotropic etching.

도 8b를 참조하면, 메모리 막을 형성하기 위한 한 세트의 층들이 각각의 메모리 개구부 내에 퇴적된다. 한 세트의 층들은, 예를 들어, 임의적인 외측 차단 유전체 층(502L), 임의적인 내측 차단 유전체 층(503L), 전하 저장 요소 층(504L), 및 터널링 유전체 층(506L)을 포함할 수 있다.Referring to FIG. 8B, a set of layers for forming a memory film is deposited in each memory opening. The set of layers may include, for example, an optional outer blocking dielectric layer 502L, an optional inner blocking dielectric layer 503L, a charge storage element layer 504L, and a tunneling dielectric layer 506L .

구체적으로는, 외측 및 내측 차단 유전체 층들(502L, 503L) 각각은, 실리콘 산화물, 유전체 금속 산화물, 또는 이들의 조합일 수 있는, 적어도 하나의 유전체 재료를 포함할 수 있다. 본원에서 사용되는 바와 같이, 유전체 금속 산화물은 적어도 하나의 금속 원소 및 적어도 산소를 포함하는 유전체 재료를 지칭한다. 유전체 금속 산화물은 적어도 하나의 금속 원소 및 산소로 본질적으로 이루어질 수 있거나 적어도 하나의 금속 원소, 산소, 및, 질소와 같은, 적어도 하나의 비금속 원소로 본질적으로 이루어질 수 있다. 일 실시예에서, 외측 및 내측 차단 유전체 층들(502L, 503L) 중 적어도 하나는 7.9보다 더 큰 유전 상수를 갖는, 즉 실리콘 질화물의 유전 상수보다 더 큰 유전 상수를 갖는 유전체 금속 산화물을 포함할 수 있다. 유전체 금속 산화물들의 비제한적인 예들은 알루미늄 산화물(Al2O3), 하프늄 산화물(HfO2), 란탄 산화물(LaO2), 이트륨 산화물(Y2O3), 탄탈륨 산화물(Ta2O5), 이들의 실리케이트들, 이들의 질소 도핑된 화합물들, 이들의 합금들, 및 이들의 스택들을 포함한다. 유전체 금속 산화물이, 예를 들어, 화학적 기상 퇴적(CVD), 원자 층 퇴적(ALD), 펄스 레이저 퇴적(PLD), LSMCD(liquid source misted chemical deposition), 또는 이들의 조합에 의해 퇴적될 수 있다. 그에 부가하여 또는 대안적으로, 외측 및 내측 차단 유전체 층들(502L, 503L) 중 적어도 하나는 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 또는 이들의 조합을 포함할 수 있다. 일 실시예에서, 차단 유전체 층들(502L, 503L)은 알루미늄 산화물 및 실리콘 산화물의 스택을 포함할 수 있다. 외측 및 내측 차단 유전체 층들(502L, 503L) 각각은 저압 화학적 기상 퇴적, 원자 층 퇴적, 또는 이들의 조합과 같은 컨포멀 퇴적 방법에 의해 형성될 수 있다. 차단 유전체 층들(502L, 503L)의 두께는 1 nm 내지 30 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.Specifically, each of the outer and inner blocking dielectric layers 502L and 503L may comprise at least one dielectric material, which may be silicon oxide, a dielectric metal oxide, or a combination thereof. As used herein, a dielectric metal oxide refers to a dielectric material comprising at least one metal element and at least oxygen. The dielectric metal oxide may consist essentially of at least one metal element and oxygen, or may consist essentially of at least one non-metal element, such as at least one metal element, oxygen, and nitrogen. In one embodiment, at least one of the outer and inner blocking dielectric layers 502L, 503L may comprise a dielectric metal oxide having a dielectric constant greater than 7.9, i. E., A dielectric constant greater than the dielectric constant of silicon nitride . Non-limiting examples of the dielectric metal oxides are aluminum oxide (Al 2 O 3), hafnium oxide (HfO 2), lanthanum oxide (LaO 2), yttrium oxide (Y 2 O 3), tantalum oxide (Ta 2 O 5), Their silicates, their nitrogen doped compounds, their alloys, and their stacks. Dielectric metal oxides may be deposited by, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), pulsed laser deposition (PLD), liquid source misted chemical deposition (LSMCD), or a combination thereof. Additionally or alternatively, at least one of the outer and inner blocking dielectric layers 502L and 503L may comprise silicon oxide, silicon oxynitride, silicon nitride, or a combination thereof. In one embodiment, the barrier dielectric layers 502L and 503L may comprise a stack of aluminum oxide and silicon oxide. Each of the outer and inner barrier dielectric layers 502L and 503L may be formed by a conformal deposition method such as a low pressure chemical vapor deposition, an atomic layer deposition, or a combination thereof. The thickness of the blocking dielectric layers 502L, 503L may be in the range of 1 nm to 30 nm, but smaller thicknesses and larger thicknesses may also be used.

전하 저장 요소 층(504L)은, 예를 들어, 실리콘 질화물일 수 있는, 유전체 전하 트래핑 재료를 포함하는 전하 트래핑 재료의 단일 층을 포함할 수 있다. 대안적으로, 전하 저장 요소 층(504L)은, 예를 들어, 희생 재료 층들(42) 내로의 측방 리세스들 내에 형성되는 것에 의해 다수의 전기적으로 격리된 부분들(예컨대, 플로팅 게이트들)로 패터닝되는 도핑된 폴리실리콘 또는 금속 재료와 같은 전도성 재료를 포함할 수 있다. 일 실시예에서, 전하 저장 요소 층(504L)은 실리콘 질화물 층을 포함한다.The charge storage element layer 504L may comprise a single layer of a charge trapping material comprising a dielectric charge trapping material, which may be, for example, silicon nitride. Alternatively, the charge storage element layer 504L may be formed as a plurality of electrically isolated portions (e.g., floating gates) by being formed in the lateral recesses into, for example, Or a conductive material such as doped polysilicon or metal material to be patterned. In one embodiment, the charge storage element layer 504L comprises a silicon nitride layer.

전하 저장 요소 층(504L)이 균질한 조성의 단일 메모리 재료 층으로서 형성될 수 있거나, 다수의 메모리 재료 층들의 스택을 포함할 수 있다. 다수의 메모리 재료 층들은, 이용되는 경우, 전도성 재료들(예컨대, 텅스텐, 몰리브덴, 탄탈륨, 티타늄, 백금, 루테늄, 및 이들의 합금과 같은 금속, 또는 텅스텐 실리사이드, 몰리브덴 실리사이드, 탄탈륨 실리사이드, 티타늄 실리사이드, 니켈 실리사이드, 코발트 실리사이드, 또는 이들의 조합과 같은 금속 실리사이드) 및/또는 반도체 재료들(예컨대, 적어도 하나의 원소 반도체 요소 또는 적어도 하나의 화합물 반도체 재료를 포함하는 다결정 또는 비정질 반도체 재료)을 함유하는 복수의 이격된 플로팅 게이트 재료 층들을 포함할 수 있다. 대안적으로 또는 그에 부가하여, 전하 저장 요소 층(504L)은, 하나 이상의 실리콘 질화물 세그먼트와 같은, 절연성 전하 트래핑 재료를 포함할 수 있다. 대안적으로, 전하 저장 요소 층(504L)은, 예를 들어, 루테늄 나노입자들일 수 있는, 금속 나노입자들과 같은 전도성 나노입자들을 포함할 수 있다. 전하 저장 요소 층(504L)이, 예를 들어, 화학적 기상 퇴적(CVD), 원자 층 퇴적(ALD), 물리적 기상 퇴적(PVD), 또는 전하들을 그에 저장하기 위한 임의의 적당한 퇴적 기법에 의해 형성될 수 있다. 전하 저장 요소 층(504L)의 두께는 2 nm 내지 20 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.The charge storage element layer 504L may be formed as a single memory material layer of homogeneous composition or may comprise a stack of multiple memory material layers. The plurality of memory material layers, when utilized, may be formed of a material such as conductive materials (e.g., a metal such as tungsten, molybdenum, tantalum, titanium, platinum, ruthenium, and alloys thereof, or tungsten silicide, molybdenum silicide, tantalum silicide, (E.g., a metal silicide such as nickel silicide, nickel silicide, cobalt silicide, or combinations thereof) and / or semiconductor materials (e.g., polycrystalline or amorphous semiconductor material comprising at least one element semiconductor element or at least one compound semiconductor material) Spaced apart floating gate material layers. Alternatively or additionally, the charge storage element layer 504L may comprise an insulating charge trapping material, such as one or more silicon nitride segments. Alternatively, the charge storage element layer 504L may comprise conductive nanoparticles, such as metal nanoparticles, which may be, for example, ruthenium nanoparticles. The charge storage element layer 504L may be formed by any suitable deposition technique for depositing, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD) . Thickness of charge storage element layer 504L may be in the range of 2 nm to 20 nm, but smaller thicknesses and larger thicknesses may also be used.

터널링 유전체 층(506L)은 유전체 재료를 포함하고, 이를 통해 적당한 전기 바이어스 조건들 하에서 전하 터널링이 수행될 수 있다. 형성될 모놀리식 3차원 NAND 스트링 메모리 디바이스의 동작 모드에 따라 핫 캐리어 주입을 통해 또는 Fowler-Nordheim 터널링 유발 전하 전달(tunneling induced charge transfer)에 의해 전하 터널링이 수행될 수 있다. 터널링 유전체 층(506L)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, (알루미늄 산화물 및 하프늄 산화물과 같은) 유전체 금속 산화물들, 유전체 금속 산질화물, 유전체 금속 실리케이트들, 이들의 합금들, 및/또는 이들의 조합들을 포함할 수 있다. 일 실시예에서, 터널링 유전체 층(506L)은, ONO 스택이라고 흔히 알려져 있는, 제1 실리콘 산화물 층, 실리콘 산질화물 층, 및 제2 실리콘 산화물 층의 스택을 포함할 수 있다. 일 실시예에서, 터널링 유전체 층(506L)은 실질적으로 탄소가 없는 실리콘 산화물 층 또는 실질적으로 탄소가 없는 실리콘 산질화물 층을 포함할 수 있다. 터널링 유전체 층(506L)의 두께는 2 nm 내지 20 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.Tunneling dielectric layer 506L includes a dielectric material through which charge tunneling can be performed under suitable electrical bias conditions. Depending on the mode of operation of the monolithic three-dimensional NAND string memory device to be formed, charge tunneling may be performed via hot carrier injection or by Fowler-Nordheim tunneling induced charge transfer. The tunneling dielectric layer 506L may comprise one or more of silicon oxide, silicon nitride, silicon oxynitride, dielectric metal oxides (such as aluminum and hafnium oxides), dielectric metal oxynitride, dielectric metal silicates, alloys thereof, and / / RTI > In one embodiment, the tunneling dielectric layer 506L may comprise a stack of a first silicon oxide layer, a silicon oxynitride layer, and a second silicon oxide layer, commonly known as ONO stacks. In one embodiment, the tunneling dielectric layer 506L may comprise a substantially carbon-free silicon oxide layer or a substantially carbon-free silicon oxynitride layer. Thickness of the tunneling dielectric layer 506L may be in the range of 2 nm to 20 nm, but smaller thicknesses and larger thicknesses may also be used.

예시적인 예로서, 임의적인 외측 차단 유전체 층(502L)은 알루미늄 산화물과 같은 유전체 금속 산화물을 포함할 수 있고, 내측 차단 유전체 층(503L)은 실리콘 산화물과 같은 반도체 재료의 유전체 산화물을 포함할 수 있다. 전하 저장 요소 층(504L)은 임의의 유형의 전하 저장 재료를 포함할 수 있고, 전하 트래핑 재료를 포함하는 연속적인 재료 층으로서 형성될 수 있거나, 예를 들어, 컨포멀 퇴적 공정과 비등방성 에칭의 조합에 의해 리세싱된 영역들에 퇴적되는 것에 의해 희생 재료 층들(42)의 각각의 레벨에 위치되는 복수의 수직으로 격리된 전하 트래핑 재료 부분들로 형성될 수 있다. 터널링 유전체 층(506L)은, 예를 들어, 실리콘 산화물 또는 ONO 스택일 수 있는, 터널링 유전체 재료로서 이용될 수 있는 재료를 포함한다.As an illustrative example, the optional outer blocking dielectric layer 502L may comprise a dielectric metal oxide such as aluminum oxide and the inner blocking dielectric layer 503L may comprise a dielectric oxide of a semiconductor material such as silicon oxide . The charge storage element layer 504L may comprise any type of charge storage material and may be formed as a continuous material layer comprising a charge trapping material or may be formed, for example, by a conformal deposition process and an anisotropic etch May be formed of a plurality of vertically isolated charge trapping material portions located at respective levels of sacrificial material layers 42 by being deposited in regions recessed by the combination. The tunneling dielectric layer 506L includes a material that can be used as a tunneling dielectric material, which may be, for example, a silicon oxide or ONO stack.

반도체 채널 층(60L)이 터널링 유전체 층(506L) 위에 퇴적될 수 있다. 반도체 채널 층(60L)은 적어도 하나의 원소 반도체 재료, 적어도 하나의 III-V족 화합물 반도체 재료, 적어도 하나의 II-VI족 화합물 반도체 재료, 적어도 하나의 유기 반도체 재료, 또는 본 기술분야에 공지된 다른 반도체 재료들과 같은 반도체 재료를 포함한다. 일 실시예에서, 반도체 채널 층(60L)은 비정질 실리콘 또는 폴리실리콘을 포함한다. 반도체 채널 층(60L)은 저압 화학적 기상 퇴적(LPCVD)과 같은 컨포멀 퇴적 방법에 의해 형성될 수 있다. 반도체 채널 층(60L)의 두께는 2 nm 내지 10 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 캐비티(49')가 퇴적된 재료 층들(502L, 503L, 504L, 506L, 60L)로 채워지지 않은 각각의 메모리 개구부(49)의 체적에 형성된다.A semiconductor channel layer 60L may be deposited over the tunneling dielectric layer 506L. The semiconductor channel layer 60L may comprise at least one elemental semiconductor material, at least one Group III-V compound semiconductor material, at least one Group II-VI compound semiconductor material, at least one organic semiconductor material, And other semiconductor materials. In one embodiment, the semiconductor channel layer 60L comprises amorphous silicon or polysilicon. The semiconductor channel layer 60L may be formed by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD). Thickness of the semiconductor channel layer 60L may be in the range of 2 nm to 10 nm, but smaller thicknesses and larger thicknesses may also be used. The cavity 49 'is formed in the volume of each memory opening 49 that is not filled with the deposited material layers 502L, 503L, 504L, 506L, 60L.

도 8c를 참조하면, 각각의 메모리 개구부(49) 내의 캐비티(49')를 채우기 위해 유전체 재료가 퇴적될 수 있다. 유전체 재료가 저압 화학적 기상 퇴적(LPCVD)과 같은 컨포멀 퇴적 방법에 의해, 또는 스핀 코팅과 같은 자체 평탄화 퇴적 공정에 의해 퇴적될 수 있다. 캐비티들(49')을 채우는 데 이용될 수 있는 예시적인 유전체 재료들은 실리콘 산화물(도핑되지 않은 실리케이트 유리 또는 도핑된 실리케이트 유리) 및 유기 실리케이트 유리를 포함한다.Referring to FIG. 8C, a dielectric material may be deposited to fill the cavity 49 'in each memory opening 49. The dielectric material may be deposited by a conformal deposition method, such as low pressure chemical vapor deposition (LPCVD), or by a self planarization deposition process such as spin coating. Exemplary dielectric materials that may be used to fill the cavities 49 'include silicon oxide (undoped silicate glass or doped silicate glass) and organosilicate glass.

유전체 재료, 반도체 채널 층(60L), 터널링 유전체 층(506L), 전하 저장 요소 층(504L), 및 차단 유전체 층들(502L, 503L)의 잉여 부분들을 절연 캡 층(70)의 상단 표면을 포함하는 수평 평면 위쪽으로부터 제거하기 위해 평탄화 공정이 수행될 수 있다. 리세스 에칭 및/또는 화학 기계적 평탄화 공정이 이용될 수 있다. 메모리 개구부 내의 외측 차단 유전체 층(502L)의 각각의 남아 있는 부분은 외측 차단 유전체(502)를 구성한다. 메모리 개구부 내의 내측 차단 유전체 층(503L)의 각각의 남아 있는 부분은 내측 차단 유전체(503)를 구성한다. 메모리 개구부 내의 전하 저장 요소 층(504L)의 각각의 남아 있는 부분은 전하 저장 요소들(504)(단일의 연속적인 메모리 재료 층(전하 저장 층)으로서 또는 희생 재료 층들(42)의 각각의 레벨에 위치된 개별 전하 저장 재료 부분들로서 구현될 수 있음)을 포함한다. 일 실시예에서, 희생 재료 층들(42)의 레벨들에 위치되는 (실리콘 질화물과 같은) 전하 트래핑 유전체 재료를 포함하는 단일의 연속적인 메모리 재료 층의 부분들은 전하 저장 요소들을 구성하는 반면, 절연 층들(32)의 각각의 레벨에 위치된 동일한 단일의 연속적인 메모리 재료 층의 부분들은 수직으로 이웃하는 전하 저장 요소들 사이의 전기적 격리를 제공한다. 메모리 개구부 내의 터널링 유전체 층(506L)의 각각의 남아 있는 부분은 터널링 유전체(506)를 구성한다. 메모리 개구부 내의 반도체 채널 층(60L)의 각각의 남아 있는 부분은, 수직 방향을 따라 연장되는 수직 반도체 채널을 포함하는, 반도체 채널(60)을 구성한다. 유전체 재료의 각각의 남아 있는 부분은 유전체 코어(62)를 구성한다. 임의적인 외측 차단 유전체(502), 내측 차단 유전체(503), 한 세트의 전하 저장 요소들(504), 및 터널 유전체(506)의 각각의 인접 세트는 집단적으로 메모리 막(50)을 구성한다.Surplus portions of the dielectric material, the semiconductor channel layer 60L, the tunneling dielectric layer 506L, the charge storage element layer 504L, and the barrier dielectric layers 502L and 503L, A planarization process may be performed to remove it from above the horizontal plane. A recess etch and / or a chemical mechanical planarization process may be used. Each remaining portion of the outer blocking dielectric layer 502L in the memory opening constitutes the outer blocking dielectric 502. Each remaining portion of the inner blocking dielectric layer 503L within the memory opening constitutes the inner blocking dielectric 503. Each remaining portion of the charge storage element layer 504L in the memory opening is filled with charge storage elements 504 (either as a single continuous memory material layer (charge storage layer) or at each level of the sacrificial material layers 42 Which may be embodied as discrete charge storage material portions. In one embodiment, portions of a single continuous memory material layer, including charge trapping dielectric material (such as silicon nitride), located at the levels of sacrificial material layers 42 constitute charge storage elements, The portions of the same single continuous memory material layer located at each level of the memory cell 32 provide electrical isolation between vertically adjacent charge storage elements. Each remaining portion of the tunneling dielectric layer 506L in the memory opening constitutes a tunneling dielectric 506. Each remaining portion of the semiconductor channel layer 60L in the memory opening constitutes a semiconductor channel 60, including a vertical semiconductor channel extending along the vertical direction. Each remaining portion of the dielectric material constitutes a dielectric core 62. Each adjacent set of optional outer isolation dielectric 502, inner isolation dielectric 503, a set of charge storage elements 504, and a tunnel dielectric 506 collectively constitute the memory film 50.

도 8d를 참조하면, 각각의 유전체 코어(62)는, 예를 들어, 메모리 막(50)에 대한 리세스 에칭에 의해 수직으로 리세싱될 수 있다. 유전체 코어(62)의 리세스 에칭은 반도체 채널(60)에 대해 선택적일 수 있거나 그렇지 않을 수 있다. 드레인 영역들(63)은 도핑된 반도체 재료를 유전체 코어들(62) 위쪽의 각각의 리세싱된 영역 내에 퇴적시키는 것에 의해 형성될 수 있다. 도핑된 반도체 재료는, 예를 들어, 도핑된 폴리실리콘일 수 있다. 드레인 영역들(63)을 형성하기 위해, 퇴적된 반도체 재료의 잉여 부분들이, 예를 들어, 화학 기계적 평탄화(CMP) 또는 리세스 에칭에 의해 절연 캡 층(70)의 상단 표면 위쪽으로부터 제거될 수 있다. 동일한 메모리 개구부 내에 위치된 메모리 막(50) 및 반도체 채널(60)의 각각의 세트는 메모리 스택 구조물(55)을 구성한다.8D, each of the dielectric cores 62 may be vertically recessed, for example, by a recess etch for the memory film 50. The recess etch of the dielectric core 62 may or may not be selective for the semiconductor channel 60. Drain regions 63 may be formed by depositing a doped semiconductor material within each recessed region above dielectric cores 62. [ The doped semiconductor material can be, for example, doped polysilicon. Surplus portions of the deposited semiconductor material may be removed from above the top surface of the insulating cap layer 70 by, for example, chemical mechanical planarization (CMP) or recess etching to form the drain regions 63 have. Each set of memory film 50 and semiconductor channel 60 located within the same memory opening constitutes a memory stack structure 55.

도 9는 도 8d의 예시적인 메모리 스택 구조물(55)의 다수의 인스턴스들을 포함하는 제1 예시적인 구조물을 예시하고 있다. 각각의 메모리 스택 구조물(55)은, 외부로부터 내부로, 적어도 하나의 임의적인 차단 유전체(502, 503)(외측 차단 유전체(502) 및 내측 차단 유전체(503)를 포함할 수 있음), 메모리 요소들일 수 있는 전하 저장 요소들(504)(각각의 희생 재료 층들(42)의 레벨들에 위치된 메모리 재료 층의 수직으로 이격된 부분들로서 구현됨), 터널링 유전체(506), 및 반도체 채널(60)을 포함한다. 본 개시내용이 메모리 스택 구조물에 대한 예시된 구성을 이용하여 기술되지만, 본 개시내용의 방법들이 다결정 반도체 채널을 포함하는 대안의 메모리 스택 구조물들에 적용될 수 있다.FIG. 9 illustrates a first exemplary structure including multiple instances of the exemplary memory stack structure 55 of FIG. 8D. Each memory stack structure 55 includes at least one optional blocking dielectric 502, 503 (which may include an outer blocking dielectric 502 and an inner blocking dielectric 503) from outside to inside, Charge storage elements 504 (implemented as vertically spaced portions of the memory material layer located at the levels of each sacrificial material layer 42), a tunneling dielectric 506, and semiconductor channels 60 ). Although the present disclosure is described using an exemplary configuration for a memory stack structure, the methods of the present disclosure can be applied to alternative memory stack structures including polycrystalline semiconductor channels.

도 10을 참조하면, 임의적인 제1 콘택트 레벨 유전체 층(71)이 기판 반도체 층(10) 위에 형성될 수 있다. 임의적인 구조물로서, 제1 콘택트 레벨 유전체 층(71)이 형성될 수 있거나 그렇지 않을 수 있다. 제1 콘택트 레벨 유전체 층(71)이 형성되는 경우에, 제1 콘택트 레벨 유전체 층(71)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 다공성 또는 비-다공성 유기 실리케이트 유리(OSG), 또는 이들의 조합과 같은 유전체 재료를 포함한다. 유기 실리케이트 유리가 이용되는 경우, 유기 실리케이트 유리가 질소로 도핑될 수 있거나 그렇지 않을 수 있다. 제1 콘택트 레벨 유전체 층(71)이 절연 캡 층(70)의 상단 표면 및 드레인 영역들(63)의 상단 표면들을 포함하는 수평 평면 위에 형성될 수 있다. 제1 콘택트 레벨 유전체 층(71)이 화학적 기상 퇴적, 원자 층 퇴적(ALD), 스핀-코팅, 또는 이들의 조합에 의해 퇴적될 수 있다. 제1 콘택트 레벨 유전체 층(71)의 두께는 10 nm 내지 300 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.Referring to FIG. 10, an optional first contact level dielectric layer 71 may be formed over the substrate semiconductor layer 10. As an optional feature, a first contact level dielectric layer 71 may or may not be formed. When first contact level dielectric layer 71 is formed, first contact level dielectric layer 71 may be formed of silicon oxide, silicon nitride, silicon oxynitride, porous or non-porous organosilicate glass (OSG) And combinations thereof. When an organosilicate glass is used, the organosilicate glass may or may not be doped with nitrogen. A first contact level dielectric layer 71 may be formed on a horizontal plane including the top surface of the insulating cap layer 70 and the top surfaces of the drain regions 63. The first contact level dielectric layer 71 may be deposited by chemical vapor deposition, atomic layer deposition (ALD), spin-coating, or a combination thereof. The thickness of the first contact level dielectric layer 71 may be in the range of 10 nm to 300 nm, but smaller thicknesses and larger thicknesses may also be used.

일 실시예에서, 제1 콘택트 레벨 유전체 층(71)은 전체에 걸쳐 균일한 두께를 갖는 유전체 재료 층으로서 형성될 수 있다. 제1 콘택트 레벨 유전체 층(71)은 단일 유전체 재료 층으로서 형성될 수 있거나, 복수의 유전체 재료 층들의 스택으로서 형성될 수 있다. 대안적으로, 제1 콘택트 레벨 유전체 층(71)의 형성은 적어도 하나의 라인 레벨 유전체 층(도시되지 않음)의 형성과 병합될 수 있다. 본 개시내용이 제1 콘택트 레벨 유전체 층(71)이 임의적인 제2 콘택트 레벨 유전체 층 또는 차후에 퇴적될 적어도 하나의 라인 레벨 유전체 층으로부터 분리된 구조물인 실시예를 이용하여 기술되지만, 제1 콘택트 레벨 유전체 층(71) 및 적어도 하나의 라인 레벨 유전체 층이 동일한 처리 단계에서 그리고/또는 동일한 재료 층으로서 형성되는 실시예들이 본원에서 명확히 생각되고 있다.In one embodiment, the first contact level dielectric layer 71 may be formed as a layer of dielectric material having a uniform thickness throughout. The first contact level dielectric layer 71 may be formed as a single layer of dielectric material or may be formed as a stack of multiple layers of dielectric material. Alternatively, the formation of the first contact level dielectric layer 71 may be combined with the formation of at least one line level dielectric layer (not shown). Although the present disclosure is described using an embodiment in which the first contact level dielectric layer 71 is a separate structure from the optional second contact level dielectric layer or at least one line level dielectric layer to be deposited subsequently, Embodiments in which dielectric layer 71 and at least one line level dielectric layer are formed in the same process step and / or as the same material layer are specifically contemplated herein.

일 실시예에서, 제1 콘택트 레벨 유전체 층(71), 절연 캡 층(70), 교번 스택(32, 42), 그리고 상부 소스 절연 층(16), 희생 매트릭스 층(14), 및 하부 소스 절연 층(12)의 층 스택이, 예를 들어, 마스킹된 에칭 공정(masked etch process)에 의해 주변 디바이스 영역(200)으로부터 제거될 수 있다. 그에 부가하여, 교번 스택(32, 42)의 일부분을 패터닝하는 것에 의해 단차가 있는 캐비티가 콘택트 영역(300) 내에 형성될 수 있다. 본원에서 사용되는 바와 같이, "단차가 있는 캐비티"는 단차가 있는 표면들을 갖는 캐비티를 지칭한다. 본원에서 사용되는 바와 같이, "단차가 있는 표면들"은, 각각의 수평 표면이 수평 표면의 제1 에지로부터 위쪽으로 연장되는 제1 수직 표면에 인접하고, 수평 표면의 제2 에지로부터 아래쪽으로 연장되는 제2 수직 표면에 인접하도록, 적어도 2개의 수평 표면 및 적어도 2개의 수직 표면을 포함하는 한 세트의 표면들을 지칭한다. "단차"는 한 세트의 인접 표면들의 높이의 수직 변화(vertical shift)를 지칭한다.In one embodiment, a first contact level dielectric layer 71, an insulating cap layer 70, alternating stacks 32 and 42, and an upper source insulating layer 16, a sacrificial matrix layer 14, The layer stack of layer 12 may be removed from the peripheral device region 200, for example, by a masked etch process. In addition, a stepped cavity can be formed in the contact region 300 by patterning a portion of the alternating stacks 32, As used herein, a "stepped cavity" refers to a cavity having stepped surfaces. As used herein, "stepped surfaces" means that each horizontal surface is adjacent a first vertical surface extending upwardly from a first edge of the horizontal surface and extending downwardly from a second edge of the horizontal surface Refers to a set of surfaces that includes at least two horizontal surfaces and at least two vertical surfaces so as to be adjacent to the second vertical surface. "Step" refers to the vertical shift of the height of a set of adjacent surfaces.

단차가 있는 캐비티는 단차가 있는 캐비티의 수평 단면 형상이 기판 반도체 층(10)의 상단 표면으로부터의 수직 거리의 함수로서 단차가 변하도록 다양한 단차가 있는 표면들을 가질 수 있다. 일 실시예에서, 한 세트의 처리 단계들을 반복적으로 수행하는 것에 의해 단차가 있는 캐비티가 형성될 수 있다. 한 세트의 처리 단계들은, 예를 들어, 캐비티의 깊이를 하나 이상의 레벨만큼 수직으로 증가시키는 제1 유형의 에칭 공정, 및 제1 유형의 후속 에칭 공정에서 수직으로 에칭될 구역을 측방으로 확장시키는 제2 유형의 에칭 공정을 포함할 수 있다. 본원에서 사용되는 바와 같이, 교번 스택을 포함하는 구조물의 "레벨"은 구조물 내의 제1 재료 층과 제2 재료 층의 쌍의 상대 위치로서 정의된다. 모든 단차가 있는 표면들의 형성 이후에, 단차가 있는 표면들을 형성하기 위해 이용된 마스크 재료 층들이, 예를 들어, 애싱에 의해 제거될 수 있다. 다수의 포토레지스트 층들 및/또는 다수의 에칭 공정들이 단차가 있는 표면들을 형성하는 데 이용될 수 있다.The stepped cavity may have various stepped surfaces such that the horizontal cross-sectional shape of the stepped cavity changes in step as a function of the vertical distance from the top surface of the substrate < RTI ID = 0.0 > In one embodiment, a stepped cavity can be formed by repeatedly performing a set of processing steps. One set of processing steps may include, for example, a first type of etching process that vertically increases the depth of the cavity by one or more levels, and a second type of etching process that laterally extends the area to be vertically etched in the first type of subsequent etching process Two types of etching processes may be included. As used herein, the "level" of a structure comprising an alternating stack is defined as the relative position of a pair of first and second material layers in the structure. After formation of all the stepped surfaces, the masking material layers used to form the stepped surfaces can be removed, for example, by ashing. Multiple photoresist layers and / or multiple etch processes may be used to form stepped surfaces.

실리콘 산화물과 같은 유전체 재료가 단차가 있는 캐비티에 그리고 주변 디바이스 영역(200)에 있는 주변 디바이스들(210) 위에 퇴적된다. 퇴적된 유전체 재료의 잉여 부분들이, 예를 들어, 화학 기계적 평탄화(CMP)에 의해 제1 콘택트 레벨 유전체 층(71)의 상단 표면 위쪽으로부터 제거될 수 있다. 콘택트 영역(300)에 있는 단차가 있는 캐비티를 채우고 주변 디바이스 영역(200)에 있는 기판 반도체 층(10) 위에 있는, 퇴적된 유전체 재료의 남아 있는 부분은 역단차가 있는 유전체 재료 부분(65)을 구성한다. 본원에서 사용되는 바와 같이, "역단차가 있는" 요소는 단차가 있는 표면들 및 요소가 존재하는 기판의 상단 표면으로부터의 수직 거리의 함수로서 단조적으로 증가하는 수평 단면적을 갖는 요소를 지칭한다. 실리콘 산화물이 유전체 재료로서 이용되는 경우, 역단차가 있는 유전체 재료 부분(65)의 실리콘 산화물은 B, P, 및/또는 F와 같은 도펀트들로 도핑될 수 있거나 그렇지 않을 수 있다. 역단차가 있는 유전체 재료 부분(65)의 상단 표면은 제1 콘택트 레벨 유전체 층(71)의 상단 표면과 코플래너일 수 있다.A dielectric material such as silicon oxide is deposited on the stepped cavity and on the peripheral devices 210 in the peripheral device region 200. [ Surplus portions of the deposited dielectric material may be removed from above the top surface of the first contact level dielectric layer 71, for example, by chemical mechanical planarization (CMP). The remaining portion of the deposited dielectric material on the substrate semiconductor layer 10 in the peripheral device region 200 that fills the stepped cavity in the contact region 300 has a dielectric material portion 65 with an inverse step . As used herein, an "inverted stepped" element refers to an element having a monotonically increasing horizontal cross-sectional area as a function of stepped surfaces and the vertical distance from the top surface of the substrate where the element is present. When silicon oxide is used as the dielectric material, the silicon oxide of the inverted-step dielectric material portion 65 may or may not be doped with dopants such as B, P, and / or F. [ The top surface of the dielectric material portion 65 with the inverted step can be a coplanar with the top surface of the first contact level dielectric layer 71.

주변 디바이스들(210) 위의 영역 및 단차가 있는 캐비티들 위의 영역은 동일한 유전체 재료로 동시에 채워질 수 있거나, 상이한 처리 단계들에서 동일한 유전체 재료로 또는 상이한 유전체 재료들로 채워질 수 있다. 콘택트 영역(300)의 단차가 있는 표면 위의 캐비티를 유전체 재료로 채우는 것 이전에, 그와 동시에, 또는 그 이후에, 주변 디바이스들(210) 위의 캐비티가 유전체 재료로 채워질 수 있다. 본 개시내용이 주변 디바이스 영역(200)에 있는 캐비티와 콘택트 영역(300)에 있는 단차가 있는 캐비티가 동시에 채워지는 실시예를 이용하여 기술되지만, 주변 디바이스 영역(200)에 있는 캐비티와 콘택트 영역(300)에 있는 단차가 있는 캐비티가 상이한 처리 단계들에서 채워지는 실시예들이 본원에서 명확히 생각되고 있다.The regions over the peripheral devices 210 and the regions above the stepped cavities may be simultaneously filled with the same dielectric material or may be filled with the same dielectric material or different dielectric materials in different processing steps. The cavities on the peripheral devices 210 may be filled with a dielectric material before, simultaneously with, or after, filling the cavities on the stepped surfaces of the contact area 300 with dielectric material. Although the present disclosure is described using an embodiment wherein the cavity in the peripheral device region 200 and the stepped cavity in the contact region 300 are filled simultaneously, the cavity in the peripheral device region 200 and the contact region 300 are filled in different processing steps are clearly contemplated herein.

도 11을 참조하면, 스루-스택 유전체 지지 필라들(7P)이 역단차가 있는 유전체 재료 부분(65)을 관통하여 그리고/또는 제1 콘택트 레벨 유전체 층(71)을 관통하여 그리고/또는 교번 스택(32, 42)을 관통하여 임의로 형성될 수 있다. 일 실시예에서, 스루-스택 유전체 지지 필라들(7P)이 메모리 어레이 영역(100)에 인접하여 위치되는 콘택트 영역(300)에 형성될 수 있다. 스루-스택 유전체 지지 필라들(7P)이, 예를 들어, 역단차가 있는 유전체 재료 부분(65)을 관통하여 그리고/또는 교번 스택(32, 42)을 관통하여 그리고 적어도 기판 반도체 층(10)의 상단 표면까지 연장되는 개구부를 형성하는 것에 의해, 그리고 희생 재료 층들(42)을 제거하기 위해 이용될 에칭 화학제(etch chemistry)에 내성이 있는 유전체 재료로 개구부를 채우는 것에 의해 형성될 수 있다.11, through-stack dielectric support pillars 7P extend through the inverted-step dielectric material portion 65 and / or through the first contact level dielectric layer 71 and / (32, 42). In one embodiment, the through-stack dielectric support pillars 7P may be formed in the contact region 300 located adjacent to the memory array region 100. Through-stack dielectric support pillars 7P may be formed, for example, through a dielectric material portion 65 with an inverted step and / or through alternating stacks 32 and 42 and through at least the substrate semiconductor layer 10, And by filling the openings with a dielectric material that is resistant to the etch chemistry to be used to remove the sacrificial material layers 42. [

일 실시예에서, 스루-스택 유전체 지지 필라들(7P)은 실리콘 산화물 및/또는, 알루미늄 산화물과 같은, 유전체 금속 산화물을 포함할 수 있다. 일 실시예에서, 스루-스택 유전체 지지 필라들(7P)의 퇴적과 동시에 제1 콘택트 레벨 유전체 층(71) 위에 퇴적되는 유전체 재료의 부분은 제1 콘택트 레벨 유전체 층(71) 위에 제2 절연 캡 층(73)으로서 존재할 수 있다. 스루-스택 유전체 지지 필라들(7P) 및 제2 절연 캡 층(73) 각각은 임의적인 구조물이다. 이에 따라, 제2 절연 캡 층(73)이 절연 캡 층(70) 및 역단차가 있는 유전체 재료 부분(65) 위에 존재할 수 있거나 그렇지 않을 수 있다. 제1 콘택트 레벨 유전체 층(71) 및 제2 절연 캡 층(73)은 본원에서 적어도 하나의 콘택트 레벨 유전체 층(71, 73)이라고 일괄하여 지칭된다. 일 실시예에서, 적어도 하나의 콘택트 레벨 유전체 층(71, 73)은 제1 및 제2 콘택트 레벨 유전체 층들(71, 73) 둘 다를 포함할 수 있고, 차후에 형성될 수 있는 임의의 부가의 비아 레벨 유전체 층을 임의로 포함할 수 있다. 다른 실시예에서, 적어도 하나의 콘택트 레벨 유전체 층(71, 73)은 제1 콘택트 레벨 유전체 층(71) 또는 제2 절연 캡 층(73)만을 포함할 수 있고, 차후에 형성될 수 있는 임의의 부가의 비아 레벨 유전체 층을 임의로 포함할 수 있다. 대안적으로, 제1 및 제2 콘택트 레벨 유전체 층들(71, 73)의 형성이 생략될 수 있고, 적어도 하나의 비아 레벨 유전체 층이 차후에, 즉 제1 소스 콘택트 비아 구조물의 형성 이후에 형성될 수 있다.In one embodiment, the through-stack dielectric support pillars 7P may comprise a dielectric metal oxide, such as silicon oxide and / or aluminum oxide. In one embodiment, a portion of the dielectric material deposited on the first contact level dielectric layer 71 at the same time as the deposition of the through-stack dielectric support pill 7P overlies the first contact level dielectric layer 71, Layer 73 as shown in FIG. Each of the through-stack dielectric support pillars 7P and the second insulating cap layer 73 is an arbitrary structure. Accordingly, a second insulating cap layer 73 may or may not be present on the insulating cap layer 70 and the dielectric material portion 65 with the inverted step. The first contact level dielectric layer 71 and the second insulating cap layer 73 are collectively referred to herein as at least one contact level dielectric layer 71,73. In one embodiment, at least one contact level dielectric layer 71,73 may comprise both the first and second contact level dielectric layers 71,73 and may be formed at any additional via level And optionally a dielectric layer. In another embodiment, at least one contact level dielectric layer 71,73 may comprise only a first contact level dielectric layer 71 or a second insulating cap layer 73, and any subsequent portions Lt; RTI ID = 0.0 > dielectric < / RTI > Alternatively, the formation of the first and second contact level dielectric layers 71,73 may be omitted, and at least one via-level dielectric layer may be formed later, i. E. After the formation of the first source contact via structure have.

제2 절연 캡 층(73) 및 스루-스택 유전체 지지 필라들(7P)은 일체형 구조의 단일의 연속적인 구조물로서, 즉 이들 사이에 어떠한 재료 계면도 없이 형성될 수 있다. 다른 실시예에서, 스루-스택 유전체 지지 필라들(7P)의 퇴적과 동시에 제1 콘택트 레벨 유전체 층(71) 위에 퇴적되는 유전체 재료의 부분은, 예를 들어, 화학 기계적 평탄화 또는 리세스 에칭에 의해 제거될 수 있다. 이 경우에, 제2 절연 캡 층(73)이 존재하지 않으며, 제1 콘택트 레벨 유전체 층(71)의 상단 표면이 물리적으로 노출될 수 있다.The second insulating cap layer 73 and the through-stack dielectric support pillars 7P can be formed as a single continuous structure of an integrated structure, i.e. without any material interface therebetween. In another embodiment, the portion of the dielectric material deposited on top of the first contact level dielectric layer 71 at the same time as the deposition of the through-stack dielectric support pill 7P is deposited by, for example, chemical-mechanical planarization or recess etching Can be removed. In this case, there is no second insulating cap layer 73 and the top surface of the first contact level dielectric layer 71 can be physically exposed.

도 12a 및 도 12b를 참조하면, 포토레지스트 층(도시되지 않음)이 적어도 하나의 콘택트 레벨 유전체 층(71, 73) 위에 도포될 수 있고, 메모리 블록들 사이의 구역들 내에 개구부들을 형성하도록 리소그래피 방식으로 패터닝될 수 있다. 일 실시예에서, 포토레지스트 층에서의 각각의 개구부는 개구부의 한 쌍의 측벽들이 제1 수평 방향을 따라 측방으로 연장되도록 직사각형 형상을 가질 수 있다.Referring to Figures 12A and 12B, a photoresist layer (not shown) may be applied over at least one contact level dielectric layer 71,73 and a lithographic method may be used to form openings in the spaces between the memory blocks As shown in FIG. In one embodiment, each opening in the photoresist layer may have a rectangular shape such that the pair of sidewalls of the opening extend laterally along the first horizontal direction.

포토레지스트 층에서의 개구부들의 패턴을 적어도 하나의 콘택트 레벨 유전체 층(71, 73), 역단차가 있는 유전체 재료 부분(65), 교번 스택(32, 42), 및 임의적인 상부 소스 절연체 층(16)(존재하는 경우)을 관통하여 전사시키는 것에 의해 메모리 스택 구조물들(55)의 각각의 이웃하는 클러스터들의 쌍 사이에 배면 트렌치들(79)이 형성될 수 있다. 희생 매트릭스 층(14)이 각각의 배면 트렌치(79)의 하단에서 물리적으로 노출될 수 있다. 메모리 스택 구조물들(55)의 클러스터들이 배면 트렌치들(79)에 의해 측방으로 이격될 수 있다. 메모리 스택 구조물들(55)의 각각의 클러스터는 클러스터를 둘러싸는 교번 스택(32, 42)의 부분들과 함께 메모리 블록을 구성한다. 메모리 블록은 한 쌍의 배면 트렌치들(79)에 의해 측방으로 경계지어질 수 있다. 도 12a는 도 12b에서의 지그재그 수직 평면(X-X')을 따른 수직 단면도이다. 도 12b는 아래에 있는 요소들이 점선들로 도시되어 있는 투시 평면도이다.The pattern of openings in the photoresist layer is formed by at least one contact level dielectric layer 71,73, a dielectric material portion 65 with inverted step, alternating stacks 32,42, and an optional top source insulator layer 16 The backside trenches 79 may be formed between each pair of neighboring clusters of memory stack structures 55 by transferring (if any) The sacrificial matrix layer 14 may be physically exposed at the bottom of each backside trench 79. The clusters of memory stack structures 55 may be laterally spaced by the backside trenches 79. Each cluster of memory stack structures 55 constitutes a memory block with portions of the alternate stack 32, 42 surrounding the cluster. The memory block may be laterally bounded by a pair of backside trenches 79. 12A is a vertical sectional view along the zigzag vertical plane (X-X ') in Fig. 12B. 12B is a perspective plan view in which the underlying elements are shown in dashed lines.

도 13a는 도 12a에 도시된 희생 매트릭스 층(14)을 포함하는 수평 평면을 따른 도 12b의 제1 예시적인 구조물의 점선 직사각형 영역("M")의 수평 단면도를 예시하고 있다. 도 13a에서의 도면은 유전체 필라들(20)의 어레이 및 메모리 스택 구조물들(55)의 어레이에 대한 제1(즉, 지그재그) 구성에 대응한다. 도 13b 및 도 13c는 제2 및 제3 구성들에 대한 대응하는 수평 단면도들을 도시하고 있다. 도 12b 및 도 13a에서의 필라들(20)의 지그재그 행들의 방향은 트렌치(79) 연장 방향 및 워드 라인 방향에 수직인 비트 라인 방향으로 연장된다. 그렇지만, 다른 실시예에서, 도 12b 및 도 13a에서의 필라들(20)의 지그재그 행들의 방향은 비트 라인 방향에 수직으로 그리고 트렌치(79) 연장 방향 및 워드 라인 방향에 평행하게 연장하도록 90도만큼 회전될 수 있다.13A illustrates a horizontal cross-sectional view of a dashed rectangular area ("M") of the first exemplary structure of FIG. 12B along a horizontal plane comprising the sacrificial matrix layer 14 shown in FIG. 12A. 13A corresponds to a first (i. E., Zigzag) configuration for the array of dielectric pillars 20 and the array of memory stack structures 55. Figures 13b and 13c show corresponding horizontal cross sections for the second and third configurations. The direction of the zigzag rows of the pillars 20 in Figures 12B and 13A extends in the bit line direction perpendicular to the trench 79 extension direction and the word line direction. However, in other embodiments, the direction of the zigzag rows of the pillars 20 in Figures 12B and 13A may be as much as 90 degrees to extend perpendicularly to the bit line direction and parallel to the trench 79 extension direction and the word line direction. Can be rotated.

유전체 필라들(20)의 어레이 및 메모리 스택 구조물들(55)의 어레이는 집단적으로 다수의 메모리 스택 구조물들(55) 및 적어도 하나의 유전체 필라(20)를 포함하는 단위 셀 구조(U1)의 다수의 인스턴스들의 2차원 주기적 어레이를 구성한다. 메모리 스택 구조물들(55)의 어레이는 메모리 스택 구조물들(55)의 육각형 어레이를 포함할 수 있다. 단위 셀 구조(U1) 내의 적어도 하나의 유전체 필라(20)의 총수에 대한 단위 셀 구조(U1) 내의 다수의 메모리 스택 구조물들(55)의 총수의 비는 2 내지 4의 범위에 있을 수 있다.The array of dielectric pillars 20 and the array of memory stack structures 55 collectively includes a plurality of memory cell stack structures 55 and a plurality of unit cell structures U1 comprising at least one dielectric pillar 20. The array of dielectric pillars 20, Dimensional periodic array of instances of < RTI ID = 0.0 > The array of memory stack structures 55 may include a hexagonal array of memory stack structures 55. The ratio of the total number of the plurality of memory stack structures 55 in the unit cell structure U1 to the total number of at least one dielectric pillar 20 in the unit cell structure U1 may be in the range of 2 to 4.

도 14를 참조하면, 절연 층들(32) 및 희생 매트릭스 층(14)에 대해 선택적인 희생 재료 층들(42)을 제거하는 것에 의해 배면 리세스들(43)이 형성될 수 있다. 구체적으로는, 희생 재료 층들(42)의 제2 재료를 절연 층들(32) 및 희생 매트릭스 층(14)의 제1 재료에 대해 선택적으로 에칭하는 에칭제가, 예를 들어, 에칭 공정을 이용하여 배면 트렌치들(79) 내로 유입될 수 있다. 희생 재료 층들(42)이 제거되는 체적들에 배면 리세스들(43)이 형성된다. 희생 재료 층들(42)의 제2 재료의 제거가 절연 층들(32)의 제1 재료, 스루-스택 유전체 지지 필라들(7P)의 재료, 역단차가 있는 유전체 재료 부분(65)의 재료, 기판 반도체 층(10)의 반도체 재료, 희생 매트릭스 층(14)의 재료, 및 메모리 스택 구조물들(55)의 (외측 차단 유전체들(502)과 같은) 가장 바깥쪽 층의 재료에 대해 선택적일 수 있다. 일 실시예에서, 희생 재료 층들(42)은 실리콘 질화물을 포함할 수 있고, 희생 매트릭스 층(14)은 폴리실리콘 또는 비정질 실리콘을 포함할 수 있으며, 절연 층들(32), 스루-스택 유전체 지지 필라들(7P), 및 역단차가 있는 유전체 재료 부분(65)의 재료들은 실리콘 산화물 및 유전체 금속 산화물들로부터 선택될 수 있다.14, the backside recesses 43 can be formed by removing the sacrificial material layers 42, which are selective for the insulating layers 32 and the sacrificial matrix layer 14. Specifically, an etchant is used to selectively etch the second material of the sacrificial material layers 42 with respect to the first material of the insulating layers 32 and the sacrificial matrix layer 14, for example, Trenches < RTI ID = 0.0 > 79 < / RTI > Back recesses 43 are formed in the volumes from which the sacrificial material layers 42 are removed. Removal of the second material of the sacrificial material layers 42 may be accomplished by depositing a first material of the insulating layers 32, a material of the through-stack dielectric support pill 7P, a material of the dielectric material portion 65 having a step- May be selective for the semiconductor material of the semiconductor layer 10, the material of the sacrificial matrix layer 14, and the material of the outermost layer of the memory stack structures 55 (such as the outer blocking dielectrics 502) . In one embodiment, sacrificial material layers 42 may comprise silicon nitride, and sacrificial matrix layer 14 may comprise polysilicon or amorphous silicon and may include insulating layers 32, And the reverse stepped dielectric material portion 65 may be selected from silicon oxide and dielectric metal oxides.

각각의 배면 리세스(43)는 캐비티의 수직 범위보다 더 큰 측방 치수를 갖는 측방 연장 캐비티일 수 있다. 환언하면, 각각의 배면 리세스(43)의 측방 치수가 배면 리세스(43)의 높이보다 더 클 수 있다. 희생 재료 층들(42)의 제2 재료, 실리콘 산화물 층(501)의 재료, 및 실리콘 질화물 층(502)의 재료가 제거되는 체적들에 복수의 배면 리세스들(43)이 형성될 수 있다. 메모리 스택 구조물들(50, 60)이 형성되는 메모리 개구부들은 본원에서 배면 리세스들(43)과 대조적으로 전면 리세스들 또는 전면 캐비티들이라고 지칭된다. 일 실시예에서, 메모리 어레이 영역(100)은 기판 위쪽에(예컨대, 기판 반도체 층(10) 위쪽에) 배치된 복수의 디바이스 레벨들을 갖는 모놀리식 3차원 NAND 스트링들의 어레이를 포함한다. 이 경우에, 각각의 배면 리세스(43)는 모놀리식 3차원 NAND 스트링들의 어레이의 각자의 워드 라인을 수용하기 위한 공간을 정의할 수 있다.Each backside recess 43 may be a side extension cavity having a side dimension that is greater than the vertical extent of the cavity. In other words, the lateral dimension of each rear recess 43 may be greater than the height of the rear recess 43. [ A plurality of backside recesses 43 may be formed in the volumes of the second material of the sacrificial material layers 42, the material of the silicon oxide layer 501, and the material of the silicon nitride layer 502 removed. The memory openings in which the memory stack structures 50 and 60 are formed are referred to herein as front recesses or front cavities in contrast to the backside recesses 43. In one embodiment, memory array region 100 includes an array of monolithic three-dimensional NAND strings having a plurality of device levels disposed above (e.g., above substrate semiconductor layer 10) the substrate. In this case, each backside recess 43 may define a space for accommodating a respective word line of the array of monolithic three-dimensional NAND strings.

복수의 배면 리세스들(43) 각각은 기판 반도체 층(10)의 상단 표면에 실질적으로 평행하게 연장될 수 있다. 배면 리세스(43)는 아래에 있는 절연 층(32)의 상단 표면 및 위에 있는 절연 층(32)의 하단 표면에 의해 수직으로 경계지어질 수 있다. 일 실시예에서, 각각의 배면 리세스(43)는 전체에 걸쳐 균일한 높이를 가질 수 있다. 일 실시예에서, 알루미늄 산화물 유전체와 같은, 임의적인 배면 차단 유전체가 배면 리세스들(43) 내의 메모리 막(50)의 노출된 부분들과 접촉하게 배면 리세스들(43) 내로 퇴적될 수 있다. 이 실시예에서, 전면 차단 유전체 층들(502 및/또는 503) 중 하나 또는 둘 다가 생략될 수 있다.Each of the plurality of back surface recesses 43 may extend substantially parallel to the upper surface of the substrate semiconductor layer 10. [ The backside recess 43 may be vertically bounded by the top surface of the underlying insulating layer 32 and the bottom surface of the underlying insulating layer 32. In one embodiment, each backside recess 43 may have a uniform height throughout. In one embodiment, an optional back-off dielectric, such as an aluminum oxide dielectric, may be deposited into the backside recesses 43 to contact the exposed portions of the memory film 50 in the backside recesses 43 . In this embodiment, one or both of the front barrier dielectric layers 502 and / or 503 may be omitted.

도 15를 참조하면, 전기 전도성 층들(46)을 형성하기 위해 적어도 하나의 금속 재료가 배면 리세스들에 퇴적될 수 있다. 임의로, 적어도 하나의 금속 재료의 퇴적 이전에 메모리 스택 구조물들(55) 및 절연 층들(32)의 물리적으로 노출된 표면들 상에 배면 차단 유전체 층(도시되지 않음)이 형성될 수 있다. 일 실시예에서, 적어도 하나의 금속 재료는 (TiN, TaN, 또는 WN과 같은 전도성 금속 질화물을 포함하는 전도성 금속 질화물 층, 또는 TiC, TaC, 또는 WC와 같은 전도성 금속 탄화물을 포함하는 전도성 금속 탄화물 층과 같은) 전도성 금속 화합물 층을 포함할 수 있다. 전도성 금속 화합물 층은 장벽 재료 층, 즉 불순물 원자들 또는 가스들에 대한 확산 장벽으로서 기능하는 재료 층으로서, 그리고/또는 접착 증진제 층(adhesion promoter layer), 즉 절연 층들(32)에 대한(배면 차단 유전체 층이 이용되지 않는 경우에) 또는 배면 차단 유전체 층에 대한(배면 차단 유전체 층이 이용되는 경우에) 후속 층들의 접착을 증진시키는 재료 층으로서 기능하는 금속 재료를 포함할 수 있다. 전도성 금속 화합물 층이 화학적 기상 퇴적(CVD) 또는 원자 층 퇴적(ALD)과 같은 컨포멀 퇴적 공정에 의해 퇴적될 수 있다. 전도성 금속 화합물 층의 두께는 1 nm 내지 6 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.Referring to FIG. 15, at least one metallic material may be deposited on the backside recesses to form the electrically conductive layers 46. Optionally, a back-off dielectric layer (not shown) may be formed on the physically exposed surfaces of the memory stack structures 55 and insulating layers 32 prior to deposition of the at least one metallic material. In one embodiment, the at least one metallic material is a conductive metal nitride layer comprising a conductive metal nitride such as TiN, TaN, or WN, or a conductive metal carbide layer comprising a conductive metal carbide such as TiC, TaC, or WC. ) Conductive metal compound layer. The conductive metal compound layer can be used as a barrier material layer, i.e. as a material layer that serves as a diffusion barrier for impurity atoms or gases, and / or as an adhesion promoter layer, i.e. for the insulating layers 32 (If no dielectric layer is used) or a metal material that functions as a material layer for enhancing adhesion of subsequent layers (if a back-off dielectric layer is used) for the back-facing dielectric layer. The conductive metal compound layer may be deposited by a conformal deposition process such as chemical vapor deposition (CVD) or atomic layer deposition (ALD). The thickness of the conductive metal compound layer may range from 1 nm to 6 nm, but smaller thicknesses and larger thicknesses may also be used.

일 실시예에서, 적어도 하나의 금속 재료는 금속 층을 추가로 포함할 수 있다. 금속 층은, 배면 리세스들(43)의 남아 있는 부분들에, 배면 트렌치(79)의 측벽들 위에, 그리고 적어도 하나의 콘택트 레벨 유전체 층(71, 73)의 상단 표면 위에 퇴적될 수 있는, 원소 금속 또는 금속간 합금을 포함할 수 있다. 금속 층은 전도성 금속 화합물 층의 표면들 바로 위에 연속적인 금속 층으로서 퇴적될 수 있다. 금속 층이 화학적 기상 퇴적(CVD) 또는 원자 층 퇴적(ALD)과 같은 컨포멀 퇴적 방법에 의해 퇴적될 수 있다. 배면 리세스들(43)의 남아 있는 부분들은 금속 층으로 채워질 수 있다. 배면 트렌치(79)의 측벽 상에서 측정되는 바와 같은, 퇴적된 금속의 두께는, 각각의 배면 리세스(43)의 체적 전체가 임의적인 배면 차단 유전체 층, 금속 화합물 층, 및 금속 층의 조합으로 채워지도록, 배면 리세스들(43)의 남아 있는 부분들의 최대 높이의 1/2보다 더 클 수 있다.In one embodiment, the at least one metallic material may further comprise a metal layer. The metal layer may be deposited on the remaining portions of the backside recesses 43, on the sidewalls of the backside trenches 79, and on the top surface of the at least one contact level dielectric layer 71, An elemental metal or an intermetallic alloy. The metal layer can be deposited as a continuous metal layer directly over the surfaces of the conductive metal compound layer. The metal layer may be deposited by a conformal deposition method such as chemical vapor deposition (CVD) or atomic layer deposition (ALD). The remaining portions of the backside recesses 43 may be filled with a metal layer. The thickness of the deposited metal, as measured on the sidewalls of the back trenches 79, is such that the volume of each of the backside recesses 43 is filled with a random combination of backside blocking dielectric layer, metal compound layer, and metal layer May be greater than one half of the maximum height of the remaining portions of the backside recesses 43, as shown in FIG.

금속 층은 W, Co, Al, Cu, Ru, Au, Pt, 또는 이들의 조합과 같은 금속을 포함할 수 있다. 금속 층은 금속 함유 전구체 가스를 이용하는 화학적 기상 퇴적(CVD) 공정 또는 원자 층 퇴적(ALD) 공정에 의해 퇴적될 수 있다. 일 실시예에서, 금속 함유 전구체 가스는 플루오르가 없을 수 있다 - 즉 플루오르를 함유하지 않음 -. 금속의 화학적 기상 퇴적 또는 원자 층 퇴적은 표면 손상을 야기하지 않으면서 표면 상에 고순도 금속을 남기도록 쉽게 증발될 수 있는 금속 전구체를 이용한다. 일 실시예에서, 비교적 높은 증기압들 및 양호한 열적 안정성을 갖는 유기 금속 화합물이 수소를 요구하지 않으면서 금속을 퇴적시키기 위해 금속 전구체 가스로서 이용될 수 있다.The metal layer may comprise a metal such as W, Co, Al, Cu, Ru, Au, Pt, or combinations thereof. The metal layer may be deposited by a chemical vapor deposition (CVD) process using a metal containing precursor gas or an atomic layer deposition (ALD) process. In one embodiment, the metal-containing precursor gas may be fluorine-free, ie, fluorine-free. Chemical vapor deposition or atomic layer deposition of a metal utilizes a metal precursor that can be easily evaporated to leave a high purity metal on the surface without causing surface damage. In one embodiment, an organometallic compound having relatively high vapor pressures and good thermal stability can be used as the metal precursor gas to deposit the metal without requiring hydrogen.

퇴적된 금속 재료(들)의 수직 연장 부분들은 배면 트렌치(79)의 측벽 전체를 커버할 수 있다. 퇴적될 때, 퇴적된 금속 재료(들)의 수직 연장 부분은 각각의 수직으로 이웃하는 배면 리세스들(43)의 쌍 내에 위치된 금속 부분들에 연속적으로 인접될 수 있고, 적어도 하나의 콘택트 레벨 유전체 층(71, 73) 위에 있는 금속 층의 수평 부분에 인접될 수 있다.The vertically extending portions of the deposited metal material (s) can cover the entire sidewall of the backside trench 79. When deposited, the vertically extending portion of the deposited metal material (s) can be continuously adjacent to the metal portions located in each pair of vertically adjacent back recesses 43, and at least one contact level May be adjacent to the horizontal portion of the metal layer above the dielectric layers (71, 73).

적어도 하나의 콘택트 레벨 유전체 층(71, 73) 위쪽으로부터 그리고 배면 트렌치들(79)의 측벽들로부터 적어도 하나의 금속 재료를 제거하기 위해 에칭 공정이 수행될 수 있다. 에칭 공정은 등방성 에칭 단계, 비등방성 에칭 단계, 또는 이들의 조합을 포함할 수 있다. 예시적인 예에서, CHF3, CClF3, CF4, SF6, SiF4, Cl2, NF3과 같은 적어도 하나의 할라이드 함유 가스를 이용하는 반응성 이온 에칭이 에칭 공정에 이용될 수 있다. 임의로, O2 또는 O3와 같은 산화제들이 적어도 하나의 할라이드 함유 가스와 결합하여 이용될 수 있다. 전기 전도성 층들(46)이 서로 전기적으로 격리되는 개별 층들로서 각각의 배면 트렌치(79) 주위에 남아 있다.An etching process may be performed to remove at least one metal material from above the at least one contact level dielectric layer 71,73 and from the sidewalls of the backside trenches 79. [ The etching process may include an isotropic etching step, an anisotropic etching step, or a combination thereof. In an illustrative example, reactive ion etching using at least one halide containing gas such as CHF 3 , CClF 3 , CF 4 , SF 6 , SiF 4 , Cl 2 , NF 3 can be used in the etching process. Optionally, oxidants such as O 2 or O 3 may be used in combination with at least one halide-containing gas. Electrically conductive layers 46 remain around each backside trench 79 as discrete layers that are electrically isolated from each other.

도 16을 참조하면, 연속적인 유전체 재료 층의 퇴적 및 연속적인 유전체 재료 층의 수평 부분들을 제거하는 비등방성 에칭에 의해 각각의 배면 트렌치(79)의 측벽들 상에 절연 스페이서(74)가 형성될 수 있다. 각각의 절연 스페이서(74)는, 예를 들어, 실리콘 산화물, 실리콘 질화물, 유전체 금속 산화물, 유전체 금속 산질화물, 또는 이들의 조합을 포함할 수 있는, 유전체 재료를 포함한다. 절연 스페이서(74)의 하단 부분에서 측정되는 바와 같은, 각각의 절연 스페이서(74)의 두께는 1 nm 내지 50 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 일 실시예에서, 절연 스페이서(74)의 두께는 3 nm 내지 10 nm의 범위에 있을 수 있다.16, insulating spacers 74 are formed on the sidewalls of each backside trench 79 by deposition of a continuous layer of dielectric material and anisotropic etching to remove horizontal portions of the continuous dielectric material layer . Each insulating spacer 74 includes a dielectric material, which may include, for example, silicon oxide, silicon nitride, a dielectric metal oxide, a dielectric metal oxynitride, or a combination thereof. Though the thickness of each insulating spacer 74, as measured at the lower end portion of the insulating spacer 74, may be in the range of 1 nm to 50 nm, smaller thicknesses and larger thicknesses may also be used . In one embodiment, the thickness of the insulating spacer 74 may be in the range of 3 nm to 10 nm.

각각의 절연 스페이서(74)는 절연 층들(32) 및 전기 전도성 층들(46)의 측벽들, 및 상부 소스 절연 층(16)의 측벽들과 접촉하는 외측 측벽을 갖는다. 게다가, 각각의 절연 스페이서(74)는 희생 매트릭스 층(14)의 표면과 접촉할 수 있다. 따라서, 각각의 절연 스페이서(74)는 각자의 배면 트렌치(79)의 주변부에 그리고 희생 매트릭스 층(14)의 일부분 위에 그리고 상부 소스 절연체 층(16)의 측벽들 상에 형성될 수 있다.Each insulating spacer 74 has insulating sidewalls of the insulating layers 32 and the electrically conductive layers 46 and an outer sidewall contacting the sidewalls of the upper source insulating layer 16. In addition, each insulating spacer 74 can contact the surface of the sacrificial matrix layer 14. Each insulating spacer 74 may thus be formed on the periphery of the respective back trenches 79 and on a portion of the sacrificial matrix layer 14 and on the sidewalls of the upper source insulator layer 16.

도 17을 참조하면, 소스 라인 캐비티(15)를 형성하기 위해, 희생 매트릭스 층(14)이 유전체 필라들(20)의 어레이, 하부 소스 절연 층(12), 상부 소스 절연 층(16), 스루-스택 유전체 필라 구조물들(7P), 및 절연 스페이서들(74)에 대해 선택적으로 제거될 수 있다. 희생 매트릭스 층(14)이 바람직하게는 전기 전도성 층들(46)의 형성 이후에 제거된다. 일 실시예에서, 유전체 필라들(20)의 어레이, 하부 소스 절연 층(12), 상부 소스 절연 층(16), 스루-스택 유전체 필라 구조물들(7P), 및 절연 스페이서들(74)은 다양한 유형들의 실리콘 산화물을 포함할 수 있고, 희생 매트릭스 층(14)은 (비정질 실리콘, 폴리실리콘 또는 실리콘-게르마늄 합금과 같은) 반도체 재료, 비정질 탄소, 또는 유기 또는 무기 폴리머를 포함할 수 있다. 예를 들어, 희생 매트릭스 층(14)이 폴리실리콘을 포함하는 경우, 희생 재료 층(14)을 유전체 필라들(20)의 어레이, 하부 소스 절연 층(12), 상부 소스 절연 층(16), 스루-스택 유전체 필라 구조물들(7P), 및 절연 스페이서들(74)에 대해 선택적으로 제거하기 위해, 수산화칼륨(KOH)을 이용하는 습식 에칭이 이용될 수 있다. 메모리 스택 구조물들(55)의 측벽들이 소스 라인 캐비티(15)에 물리적으로 노출될 수 있다. 게다가, 유전체 필라들(20)의 측벽들이 소스 라인 캐비티(15)에 물리적으로 노출될 수 있다.Referring to Figure 17, a sacrificial matrix layer 14 is formed over an array of dielectric pillars 20, a lower source insulating layer 12, an upper source insulating layer 16, -Stack dielectric pillar structures 7P, and insulating spacers 74, as shown in FIG. The sacrificial matrix layer 14 is preferably removed after formation of the electrically conductive layers 46. In one embodiment, the array of dielectric pillars 20, the bottom source insulating layer 12, the top source insulating layer 16, the through-stack dielectric pillar structures 7P, The sacrificial matrix layer 14 may comprise a semiconductor material (such as amorphous silicon, polysilicon, or a silicon-germanium alloy), amorphous carbon, or an organic or inorganic polymer. For example, if the sacrificial matrix layer 14 comprises polysilicon, the sacrificial material layer 14 may be deposited over the array of dielectric pillars 20, the bottom source insulating layer 12, the top source insulating layer 16, Wet etching using potassium hydroxide (KOH) can be used to selectively remove the through-stack dielectric pillar structures 7P, and the insulating spacers 74. [ The sidewalls of the memory stack structures 55 may be physically exposed to the source line cavity 15. In addition, the sidewalls of the dielectric pillars 20 can be physically exposed to the source line cavity 15.

각각의 메모리 막(50)의 물리적으로 노출된 부분은 희생 매트릭스 층(14)의 제거 이후에 제거될 수 있다. 메모리 막(50)의 물리적으로 노출된 부분들은, 예를 들어, 습식 에칭과 같은 등방성 에칭에 의해 제거될 수 있다. 따라서, 반도체 채널들(60)의 측벽들이 소스 라인 캐비티(15)의 레벨에서 물리적으로 노출되게 된다. 각자의 반도체 채널(60)의 물리적으로 노출된 측벽 아래에 있는 각각의 메모리 막(50)의 남아 있는 부분은, 반도체 채널(60) 아래에 있으며 소스 라인 캐비티(15) 위쪽에 위치된 메모리 막(50)의 남아 있는 부분과 동일한 세트의 유전체 재료들을 포함하는, 유전체 캡 플레이트(58)를 구성한다. 임의로, 환상 소스 영역(61)은 플라즈마 도핑 또는 기상 도핑(gas phase doping)에 의해 각각의 반도체 채널(60)의 하부 부분에 전기 도펀트들을 유입시키는 것에 의해 형성될 수 있다.The physically exposed portions of each memory film 50 may be removed after the sacrificial matrix layer 14 is removed. The physically exposed portions of the memory film 50 may be removed by isotropic etching, such as, for example, wet etching. Thus, the sidewalls of the semiconductor channels 60 are physically exposed at the level of the source line cavity 15. The remaining portions of each memory film 50 below the physically exposed sidewalls of the respective semiconductor channel 60 are connected to a memory film (not shown) located below the semiconductor channel 60 and above the source line cavity 15 50, and the same set of dielectric materials. Optionally, the annular source region 61 may be formed by introducing electrical dopants into the lower portion of each semiconductor channel 60 by plasma doping or gas phase doping.

일반적으로, 각각의 반도체 채널(60)의 환상 노출 부분 상에 폴리실리콘 재료 부분을 퇴적시키는 것 및/또는 소스 라인 캐비티(15)의 레벨에 위치된 각각의 반도체 채널(60)의 환상 노출 부분을 도핑시키는 것에 의해 다결정 실리콘 구조물(소스 영역(61)으로서 구현됨)이 각각의 반도체 채널(60)의 하부 단부에 제공될 수 있다. 폴리실리콘 소스 영역(61)으로서 기능할 수 있는, 다결정 실리콘 구조물에 대해 3가지 유형들의 폴리실리콘(p+형 폴리실리콘, 도핑되지 않은 폴리실리콘, 및 n+형 폴리실리콘을 포함함)이 선택될 수 있다. 표 1은 소스 영역(61)에 대해 이용되는 각각의 유형의 폴리실리콘에 대한 소거 및 판독 메커니즘들의 요약을 제공한다.Generally, depositing a polysilicon material portion on the annularly exposed portion of each semiconductor channel 60 and / or depositing an annularly exposed portion of each of the semiconductor channels 60 located at the level of the source line cavity 15 A polycrystalline silicon structure (implemented as source region 61) may be provided at the lower end of each semiconductor channel 60 by doping. Three types of polysilicon (including p + type polysilicon, undoped polysilicon, and n + type polysilicon) can be selected for a polysilicon structure that can function as polysilicon source region 61 . Table 1 provides a summary of the erase and read mechanisms for each type of polysilicon used for the source region 61.

Figure pct00001
Figure pct00001

p+ 폴리실리콘 소스 영역은 결합 소거를 이용할 수 있는 반면, 다른 유형들의 소스 영역들은 GIDL 소거를 이용할 수 있다. 본 개시내용의 실시예들의 이점들은 (유전체 필라들(20)의 형성으로 인해) 가장자리 디바이스 구역 증가를 이용하여 스택 붕괴(stack collapse)를 방지하는 것을 포함하지만, 이들로 제한되지 않는다. 희생 매트릭스 층(14)의 제거는 반응성 이온 에칭의 사용을 요구하지 않지만, 희생 매트릭스 층(14)을 제거하기 위해 등방성 에칭 공정이 이용될 수 있다.The p + polysilicon source region may use combine erase, while other types of source regions may use GIDL erase. Advantages of embodiments of the present disclosure include, but are not limited to, preventing stack collapse using edge device area enhancement (due to the formation of dielectric pillars 20). The removal of the sacrificial matrix layer 14 does not require the use of reactive ion etching, but an isotropic etch process can be used to remove the sacrificial matrix layer 14.

도 18을 참조하면, 소스 라인 캐비티(15)를 형성하기 위해 화학적 기상 퇴적(CVD), 원자 층 퇴적(ALD), 전기 도금, 및/또는 무전해 도금과 같은 적어도 하나의 컨포멀 퇴적 방법에 의해 적어도 하나의 전도성 재료가 퇴적된다. 일 실시예에서, 적어도 하나의 전도성 재료는 전도성 금속 질화물 또는 전도성 금속 탄화물과 같은 금속 라이너 재료, 및 W, Cu, Al, Co, Ru, 및 이들의 합금들과 같은 금속 충전 재료를 포함할 수 있다. 예를 들어, 동일한 세트의 퇴적 공정들 동안 소스 라인 트렌치(15) 및 배면 트렌치(79)를 채우기 위해 금속 라이너 재료를 포함하는 금속 라이너 재료 층(76A) 및 금속 충전 재료를 포함하는 금속 충전 재료 층(76B)이 퇴적될 수 있다. 적어도 하나의 콘택트 레벨 유전체 층(71, 73)의 상단 표면을 포함하는 수평 평면 위쪽의 적어도 하나의 전도성 재료의 부분들이 평탄화 공정에 의해 제거될 수 있다. 일 실시예에서, 평탄화 공정은 적어도 하나의 콘택트 레벨 유전체 층(71, 73) 중 하나를 정지 층으로서 이용하는 화학 기계적 평탄화(CMP) 공정일 수 있다. 연속적인 소스 구조물(76)이 소스 라인 트렌치(15) 및 소스 라인 트렌치(15)에 연결된 적어도 하나의 배면 트렌치(79)의 각각의 연속적인 체적 내에 형성될 수 있다. 일 실시예에서, 소스 라인 트렌치(15)는 복수의 배면 트렌치들(79)에 연결될 수 있고, 연속적인 소스 구조물(76)은 소스 라인 트렌치(15) 및 복수의 배면 트렌치들(79)을 포함하는 연속적인 체적 전체를 채울 수 있다. 연속적인 소스 구조물들(76)은 소스 콘택트 구조물, 또는 소스 구조물과 소스 콘택트 구조물의 조합으로서 기능할 수 있다.18, at least one conformal deposition method such as chemical vapor deposition (CVD), atomic layer deposition (ALD), electroplating, and / or electroless plating to form the source line cavity 15 At least one conductive material is deposited. In one embodiment, the at least one conductive material may comprise a metal liner material such as a conductive metal nitride or a conductive metal carbide, and a metal filler material such as W, Cu, Al, Co, Ru, and alloys thereof . For example, a metal filler material layer 76A including a metal liner material layer 76A including a metal liner material to fill the source line trench 15 and back trench 79 during the same set of deposition processes, (76B) can be deposited. Portions of at least one conductive material above the horizontal plane, including the top surface of at least one contact level dielectric layer (71, 73), may be removed by a planarization process. In one embodiment, the planarization process may be a chemical mechanical planarization (CMP) process that utilizes one of the at least one contact level dielectric layer 71, 73 as a stop layer. A continuous source structure 76 may be formed within each successive volume of at least one backside trench 79 connected to source line trench 15 and source line trench 15. [ The source line trench 15 may be coupled to a plurality of backside trenches 79 and the continuous source structure 76 may include a source line trench 15 and a plurality of backside trenches 79. In one embodiment, Lt; RTI ID = 0.0 > volume. ≪ / RTI > The continuous source structures 76 may function as a source contact structure, or a combination of a source structure and a source contact structure.

소스 라인 캐비티(15)를 채우는 각각의 연속적인 소스 구조물(76)의 부분이 본원에서 소스 전도성 층(76L)이라고 지칭된다. 따라서, 소스 매트릭스 층(14)이 기판(즉, 기판 반도체 층(10))의 상단 표면에 평행한 수평 방향으로 연장되는 소스 전도성 층(76L)으로 대체된다. 소스 전도성 층(76L)은 유전체 필라들(20)의 어레이 내의 각각의 유전체 필라(20)의 측벽들과 접촉할 수 있다. 배면 트렌치(79)를 채우는 연속적인 소스 구조물(76)의 각각의 부분은 소스 전도성 비아 구조물(76V)을 구성한다. 각각의 소스 전도성 비아 구조물(76Vt)은 기판(10)의 상단 표면에 수직인 교번 스택(32, 46)을 관통하여 수직으로 연장된다. 연속적인 소스 구조물(76)은 소스 전도성 비아 구조물들(76V) 중 임의의 것과 소스 전도성 층(76L) 사이에 계면을 갖지 않는 일체형 구조물이다. 본원에서 사용되는 바와 같이, "일체형 구조물"은 다수의 물리적으로 이격된 부분(physically disjoined portion)들로 분할되지 않는 단일의 연속적인 구조물을 지칭한다. 본원에서 사용되는 바와 같이, "계면"은, (투과 전자 현미경법, 주사 전자 현미경법, 및/또는 2차 이온 질량 분광법과 같은) 분석 수단에 의해 검출될 수 있는 재료 조성의 차이들, 보이드의 존재, 또는 계면 재료의 존재에 의해 특징지워지는, 2개의 요소들 사이의 미시적 계면(microscopic interface)을 지칭한다.The portion of each successive source structure 76 that fills the source line cavity 15 is referred to herein as the source conductive layer 76L. Thus, the source matrix layer 14 is replaced with a source conductive layer 76L extending in the horizontal direction parallel to the upper surface of the substrate (i.e., the substrate semiconductor layer 10). The source conductive layer 76L may contact the sidewalls of each dielectric pillar 20 in the array of dielectric pillar 20. Each portion of the continuous source structure 76 filling the backside trench 79 constitutes the source conductive via structure 76V. Each source conductive via structure 76Vt extends vertically through an alternating stack 32, 46 perpendicular to the top surface of the substrate 10. The continuous source structure 76 is an integral structure that does not have any interface between any of the source conductive via structures 76V and the source conductive layer 76L. As used herein, an "integral structure" refers to a single continuous structure that is not divided into a plurality of physically disjoined portions. As used herein, "interface" refers to differences in material composition that can be detected by analytical means (such as transmission electron microscopy, scanning electron microscopy, and / or secondary ion mass spectroscopy) Refers to a microscopic interface between two elements, characterized by the presence, or the presence of interfacial material.

따라서, 소스 라인 캐비티(15) 및 적어도 하나의 배면 트렌치(79)를 적어도 하나의 전도성 재료로 동시에 채우는 것에 의해 연속적인 소스 구조물(76)이 형성될 수 있다. 각각의 소스 전도성 비아 구조물(76V)이 각자의 절연 스페이서(74)의 내측 측벽 상에 형성된다. 각각의 반도체 채널(60)의 노출된 측벽에 퇴적된 폴리실리콘 층 또는 도핑된 영역을 포함하는 소스 라인 구조물(76L)은 소스 영역(61) 바로 위에 형성될 수 있다.Thus, a continuous source structure 76 can be formed by simultaneously filling the source line cavity 15 and the at least one backside trench 79 with at least one conductive material. Each source conductive via structure 76V is formed on the inner sidewall of the respective isolation spacer 74. [ A source line structure 76L including a polysilicon layer or a doped region deposited on the exposed sidewalls of each semiconductor channel 60 may be formed directly on the source region 61. [

도 19를 참조하면, 포토레지스트 층(도시되지 않음)이 (예를 들어, 제2 절연 캡 층(73)일 수 있는) 제1 예시적인 구조물의 최상단 층 위에 도포될 수 있고, 메모리 어레이 영역(100), 주변 디바이스 영역(200), 및 콘택트 영역(300)에 다양한 개구부들을 형성하기 위해 리소그래피 방식으로 패터닝될 수 있다. 다양한 개구부들의 위치들 및 형상들은 콘택트 비아 구조물들에 의해 전기적으로 접촉될 다양한 디바이스들의 전기 노드들에 대응하도록 선택된다. 일 실시예에서, 형성될 콘택트 비아 캐비티들에 대응하는 모든 개구부들을 패터닝하기 위해 단일 포토레지스트 층이 이용될 수 있고, 모든 콘택트 비아 캐비티들이 패터닝된 포토레지스트 층을 에칭 마스크로서 이용하는 적어도 하나의 비등방성 에칭 공정에 의해 동시에 형성될 수 있다. 다른 실시예에서, 포토레지스트 층들에 상이한 패턴들의 개구부들을 갖는 상이한 세트들의 콘택트 비아 캐비티들을 형성하기 위해 복수의 포토레지스트 층들이 복수의 비등방성 에칭 공정들과 결합하여 이용될 수 있다. 포토레지스트 층(들)은 각자의 포토레지스트 층에서의 개구부들의 패턴을 아래에 있는 유전체 재료 층들을 관통하여 그리고 각자의 전기 전도성 구조물의 상단 표면까지 전사시키는 각자의 비등방성 에칭 공정 이후에 제거될 수 있다.19, a photoresist layer (not shown) may be applied over the top layer of the first exemplary structure (which may be, for example, a second insulating cap layer 73) 100, the peripheral device region 200, and the contact region 300, as shown in FIG. The locations and shapes of the various openings are selected to correspond to the electrical nodes of the various devices to be electrically contacted by the contact via structures. In one embodiment, a single photoresist layer may be used to pattern all openings corresponding to the contact via cavities to be formed, and all contact via cavities may be patterned using at least one anisotropic Can be simultaneously formed by an etching process. In another embodiment, a plurality of photoresist layers can be used in combination with a plurality of anisotropic etch processes to form different sets of contact via cavities having different patterns of openings in the photoresist layers. The photoresist layer (s) can be removed after each anisotropic etch process that transfers the pattern of openings in the respective photoresist layer through the underlying dielectric material layers and to the top surface of the respective electrically conductive structure have.

예시적인 예로서, 드레인 영역(63)의 상단 표면이 각각의 드레인 콘택트 비아 캐비티의 하단에서 물리적으로 노출되도록 메모리 어레이 영역(100)에 있는 각각의 메모리 스택 구조물(55) 위에 드레인 콘택트 비아 캐비티들이 형성될 수 있다. 전기 전도성 층(46)의 상단 표면이 콘택트 영역(300)에 있는 각각의 워드 라인 콘택트 비아 캐비티의 하단에서 물리적으로 노출되도록 워드 라인 콘택트 비아 캐비티들이 교번 스택(32, 46)의 단차가 있는 표면들까지 형성될 수 있다. 디바이스 콘택트 비아 캐비티가 주변 디바이스 영역(200)에 있는 콘택트 비아 구조물에 의해 접촉되도록 주변 디바이스들(210)의 각각의 전기 노드까지 형성될 수 있다.As an illustrative example, drain contact via cavities are formed above each memory stack structure 55 in the memory array region 100 such that the top surface of the drain region 63 is physically exposed at the bottom of each drain contact via cavity . The wordline contact via cavities are spaced apart from the stepped surfaces of the alternating stacks 32 and 46 so that the top surface of the electrically conductive layer 46 is physically exposed at the bottom of each wordline contact via cavity in the contact area 300. [ As shown in FIG. The device contact via cavity may be formed to each electrical node of the peripheral devices 210 to be contacted by the contact via structure in the peripheral device region 200. [

다양한 비아 캐비티들이, (TiN, TaN, 또는 WN과 같은) 전기 전도성 금속 라이너 재료 및 (W, Cu, 또는 Al과 같은) 금속 충전 재료의 조합일 수 있는, 적어도 하나의 전도성 재료로 채워질 수 있다. 적어도 하나의 전도성 재료의 잉여 부분들이, 예를 들어, 화학 기계적 평탄화(CMP) 및/또는 리세스 에칭을 포함할 수 있는, 평탄화 공정에 의해 적어도 하나의 콘택트 레벨 유전체 층(71, 73) 위쪽으로부터 제거될 수 있다. 드레인 콘택트 비아 구조물들(88)이 각자의 드레인 영역들(63) 상에 형성될 수 있다. 워드 라인 콘택트 비아 구조물들(84)이 각자의 전기 전도성 층들(46) 상에 형성될 수 있다. 주변 디바이스 콘택트 비아 구조물들(8P)이 주변 디바이스들(210)의 각자의 노드들 상에 형성될 수 있다. 다양한 콘택트 비아 구조물들 사이에 전기 배선을 제공하기 위해 부가의 금속 인터커넥트 구조물들(도시되지 않음) 및 층간 유전체 재료 층들(도시되지 않음)이 제1 예시적인 구조물 위에 형성될 수 있다.Various via cavities may be filled with at least one conductive material, which may be a combination of an electrically conductive metal liner material (such as TiN, TaN, or WN) and a metal filler material (such as W, Cu, or Al). Surplus portions of at least one conductive material may be removed from above at least one contact level dielectric layer 71,73 by a planarization process, which may include, for example, chemical mechanical planarization (CMP) and / Can be removed. Drain contact via structures 88 may be formed on the respective drain regions 63. [ Wordline contact via structures 84 may be formed on the respective electrically conductive layers 46. [ Peripheral device contact via structures 8P may be formed on the respective nodes of the peripheral devices 210. [ Additional metal interconnect structures (not shown) and interlayer dielectric material layers (not shown) may be formed over the first exemplary structure to provide electrical wiring between the various contact via structures.

본 개시내용의 실시예들에 따른 제1 예시적인 구조물은 3차원 메모리 디바이스를 포함할 수 있다. 3차원 메모리 디바이스는 기판(10) 위에 위치된 전기 전도성 층들(46) 및 절연 층들(32)의 교번 스택, 및 메모리 스택 구조물들(55)의 어레이를 포함한다. 각각의 메모리 스택 구조물(55)은 교번 스택(32, 46)을 관통하여 연장되고, 메모리 막(50) 및 메모리 막(50)에 의해 측방으로 둘러싸인 반도체 채널(60)을 포함한다. 3차원 메모리 디바이스는 교번 스택(32, 46)과 기판(10) 사이에 위치된 유전체 필라들(20)의 어레이를 추가로 포함할 수 있다. 수평으로 연장되고 유전체 필라들(20)의 어레이 내의 각각의 유전체 필라(20)를 측방으로 둘러싸는 소스 전도성 층(76L)을 포함하는, 연속적인 소스 구조물(76)이 제공될 수 있다. 연속적인 소스 구조물(76)은 교번 스택(32, 46)을 관통하여 수직으로 연장되는 소스 전도성 비아 구조물(76V)을 추가로 포함할 수 있다. 연속적인 소스 구조물(76)은 소스 전도성 비아 구조물(76V)과 소스 전도성 층(76L) 사이에 계면을 갖지 않는 일체형 구조물일 수 있다. 소스 전도성 층(76L)은 매립형 소스 라인 또는 전극을 포함할 수 있는 반면, 소스 전도성 비아 구조물(76V)은 소스 로컬 인터커넥트를 포함할 수 있다.A first exemplary structure according to embodiments of the present disclosure may include a three dimensional memory device. The three-dimensional memory device includes an alternate stack of electrically conductive layers 46 and insulating layers 32 positioned over the substrate 10, and an array of memory stack structures 55. Each memory stack structure 55 extends through alternating stacks 32 and 46 and includes a memory channel 50 and a semiconductor channel 60 laterally surrounded by the memory film 50. The memory stack 50 includes a memory channel 50, The three-dimensional memory device may further include an array of dielectric pillars 20 positioned between the alternating stacks 32, 46 and the substrate 10. A continuous source structure 76 may be provided that includes a source conductive layer 76L that horizontally extends and surrounds each dielectric pillar 20 in the array of dielectric pillars 20 laterally. The continuous source structure 76 may further include a source conductive via structure 76V that extends vertically through alternating stacks 32 and 46. The continuous source structure 76 may be an integral structure without an interface between the source conductive via structure 76V and the source conductive layer 76L. The source conductive layer 76L may comprise a buried source line or electrode, while the source conductive via structure 76V may comprise a source local interconnect.

3차원 메모리 디바이스는 기판(10)과 소스 전도성 층(76L) 사이에 위치된 하부 소스 절연체 층(12), 소스 전도성 층(76L)과 교번 스택(32, 46) 사이에 위치된 상부 소스 절연체 층(16), 및 소스 전도성 비아 구조물(76V)을 측방으로 둘러싸는 절연 스페이서(74)를 포함할 수 있다. 일 실시예에서, 연속적인 소스 구조물(76)은 유전체 필라들(20)의 어레이의 측벽들과 접촉하고 교번 스택(32, 46)의 최상단 표면 위쪽으로 연장되는 금속 유전체 라이너(76A), 및 금속 유전체 라이너(76A)에 의해 둘러싸인 전도성 충전 재료 부분(76B)을 포함할 수 있다.The three dimensional memory device includes a lower source insulator layer 12 positioned between the substrate 10 and the source conductive layer 76L, a top source insulator layer 76 located between the source conductive layer 76L and the alternating stacks 32 and 46, An insulating spacer 16, and an insulating spacer 74 laterally surrounding the source conductive via structure 76V. In one embodiment, the continuous source structure 76 includes a metal dielectric liner 76A that contacts the sidewalls of the array of dielectric pillars 20 and extends above the top surface of alternating stacks 32 and 46, And a conductive fill material portion 76B surrounded by a dielectric liner 76A.

단차가 있는 표면 영역이 콘택트 영역(300)에 제공될 수 있다. 전기 전도성 층들(46)의 단부 부분들은 단차가 있는 표면 영역에 단차가 있는 표면들을 형성한다. 소스 전도성 층(76L)은 전기 전도성 층들(46) 중 임의의 것보다 더 멀리 측방으로 연장될 수 있다. 일 실시예에서, 연속적인 소스 구조물(76)은 각각의 소스 영역(61)의 외측 측벽 및 메모리 막(50)의 환상 하단 표면 중 적어도 하나와 접촉할 수 있다.A surface region having a step difference can be provided in the contact region 300. The end portions of the electrically conductive layers 46 form steps with stepped surface areas. The source conductive layer 76L may extend laterally further than any of the electrically conductive layers 46. [ In one embodiment, the continuous source structure 76 may contact at least one of the outer sidewalls of each source region 61 and the annular bottom surface of the memory film 50.

일 실시예에서, 유전체 필라들(20)의 어레이 내의 각각의 유전체 필라(20)는 교번 스택(32, 46)의 최하단 표면을 포함하는 제1 수평 평면에 또는 그 아래쪽에 위치된 최상단 표면을 가질 수 있으며, 기판(10)의 상단 표면을 포함하는 제2 수평 평면에 또는 그 위쪽에 위치된 최하단 표면을 가질 수 있다. 일 실시예에서, 유전체 필라들(20)의 어레이는 실리콘 산화물을 포함할 수 있다. 교번 스택(32, 46) 아래에서 끝나는 유전체 필라들(20)은 교번 스택(32, 46) 전체를 관통하여 연장되는 스루-스택 유전체 지지 필라들(7P)과 상이하다.In one embodiment, each dielectric pillar 20 in the array of dielectric pillars 20 has a top surface located at or below a first horizontal plane comprising the lowermost surface of the alternating stacks 32, 46 And may have a lowermost surface located at or above a second horizontal plane comprising the top surface of the substrate 10. In one embodiment, the array of dielectric pillars 20 may comprise silicon oxide. The dielectric pillars 20 ending under the alternating stacks 32 and 46 are different from the through-stack dielectric support pillars 7P extending through the entirety of the alternating stacks 32 and 46.

일 실시예에서, 모놀리식 3차원 메모리 디바이스는 기판 위에 위치된 수직 NAND 디바이스를 포함하고, 전기 전도성 층들(46)은 NAND 디바이스의 각자의 워드 라인을 포함하거나 그에 전기적으로 연결된다. 일 실시예에서, 기판(10)은 실리콘 기판을 포함하고, 수직 NAND 디바이스는 실리콘 기판 위에 위치된 모놀리식 3차원 NAND 스트링들의 어레이를 포함한다. 모놀리식 3차원 NAND 스트링들의 어레이의 제1 디바이스 레벨에 있는 적어도 하나의 메모리 셀은 모놀리식 3차원 NAND 스트링들의 어레이의 제2 디바이스 레벨에 있는 다른 메모리 셀 위에 위치된다. 실리콘 기판은 그 위에 위치된 메모리 디바이스에 대한 구동기 회로를 포함하는 집적 회로를 포함할 수 있다.In one embodiment, the monolithic three-dimensional memory device comprises a vertical NAND device located on a substrate, and the electrically conductive layers 46 comprise or are electrically connected to a respective word line of the NAND device. In one embodiment, the substrate 10 comprises a silicon substrate, and the vertical NAND device comprises an array of monolithic three-dimensional NAND strings located on a silicon substrate. At least one memory cell at a first device level of the array of monolithic three-dimensional NAND strings is located over another memory cell at a second device level of the array of monolithic three-dimensional NAND strings. The silicon substrate may include an integrated circuit including a driver circuit for a memory device located thereon.

모놀리식 3차원 NAND 스트링들의 어레이는 복수의 반도체 채널들(60)을 포함할 수 있다. 복수의 반도체 채널들(60)의 각각의 반도체 채널의 적어도 하나의 단부 부분은 기판의 상단 표면에 실질적으로 수직으로 연장된다. 모놀리식 3차원 NAND 스트링들의 어레이는 복수의 전하 저장 요소들을 포함할 수 있다. 각각의 전하 저장 요소는 복수의 반도체 채널들(60)의 각자의 반도체 채널에 인접하여 위치될 수 있다. 모놀리식 3차원 NAND 스트링들의 어레이는 기판의 상단 표면에 실질적으로 평행하게 연장되는 스트립 형상을 갖는 복수의 제어 게이트 전극들을 포함할 수 있다. 복수의 제어 게이트 전극들은 적어도 제1 디바이스 레벨에 위치된 제1 제어 게이트 전극 및 제2 디바이스 레벨에 위치된 제2 제어 게이트 전극을 포함한다.The array of monolithic three-dimensional NAND strings may include a plurality of semiconductor channels 60. At least one end portion of each semiconductor channel of the plurality of semiconductor channels (60) extends substantially perpendicular to the upper surface of the substrate. The array of monolithic three-dimensional NAND strings may comprise a plurality of charge storage elements. Each charge storage element may be located adjacent to a respective semiconductor channel of a plurality of semiconductor channels 60. The array of monolithic three-dimensional NAND strings may include a plurality of control gate electrodes having a strip shape extending substantially parallel to the top surface of the substrate. The plurality of control gate electrodes include at least a first control gate electrode positioned at a first device level and a second control gate electrode positioned at a second device level.

도 20을 참조하면, 그래프는 본 개시내용의 실시예들에 따른, 유전체 필라들의 다양한 구성들에 대한 메모리 스택 구조물들(55)에 대한 응력의 크기를 예시하고 있다. (응력의 크기에 대한) 수직축의 단위는 임의적이다. "Ref"라고 표시된 경우는 유전체 필라들(20)의 어레이가 존재하지 않는 구성을 지칭한다. "대각 레이아웃"이라고 표시된 경우는 유전체 필라들(20)의 어레이의 제3 구성을 지칭한다. "지그재그 레이아웃"이라고 표시된 경우는 유전체 필라들(20)의 어레이의 제1 구성을 지칭한다.Referring to FIG. 20, the graph illustrates the magnitude of stresses to memory stack structures 55 for various configurations of dielectric pillars, in accordance with embodiments of the present disclosure. The unit of vertical axis (relative to the magnitude of the stress) is arbitrary. Quot; Ref "refers to a configuration in which no array of dielectric pillars 20 is present. The term "diagonal layout" refers to the third configuration of the array of dielectric pillars 20. The term "zigzag layout" refers to the first configuration of the array of dielectric pillars 20.

유전체 필라들(20)의 어레이는 제조 공정 동안 안정된 구조물들을 제공하기 위해 기계적 응력을 감소시킨다. 도 20의 시뮬레이션 데이터는 교번 스택(32, 46) 내의 100개의 층들이 선형 반복 경계 조건을 이용한다는 가정에 의해 획득되었다. 불균형된 모세관력 하에서 유전체 필라들(20)에 대한 핑거 틸팅(finger tilting) 및 응력들의 컴퓨터 시뮬레이션에 기초하여, 핑거 틸팅의 진폭, 또는 핑거 붕괴(finger collapse)의 위험이 지그재그 레이아웃에서 25%만큼 감소될 수 있다.The array of dielectric pillars 20 reduces mechanical stresses to provide stable structures during the fabrication process. The simulation data of FIG. 20 was obtained by assuming that the 100 layers in alternating stacks 32 and 46 utilize a linear iteration boundary condition. Based on computer simulations of finger tilting and stresses on the dielectric pillars 20 under unbalanced capillary forces, the risk of finger tilting amplitude, or finger collapse, is reduced by 25% in the zigzag layout .

본 개시내용의 제1 예시적인 구조물은 연속적인 소스 구조물(76)을 메모리 개구부들(49) 내의 반도체 채널들(60)에 대한 하단 연결부로서 이용한다. 유전체 필라들(20)의 어레이는 소스 전도성 층(76L)의 형성 동안 메모리 스택 구조물들(55)에 대한 기계적 응력으로부터의 구조적 보호를 제공한다.A first exemplary embodiment of the present disclosure utilizes a continuous source structure 76 as the bottom connection to the semiconductor channels 60 in the memory openings 49. The array of dielectric pillars 20 provides structural protection from mechanical stresses to the memory stack structures 55 during formation of the source conductive layer 76L.

유전체 필라들(20)의 어레이는 소스 라인 캐비티(15)의 붕괴를 방지하기 위해 그리고 소스 전도성 층(76L)을 포함하는 연속적인 소스 구조물(76)의 형성을 가능하게 하기 위해 이용될 수 있다. 유전체 필라들(20)의 존재가 소스측 접촉 저항에 악영향을 미칠 수 있지만, 소스측 접촉 저항의 열화는 도 13a 내지 도 13c에 예시된 밀도들에서 관리가능하다.The array of dielectric pillars 20 can be used to prevent collapse of the source line cavity 15 and to enable the formation of a continuous source structure 76 that includes the source conductive layer 76L. Although the presence of the dielectric pillars 20 may adversely affect the source-side contact resistance, deterioration of the source-side contact resistance can be managed at the densities illustrated in Figs. 13A to 13C.

유전체 필라들(20)은 유전체 재료로 본질적으로 이루어진 지지 페데스탈 구조물들이다. 소스 전도성 층(76L)은 교번 스택(32, 46) 아래에 있고 기판 반도체 층(10)을 포함하는 기판 위에 있다. 소스 전도성 층(76L)은 반도체 채널들(60)의 각각의 반도체 채널의 하단 단부에 전기적으로 단락된다. 지지 페데스탈 구조물들로서, 유전체 필라들(20)은 소스 전도성 층(76L)과 접촉하고, 교번 스택(32, 46) 아래에 위치된다.The dielectric pillars 20 are support pedestal structures made up essentially of a dielectric material. The source conductive layer 76L is below the alternating stacks 32 and 46 and above the substrate comprising the substrate semiconductor layer 10. [ The source conductive layer 76L is electrically shorted to the lower end of each semiconductor channel of the semiconductor channels 60. [ As the support pedestal structures, the dielectric pillars 20 are in contact with the source conductive layer 76L and are located under the alternating stacks 32, 46.

본 개시내용의 다른 양태에 따르면, 지지 페데스탈 구조물들이 반도체 재료 또는 유전체 재료로서 제공될 수 있는 일 실시예가 개시된다. 예를 들어, 지지 페데스탈 구조물들이 도핑된 반도체 재료 부분들로서 제공될 수 있다. 일 실시예에서, 지지 페데스탈 구조물들은 레일 구조물들로서 형성될 수 있다. 본원에서 사용되는 바와 같이, 레일 구조물은 수평 방향을 따라 측방으로 연장되고 균일한 높이를 갖는 구조물을 지칭한다.According to another aspect of the present disclosure, an embodiment is disclosed in which support pedestal structures can be provided as a semiconductor material or a dielectric material. For example, support pedestal structures may be provided as doped semiconductor material portions. In one embodiment, the support pedestal structures may be formed as rail structures. As used herein, a rail structure refers to a structure that extends laterally along the horizontal direction and has a uniform height.

도 21을 참조하면, 본 개시내용의 제2 실시예에 따른 제2 예시적인 구조물이 예시되어 있다. 제2 예시적인 구조물은, 반도체 기판, 전도성 기판, 또는 절연체 기판일 수 있는, 기판(8)을 포함한다. 기판(8)은 그 위에 형성된 요소들에 대한 구조적 지지를 제공하기에 충분한 두께를 가질 수 있다. 일 실시예에서, 기판(8)의 두께는 50 마이크로미터 내지 1 mm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 일 실시예에서, 기판(8)은 단결정 기판 또는 다결정 기판과 같은 반도체 기판일 수 있다. (앞서 기술된 주변 디바이스들(210)과 같은) 반도체 디바이스들은 이하에서 기술될 메모리 디바이스들의 형성 이전에 또는 그 이후에 기판(8)의 주변 디바이스 영역(도시되지 않음)에 형성될 수 있다. 이러한 반도체 디바이스들은 기판(8) 위에 차후에 형성될 메모리 디바이스들의 동작을 지원하기 위해 이용될 수 있는 주변 디바이스들을 포함할 수 있다.Referring to FIG. 21, a second exemplary structure according to a second embodiment of the present disclosure is illustrated. The second exemplary structure includes a substrate 8, which may be a semiconductor substrate, a conductive substrate, or an insulator substrate. The substrate 8 may have a thickness sufficient to provide structural support for the elements formed thereon. In one embodiment, the thickness of the substrate 8 may range from 50 micrometers to 1 mm, but smaller thicknesses and larger thicknesses may also be used. In one embodiment, the substrate 8 may be a single crystal substrate or a semiconductor substrate such as a polycrystalline substrate. Semiconductor devices (such as the peripheral devices 210 described above) may be formed in a peripheral device region (not shown) of the substrate 8 before or after the formation of the memory devices to be described below. These semiconductor devices may include peripheral devices that may be utilized to support the operation of memory devices to be subsequently formed on the substrate 8.

절연체 층(120)이 기판(8) 위에 형성될 수 있다. 절연체 층(120)은 실리콘 산화물과 같은 유전체 재료를 포함한다. 절연체 층(120)의 두께는 10 nm 내지 300 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.An insulator layer 120 may be formed over the substrate 8. Insulator layer 120 includes a dielectric material such as silicon oxide. The thickness of the insulator layer 120 may be in the range of 10 nm to 300 nm, but smaller thicknesses and larger thicknesses may also be used.

소스 전도성 층(140)(예컨대, 소스 라인 또는 소스 전극)이 절연체 층(120) 위에 형성될 수 있다. 소스 전도성 층(140)은, 금속 재료, 고농도로 도핑된 반도체 재료, (금속 실리사이드와 같은) 금속-반도체 합금, 또는 이들의 조합을 포함할 수 있는, 전도성 재료를 포함한다. 일 실시예에서, 소스 전도성 층(140)은 텅스텐 실리사이드 층 또는, 아래에서 위로, (TiN 층과 같은) 전도성 금속 질화물 층 및 (텅스텐 층과 같은) 금속 층의 수직 스택을 포함할 수 있다. 소스 전도성 층(140)은 컨포멀 또는 비-컨포멀 퇴적에 의해 형성될 수 있고, 전체에 걸쳐 균일한 두께를 갖는 평면 재료 층으로서 형성될 수 있다.A source conductive layer 140 (e.g., source line or source electrode) may be formed over the insulator layer 120. The source conductive layer 140 includes a conductive material, which may include a metal material, a heavily doped semiconductor material, a metal-semiconductor alloy (such as a metal silicide), or a combination thereof. In one embodiment, the source conductive layer 140 may comprise a tungsten silicide layer or a vertical stack of a conductive metal nitride layer (such as a TiN layer) and a metal layer (such as a tungsten layer), from top to bottom. The source conductive layer 140 may be formed by conformal or non-conformal deposition and may be formed as a planar material layer having a uniform thickness throughout.

희생 재료 부분들(151)은, 제1 수평 방향(hd1)(예컨대, 워드 라인 방향)을 따라 연장되고 제2 수평 방향(hd2)(예컨대, 비트 라인 방향)을 따라 서로 측방으로 이격된, 레일 구조물들로서 형성될 수 있다. 희생 재료 부분들(151)은 희생 재료 층을 평면 재료 층으로서 퇴적시키는 것, 그 위에 포토레지스트 층을 도포 및 패터닝하는 것, 및 (반응성 이온 에칭 공정과 같은) 비등방성 에칭 공정에 의해 포토레지스트 층의 패턴을 평면 재료 층을 관통하여 전사시키는 것에 의해 형성될 수 있다. 소스 전도성 층(140)은 비등방성 에칭 공정에 대한 정지 층으로서 기능할 수 있다. 희생 재료 층의 각각의 남아 있는 부분은 희생 재료 부분(151)을 구성한다. 포토레지스트 층은, 예를 들어, 애싱에 의해 차후에 제거될 수 있다.The sacrificial material portions 151 may include a plurality of sacrificial material portions 151 that extend along the first horizontal direction hd1 (e.g., the word line direction) and laterally spaced from each other along the second horizontal direction hd2 Structures may be formed. The sacrificial material portions 151 may be formed by depositing a sacrificial material layer as a layer of planar material, applying and patterning a photoresist layer thereon, and an anisotropic etch process (such as a reactive ion etch process) And then transferring the pattern of the planar material through the planar material layer. The source conductive layer 140 may serve as a stop layer for an anisotropic etching process. Each remaining portion of the sacrificial material layer constitutes a sacrificial material portion 151. The photoresist layer may be subsequently removed, for example, by ashing.

일 실시예에서, 각각의 희생 재료 부분(151)의 폭은 제1 수평 방향(hd1)을 따라 차후에 형성될 메모리 개구부들의 이웃하는 행들의 쌍 사이의 중심간 거리 정도이도록 선택될 수 있다. 일 실시예에서, 각각의 이웃하는 희생 재료 부분들(151)의 쌍 사이의 간격은 제1 수평 방향(hd1)을 따라 차후에 형성될 메모리 개구부들의 이웃하는 행들의 쌍 사이의 중심간 거리 정도일 수 있다. 일 실시예에서, 희생 재료 부분들(151)은 제2 수평 방향(hd2)을 따라 1차원 주기적 어레이를 형성할 수 있고, 1차원 어레이의 주기성(즉, 희생 재료 부분(151)의 폭과 이웃하는 희생 재료 부분들(151)의 쌍 사이의 간격의 합)은 차후에 형성될 메모리 개구부들 사이의 행간 거리(inter-row distance)의 2배와 동일할 수 있다.In one embodiment, the width of each sacrificial material portion 151 may be selected to be about the center-to-center distance between pairs of neighboring rows of memory openings to be formed later along the first horizontal direction hd1. In one embodiment, the spacing between each pair of adjacent sacrificial material portions 151 can be about the center-to-center distance between pairs of neighboring rows of memory openings to be formed later along the first horizontal direction hd1 . In one embodiment, the sacrificial material portions 151 may form a one-dimensional periodic array along the second horizontal direction hd2 and may have a periodicity of the one-dimensional array (i. E., The width of the sacrificial material portion 151, The sum of the spacing between pairs of sacrificial material portions 151) may be equal to twice the inter-row distance between the memory openings to be formed subsequently.

희생 재료 부분들(151)은 반도체 재료 또는 유전체 재료를 포함할 수 있다. 일 실시예에서, 희생 재료 층 및 이로부터 형성된 희생 재료 부분들(151)은 의도적으로 도핑되지 않은 반도체 재료를 포함한다. 의도적으로 도핑되지 않은 반도체 재료는 진성(intrinsic)일 수 있거나, 퇴적 동안 미량 레벨(trace level)로 도펀트들이 혼입되는 것으로 인해 낮은 농도의 전기 도펀트들을 가질 수 있다. 본원에서 사용되는 바와 같이, "도핑되지 않은 반도체 재료"는 진성 반도체 재료 및 1.0 x 1016/cm3 미만의 원자 농도로 전기 도펀트들을 포함하는 반도체 재료를 일괄하여 지칭한다. 도핑되지 않은 반도체 재료는 반도체 재료의 퇴적 동안 전기 도펀트들을 의도적으로 혼입시키지 않는 것에 의해 형성될 수 있다.The sacrificial material portions 151 may comprise a semiconductor material or a dielectric material. In one embodiment, the sacrificial material layer and the sacrificial material portions 151 formed therefrom comprise a semiconductor material that is not intentionally doped. Intentionally undoped semiconductor material may be intrinsic or may have low concentrations of electrical dopants due to incorporation of dopants at trace levels during deposition. As used herein, "undoped semiconductor material" collectively refers to semiconductor materials comprising an intrinsic semiconductor material and electrical dopants with an atomic concentration of less than 1.0 x 10 16 / cm 3 . An undoped semiconductor material may be formed by intentionally not incorporating electrical dopants during deposition of the semiconductor material.

일 실시예에서, 도핑되지 않은 반도체 재료는 고농도로 도핑된 반도체 재료, 즉 1.0 x 105 S/cm 초과의 전기 전도율을 갖는(예컨대, 1.0 x 1019/cm3 초과의 도펀트 농도를 갖는) 도핑된 반도체 재료에 대해 선택적으로 제거될 수 있는 재료일 수 있다. 일 실시예에서, 희생 재료 부분들(151)의 도핑되지 않은 반도체 재료는 비정질 실리콘, 다결정 또는 비정질 게르마늄, 비정질 실리콘-게르마늄 합금, 또는 40% 초과의 원자 농도로 게르마늄을 포함하는 다결정 실리콘-게르마늄 합금을 포함할 수 있다.In one embodiment, the undoped semiconductor material is a heavily doped semiconductor material, i. E. Having a conductivity of greater than 1.0 x 10 5 S / cm (e.g., having a dopant concentration of greater than 1.0 x 10 19 / cm 3 ) Or may be a material that can be selectively removed with respect to the underlying semiconductor material. In one embodiment, the undoped semiconductor material of sacrificial material portions 151 may be amorphous silicon, polycrystalline or amorphous germanium, an amorphous silicon-germanium alloy, or a polycrystalline silicon-germanium alloy containing germanium at atomic concentrations greater than 40% . ≪ / RTI >

다른 실시예에서, 희생 재료 층 및 희생 재료 부분들(151)은 유전체 재료를 포함할 수 있다. 이 경우에, 희생 재료 부분들(151)의 유전체 재료는 차후에 형성될 지지 필라 구조물들의 재료들에 대해 선택적으로 그리고 차후에 형성될 교번 스택에 대해 선택적으로 제거될 수 있는 재료들 중에서 선택될 수 있다. 예를 들어, 희생 재료 부분들(151)은 다공성 또는 비-다공성 유기 실리케이트 유리(OSG), 비정질 탄소, 또는 다이아몬드 유사 탄소(diamond-like carbon, DLC)와 같은 유전체 재료를 포함할 수 있다.In other embodiments, the sacrificial material layer and sacrificial material portions 151 may comprise a dielectric material. In this case, the dielectric material of the sacrificial material portions 151 may be selected from materials that can be selectively removed with respect to the materials of the support pillars structures to be formed subsequently and selectively with respect to alternate stacks to be formed at a later time. For example, the sacrificial material portions 151 may comprise a dielectric material such as porous or non-porous organosilicate glass (OSG), amorphous carbon, or diamond-like carbon (DLC).

유전체 라이너(153)는 희생 재료 부분들(151)의 상단 표면들 및 측벽들 위에 그리고 소스 전도성 층(140)의 물리적으로 노출된 표면들 상에 컨포멀 재료 층으로서 임의로 형성될 수 있다. 유전체 라이너(153)는 실리콘 질화물과 같은 확산 장벽 재료를 포함할 수 있다. 임의적인 유전체 라이너(153)의 두께는 3 nm 내지 10 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 유전체 라이너(153)의 상단 부분들은 도 21의 컷아웃 영역에 도시되어 있지 않으며, 컷아웃 영역이란 컷아웃 영역의 하단 수평 평면 아래에 있는 요소들을 보다 명확하게 예시하기 위해 모든 요소들이 도면에서 제거되어 있는 영역이다. 선형 트렌치(159)는 각각의 이웃하는 희생 재료 부분들(151)의 쌍 사이에 존재한다.The dielectric liner 153 may optionally be formed as a layer of conformal material on top surfaces and sidewalls of the sacrificial material portions 151 and on physically exposed surfaces of the source conductive layer 140. The dielectric liner 153 may comprise a diffusion barrier material such as silicon nitride. The thickness of the optional dielectric liner 153 may range from 3 nm to 10 nm, but smaller thicknesses and larger thicknesses may also be used. The upper portions of the dielectric liner 153 are not shown in the cutout area of Figure 21 and all elements are removed from the drawing to more clearly illustrate the elements below the lower horizontal plane of the cutout area, . Linear trenches 159 are present between each pair of adjacent sacrificial material portions 151.

도 22를 참조하면, 지지 페데스탈 구조물들(156)이 라인 트렌치들(159)에 형성된다. 반도체 재료 또는 유전체 재료가 라인 트렌치들(159) 내로 퇴적될 수 있다. 지지 페데스탈 구조물들(156)에 대해 이용될 수 있는 예시적인 반도체 재료는 1.0 x 1019/cm3 초과, 그리고 바람직하게는 1.0 x 1020/cm3 초과(예컨대, 5 x 1019/cm3 내지 5 x 1021/cm3)의 원자 농도로 붕소를 포함하는 붕소 도핑된 실리콘(예컨대, 비정질 실리콘 또는 폴리실리콘)을 포함한다. 이 경우에, 트리메틸-2-하이드록시에틸 수산화 암모늄(TMY)과 같은 에칭제에서 지지 페데스탈 구조물들(156)에 대해 선택적으로 희생 재료 부분들(151)을 제거하는 것을 가능하게 하기 위해, 지지 페데스탈 구조물들(156)의 붕소 도핑된 실리콘이 도핑되지 않은 비정질 실리콘을 포함하는 희생 재료 부분들(151)과 결합하여 이용될 수 있다.Referring to FIG. 22, support pedestal structures 156 are formed in the line trenches 159. A semiconductor material or a dielectric material may be deposited into the line trenches 159. Exemplary semiconductor materials that can be used for the support pedestal structure 156 is 1.0 x 10 19 / cm 3 greater than, and preferably from 1.0 x 10 20 / cm 3 greater than (e.g., 5 x 10 19 / cm 3 to Doped silicon (e. G., Amorphous silicon or polysilicon) containing boron at an atomic concentration of 5 x 10 21 / cm 3 . In this case, to enable selective removal of the sacrificial material portions 151 relative to the support pedestal structures 156 in an etchant such as trimethyl-2-hydroxyethyl ammonium hydroxide (TMY), a support pedestal Boron doped silicon of structures 156 may be used in combination with sacrificial material portions 151 that include undoped amorphous silicon.

지지 페데스탈 구조물들(156)에 대해 이용될 수 있는 예시적인 절연체 재료들은 도핑되지 않은 실리케이트 유리(즉, 실리콘 산화물), 도핑된 실리케이트 유리, 실리콘 질화물, 및 유전체 금속 산화물을 포함한다. 이 경우에, 지지 페데스탈 구조물들(156)은 유전체 재료를 포함하는 레일 구조물들일 수 있고, 희생 재료 부분들(151)은 지지 페데스탈 구조물들(156)에 대해 선택적으로 제거될 수 있는 (유기 실리케이트 유리, 비정질 탄소, 또는 다이아몬드 유사 탄소와 같은) 재료들을 포함한다.Exemplary insulator materials that may be utilized for the support pedestal structures 156 include undoped silicate glass (i.e., silicon oxide), doped silicate glass, silicon nitride, and dielectric metal oxide. In this case, the support pedestal structures 156 may be rail structures comprising a dielectric material and the sacrificial material portions 151 may be selectively removed relative to the support pedestal structures 156 (organosilicate glass , Amorphous carbon, or diamond-like carbon).

퇴적된 재료의 잉여 부분들은 평탄화 공정에 의해 희생 재료 부분들(151)의 상단 표면을 포함하는 수평 평면 위쪽으로부터 제거될 수 있다. 평탄화 공정은 리세스 에칭 공정 및/또는 화학 기계적 평탄화를 포함할 수 있다. 라인 트렌치들(159) 내의 퇴적된 재료의 각각의 남아 있는 부분은 지지 페데스탈 구조물(156)을 구성한다. 각각의 지지 페데스탈 구조물(156)은 제1 수평 방향을 따라 측방으로 연장되는 레일 구조물일 수 있다. 일 실시예에서, 유전체 라이너(153)의 수평 부분들은 평탄화 공정에 의해 희생 재료 부분들(151)의 상단 표면들 위쪽으로부터 제거될 수 있다. 이 경우에, 희생 재료 부분들(151)의 상단 표면들은 지지 페데스탈 구조물들(156)의 상단 표면들과 코플래너일 수 있다(즉, 동일한 평면 내에 있음). 유전체 라이너(153)가 도 21의 처리 단계에서 형성되는 경우, 유전체 라이너(153)의 U자 형상의 부분이 각각의 지지 페데스탈 구조물(156)과 소스 전도성 층(140) 사이에 존재할 수 있다.Surplus portions of the deposited material may be removed from above the horizontal plane including the top surface of the sacrificial material portions 151 by the planarization process. The planarization process may include a recess etch process and / or a chemical mechanical planarization. Each remaining portion of the deposited material in the line trenches 159 constitutes a support pedestal structure 156. Each support pedestal structure 156 may be a rail structure that extends laterally along the first horizontal direction. In one embodiment, the horizontal portions of the dielectric liner 153 may be removed from above the top surfaces of the sacrificial material portions 151 by a planarization process. In this case, the top surfaces of the sacrificial material portions 151 may be coplanar with the top surfaces of the support pedestal structures 156 (i.e., within the same plane). If a dielectric liner 153 is formed in the process step of Figure 21, a U-shaped portion of the dielectric liner 153 may be present between each support pedestal structure 156 and the source conductive layer 140.

지지 페데스탈 구조물들(156) 및 희생 재료 부분들(151)을 포함하는 층(151, 153, 156)이 소스 전도성 층(140) 상에 형성된다. 일 실시예에서, 지지 페데스탈 구조물들(156)은 (p-형 또는 n-형일 수 있는) 제1 전도성 유형의 도핑을 갖는 제1 반도체 재료를 포함할 수 있고, 희생 재료 부분들(152)은 (비정질의 도핑되지 않은 실리콘과 같은) 도핑되지 않은 반도체 재료를 포함할 수 있다. 예시적인 예에서, 제1 반도체 재료는 p-도핑된 실리콘 함유 재료를 포함할 수 있고, 도핑되지 않은 반도체 재료는 도핑되지 않은 실리콘 함유 재료를 포함할 수 있다.Layers 151, 153, and 156 including support pedestal structures 156 and sacrificial material portions 151 are formed on the source conductive layer 140. In one embodiment, the support pedestal structures 156 may include a first semiconductor material having a doping of a first conductivity type (which may be p-type or n-type) and the sacrificial material portions 152 And an undoped semiconductor material (such as amorphous undoped silicon). In an exemplary example, the first semiconductor material may comprise a p-doped silicon-containing material, and the undoped semiconductor material may comprise an undoped silicon-containing material.

도 23을 참조하면, 제1 재료 층들 및 제2 재료 층들의 교번 스택이 지지 페데스탈 구조물들(156) 및 희생 재료 부분(151)의 상단 표면들 위에 형성된다. 본원에서 사용되는 바와 같이, "재료 층"은 층 전체에 걸쳐 재료를 포함하는 층을 지칭한다. 본원에서 사용되는 바와 같이, 제1 요소들 및 제2 요소들의 교번 스택은 제1 요소들의 인스턴스들과 제2 요소들의 인스턴스들이 교대로 있는 구조물을 지칭한다. 교대로 있는 복수의 요소들 중 말단 요소가 아닌 제1 요소들의 각각의 인스턴스는 양측에 있는 제2 요소들의 2개의 인스턴스와 인접해 있고, 교대로 있는 복수의 요소들 중 말단 요소가 아닌 제2 요소들의 각각의 인스턴스는 양측에 있는 제1 요소들의 2개의 인스턴스와 인접해 있다. 제1 요소들은 그들 간에 동일한 두께를 가질 수 있거나, 상이한 두께들을 가질 수 있다. 제2 요소들은 그들 간에 동일한 두께를 가질 수 있거나, 상이한 두께들을 가질 수 있다. 교대로 있는 복수의 제1 재료 층들 및 제2 재료 층들은 제1 재료 층들의 인스턴스로 또는 제2 재료 층들의 인스턴스로 시작할 수 있고, 제1 재료 층들의 인스턴스로 또는 제2 재료 층들의 인스턴스로 끝날 수 있다. 일 실시예에서, 제1 요소들의 인스턴스 및 제2 요소들의 인스턴스는 교대로 있는 복수의 요소들 내에서 주기성을 갖고 반복되는 단위를 형성할 수 있다.23, an alternating stack of first material layers and second material layers is formed over the upper surfaces of the support pedestal structures 156 and the sacrificial material portion 151. As shown in FIG. As used herein, "material layer" refers to a layer comprising material throughout the layer. As used herein, the alternating stack of first elements and second elements refers to a structure in which instances of first elements and instances of second elements alternate. Each instance of the first elements that is not a terminal element of the plurality of alternating elements is adjacent to two instances of the second elements on both sides and the second element of the plurality of alternating elements Each of which is adjacent to two instances of the first elements on both sides. The first elements may have the same thickness between them, or they may have different thicknesses. The second elements may have the same thickness therebetween, or they may have different thicknesses. A plurality of alternating first and second material layers may start with an instance of the first material layers or with an instance of the second material layers and may begin with an instance of the first material layers or with an instance of the second material layers . In one embodiment, instances of the first elements and instances of the second elements may form a repeating unit with periodicity within a plurality of alternating elements.

각각의 제1 재료 층은 제1 재료를 포함하고, 각각의 제2 재료 층은 제1 재료와 상이한 제2 재료를 포함한다. 일 실시예에서, 각각의 제1 재료 층은 절연 층(32)일 수 있고, 각각의 제2 재료 층은 각각의 수직으로 이웃하는 절연 층들(32)의 쌍 사이에 수직 간격을 제공하는 스페이서 재료 층일 수 있다. 일 실시예에서, 스페이서 재료 층들은 전기 전도성 층들로서 형성될 수 있다.Each first material layer comprises a first material, and each second material layer comprises a second material different from the first material. In one embodiment, each first layer of material may be an insulating layer 32, and each second layer of material may include a spacer material 32 that provides a vertical spacing between each pair of vertically adjacent insulating layers 32. In one embodiment, Layer. In one embodiment, the spacer material layers may be formed as electrically conductive layers.

다른 실시예에서, 스페이서 재료 층들은 희생 재료 층들(42)로서 형성될 수 있다. 이 경우에, 제1 실시예에서와 같이, 스택은 교대로 있는 복수의 절연 층들(32) 및 희생 재료 층들(42)을 포함할 수 있으며, 절연 층들(32) 및 희생 재료 층들(42)을 포함하는 교번 층들의 프로토타입 스택을 구성한다. 본원에서 사용되는 바와 같이, "프로토타입" 구조물 또는 "공정 중" 구조물은 그 내의 적어도 하나의 컴포넌트의 형상 또는 조성이 차후에 수정되는 일시적 구조물을 지칭한다.In another embodiment, the spacer material layers may be formed as sacrificial material layers 42. In this case, as in the first embodiment, the stack may include a plurality of alternating insulating layers 32 and sacrificial material layers 42, and may include insulating layers 32 and sacrificial material layers 42 And constitutes a prototype stack of alternating layers that contain. As used herein, a "prototype" structure or "in-process" structure refers to a temporary structure in which the shape or composition of at least one component therein is subsequently modified.

일 실시예에서, 교번 스택(32, 42)은 제1 재료로 이루어진 절연 층들(32)과, 절연 층들(32)의 재료와 상이한 제2 재료로 이루어진 희생 재료 층들(42)을 포함할 수 있다. 절연 층들(32)의 제1 재료는 적어도 하나의 절연 재료일 수 있다. 이에 따라, 각각의 절연 층(32)은 절연 재료 층일 수 있다. 절연 층들(32)에 대해 이용될 수 있는 절연 재료들은 실리콘 산화물(도핑된 또는 도핑되지 않은 실리케이트 유리를 포함함), 실리콘 질화물, 실리콘 산질화물, 유기 실리케이트 유리(OSG), 스핀-온 유전체 재료들, 고 유전 상수(하이-k) 유전체 산화물들(예컨대, 알루미늄 산화물, 하프늄 산화물 등)이라고 흔히 알려져 있는 유전체 금속 산화물들 및 그의 실리케이트들, 유전체 금속 산질화물들 및 그의 실리케이트들, 그리고 유기 절연 재료들을 포함하지만, 이들로 제한되지 않는다. 일 실시예에서, 절연 층들(32)의 제1 재료는 실리콘 산화물일 수 있다.In alternate embodiments, alternate stacks 32 and 42 may include insulating layers 32 of a first material and sacrificial material layers 42 of a second material different from the materials of insulating layers 32 . The first material of the insulating layers 32 may be at least one insulating material. Accordingly, each insulating layer 32 can be an insulating material layer. The insulating materials that may be used for the insulating layers 32 include silicon oxide (including doped or undoped silicate glass), silicon nitride, silicon oxynitride, organosilicate glass (OSG), spin-on dielectric materials , Dielectric metal oxides and silicates thereof commonly known as high-k dielectric oxides (e.g., aluminum oxide, hafnium oxide, etc.), dielectric metal oxynitrides and silicates thereof, and organic insulating materials But are not limited to these. In one embodiment, the first material of the insulating layers 32 may be silicon oxide.

희생 재료 층들(42)의 제2 재료는 절연 층들(32)의 제1 재료에 대해 선택적으로 제거될 수 있는 희생 재료이다. 본원에서 사용되는 바와 같이, 제거 공정이 제2 재료의 제거 속도의 적어도 2배인 속도로 제1 재료를 제거하는 경우, 제1 재료의 제거가 제2 재료에 대해 "선택적"이다. 제2 재료의 제거 속도에 대한 제1 재료의 제거 속도의 비는 본원에서 제2 재료에 대한 제1 재료의 제거 공정의 "선택도"라고 지칭된다.The second material of the sacrificial material layers 42 is a sacrificial material that can be selectively removed relative to the first material of the insulating layers 32. As used herein, when the removal process removes the first material at a rate that is at least twice the removal rate of the second material, removal of the first material is "optional" for the second material. The ratio of the removal rate of the first material to the removal rate of the second material is referred to herein as the "selectivity" of the removal process of the first material for the second material.

희생 재료 층들(42)은 절연 재료, 반도체 재료, 또는 전도성 재료를 포함할 수 있다. 희생 재료 층들(42)의 제2 재료는, 예를 들어, 수직 NAND 디바이스의 제어 게이트 전극들로서 기능할 수 있는 전기 전도성 전극들로 차후에 대체될 수 있다. 제2 재료의 비제한적인 예들은 실리콘 질화물, (비정질 실리콘과 같은) 비정질 반도체 재료, 및 (폴리실리콘과 같은) 다결정 반도체 재료을 포함한다. 일 실시예에서, 희생 재료 층들(42)은 실리콘 질화물 또는 실리콘 및 게르마늄 중 적어도 하나를 비롯한 반도체 재료를 포함하는 스페이서 재료 층들일 수 있다.The sacrificial material layers 42 may comprise an insulating material, a semiconductor material, or a conductive material. The second material of sacrificial material layers 42 may be subsequently replaced with electrically conductive electrodes, which may, for example, function as control gate electrodes of a vertical NAND device. Non-limiting examples of the second material include silicon nitride, an amorphous semiconductor material (such as amorphous silicon), and a polycrystalline semiconductor material (such as polysilicon). In one embodiment, the sacrificial material layers 42 may be silicon nitride or spacer material layers comprising a semiconductor material, including at least one of silicon and germanium.

일 실시예에서, 절연 층들(32)은 실리콘 산화물을 포함할 수 있고, 희생 재료 층들은 실리콘 질화물 희생 재료 층들을 포함할 수 있다. 절연 층들(32)의 제1 재료는, 예를 들어, 화학적 기상 퇴적(CVD)에 의해 퇴적될 수 있다. 예를 들어, 절연 층들(32)에 대해 실리콘 산화물이 이용되는 경우, TEOS(tetraethyl orthosilicate)가 CVD 공정에 대한 전구체 재료로서 이용될 수 있다. 희생 재료 층들(42)의 제2 재료는, 예를 들어, CVD 또는 원자 층 퇴적(ALD)에 의해 형성될 수 있다.In one embodiment, the insulating layers 32 may comprise silicon oxide, and the sacrificial material layers may comprise silicon nitride sacrificial material layers. The first material of the insulating layers 32 may be deposited, for example, by chemical vapor deposition (CVD). For example, when silicon oxide is used for the insulating layers 32, tetraethyl orthosilicate (TEOS) can be used as the precursor material for the CVD process. The second material of sacrificial material layers 42 may be formed by, for example, CVD or atomic layer deposition (ALD).

희생 재료 층들(42)의 대체에 의해 차후에 형성될 전도성 재료 부분들이, 차후에 형성될 모놀리식 3차원 NAND 스트링 메모리 디바이스들의 제어 게이트 전극들과 같은, 전기 전도성 전극들로서 기능할 수 있도록 희생 재료 층들(42)이 적당하게 패터닝될 수 있다. 희생 재료 층들(42)은 기판(8)의 상단 표면에 실질적으로 평행하게 연장되는 스트립 형상을 갖는 부분을 포함할 수 있다.The portions of the conductive material to be subsequently formed by the replacement of the sacrificial material layers 42 may be removed from the surface of the sacrificial material layers (not shown) such that they may function as electrically conductive electrodes, such as the control gate electrodes of the monolithic three- 42 may be suitably patterned. The sacrificial material layers 42 may include portions having a strip shape extending substantially parallel to the top surface of the substrate 8.

절연 층들(32) 및 희생 재료 층들(42)의 두께들은 20 nm 내지 50 nm의 범위에 있을 수 있지만, 각각의 절연 층(32)에 대해 그리고 각각의 희생 재료 층(42)에 대해 보다 작은 두께들 및 보다 큰 두께들이 이용될 수 있다. 절연 층(32)과 희생 재료 층(예컨대, 제어 게이트 전극 또는 희생 재료 층)(42)의 쌍들의 반복 횟수가 2 내지 1,024, 그리고 전형적으로 8 내지 256의 범위에 있을 수 있지만, 보다 큰 반복 횟수가 또한 이용될 수 있다. 스택에서의 상단 및 하단 게이트 전극들은 선택 게이트 전극들로서 기능할 수 있다. 일 실시예에서, 교번 스택(32, 42) 내의 각각의 희생 재료 층(42)은 각자의 희생 재료 층(42) 각각 내에서 실질적으로 불변인 균일한 두께를 가질 수 있다.Thicknesses of the insulating layers 32 and sacrificial material layers 42 may be in the range of 20 nm to 50 nm but may be less than the thickness of each insulating layer 32 and of each sacrificial material layer 42 And larger thicknesses may be used. The number of repetitions of the pairs of insulating layer 32 and the sacrificial material layer (e.g., control gate electrode or sacrificial material layer) 42 may be in the range of 2 to 1,024, and typically 8 to 256, Can also be used. The upper and lower gate electrodes in the stack may function as select gate electrodes. In one embodiment, each sacrificial material layer 42 in alternating stacks 32 and 42 may have a uniform thickness that is substantially constant within each respective sacrificial material layer 42.

본 개시내용이 제2 재료 층들이 희생 재료 층들로서 형성되는 일 실시예를 이용하여 기술되지만, 제2 재료 층들이 전기 전도성 층들로서 형성될 수 있다는 것이 이해된다. 이 경우에, 희생 재료 층들을 전기 전도성 층들로 대체하기 위해 이용되는 처리 단계들이 생략될 수 있다.It is understood that although the present disclosure is described using an embodiment in which the second material layers are formed as sacrificial material layers, the second material layers may be formed as electrically conductive layers. In this case, the processing steps used to replace the sacrificial material layers with the electrically conductive layers may be omitted.

교번 스택(32, 42)의 상부 단부가 절연 층(32)의 인스턴스로 끝날 수 있다. 대안적으로, 교번 스택(32, 42)의 상부 단부가 희생 재료 층(42)의 인스턴스로 끝날 수 있고, 보다 큰 두께를 갖는 절연 캡 층(70)이 교번 스택(32, 42) 위에 형성될 수 있다. 절연 캡 층(70)은 절연 층들(32)과 동일한 조성을 가질 수 있고, 절연 층들(32)보다 더 큰 두께를 가질 수 있다. 절연 캡 층(70)은, 예를 들어, 화학적 기상 퇴적에 의해 퇴적될 수 있다. 일 실시예에서, 절연 캡 층(70)은 실리콘 산화물 층일 수 있다. 컷아웃 영역의 수평 하단 표면 아래에 있는 요소들을 예시하기 위해 교번 스택(32, 42) 및 절연 캡 층(70)이 컷아웃 영역에 도시되어 있지 않다.The upper end of the alternating stacks 32 and 42 may end with an instance of the insulating layer 32. Alternatively, the upper end of the alternating stacks 32 and 42 may end with an instance of a sacrificial material layer 42, and an insulating cap layer 70 with a greater thickness may be formed on the alternating stacks 32 and 42 . The insulating cap layer 70 may have the same composition as the insulating layers 32 and may have a greater thickness than the insulating layers 32. The insulating cap layer 70 may be deposited, for example, by chemical vapor deposition. In one embodiment, the insulating cap layer 70 may be a silicon oxide layer. Alternate stacks 32 and 42 and insulating cap layer 70 are not shown in the cutout region to illustrate the elements beneath the lower bottom surface of the cutout area.

단차가 있는 캐비티들(도시되지 않음) 및 역단차가 있는 유전체 재료 부분들(도시되지 않음)이 제1 실시예에서와 동일한 방법들을 이용하여 교번 스택(32, 42) 상에 형성될 수 있다. 유전체 지지 필라들이 제1 실시예에서와 같이 형성될 수 있다.Cavities (not shown) with stepped portions and dielectric material portions (not shown) with inverted steps can be formed on alternate stacks 32 and 42 using the same methods as in the first embodiment. Dielectric support pillars may be formed as in the first embodiment.

도 24를 참조하면, 적어도 포토레지스트 층을 포함하는 리소그래피 재료 층(도시되지 않음)이 절연 캡 층(70) 위에 형성될 수 있고, 그에 개구부들을 형성하기 위해 리소그래피 방식으로 패터닝될 수 있다. 리소그래피 재료 층에서의 패턴이 비등방성 에칭 공정에 의해 절연 캡 층(70)을 관통하여 그리고 교번 스택(32, 42) 전체를 관통하여 그리고 지지 페데스탈 구조물들(156) 및 희생 재료 부분(151) 내로 전사될 수 있다. 교번 스택(32, 42) 그리고 지지 페데스탈 구조물들(156) 및 희생 재료 부분(151)을 포함하는 층의 상부 부분이 패터닝된 리소그래피 재료 층에서의 개구부들 아래에 있는 구역들에서 비등방성 에칭 공정 동안 제거된다. 일 실시예에서, 메모리 개구부들(49)의 하단 표면들은 소스 전도성 층(140)의 상단 표면으로부터 수직으로 이격될 수 있다. 교번 스택(32, 42)의 재료들을 관통하여 에칭하기 위해 이용되는 비등방성 에칭 공정의 화학작용은 교번 스택(32, 42) 내의 제1 및 제2 재료들의 에칭을 최적화하도록 교대로 일어날 수 있다. 비등방성 에칭은, 예를 들어, 일련의 반응성 이온 에칭들일 수 있다. 메모리 개구부들(49)의 측벽들은 실질적으로 수직일 수 있거나, 테이퍼질 수 있다. 패터닝된 리소그래피 재료 스택은, 예를 들어, 애싱에 의해 차후에 제거될 수 있다.Referring to Figure 24, a lithographic material layer (not shown) comprising at least a photoresist layer may be formed over the insulating cap layer 70 and patterned in a lithographic fashion to form openings therein. A pattern in the lithographic material layer is formed through the insulating cap layer 70 by an anisotropic etch process and through the entire alternate stack 32 and 42 and into the support pedestal structures 156 and into the sacrificial material portion 151 Can be transferred. The alternate stacks 32 and 42 and the upper portion of the layer comprising the support pedestal structures 156 and the sacrificial material portion 151 are etched in the regions below the openings in the patterned lithographic material layer during an anisotropic etching process Removed. In one embodiment, the bottom surfaces of the memory openings 49 may be vertically spaced from the top surface of the source conductive layer 140. The chemistry of the anisotropic etch process used to etch through the materials of the alternating stacks 32 and 42 may alternately occur to optimize the etching of the first and second materials in alternating stacks 32 and 42. The anisotropic etch may be, for example, a series of reactive ion etches. The sidewalls of the memory openings 49 may be substantially vertical or may be tapered. The patterned lithographic material stack may be subsequently removed, for example, by ashing.

일 실시예에서, 메모리 개구부들(49) 각각은 각자의 지지 페데스탈 구조물(156)의 일부분 및 각자의 희생 재료 부분(151)의 일부분을 관통하여 연장될 수 있다. 일 실시예에서, 메모리 개구부들(49)은 제1 수평 방향(hd1)을 따라 연장되는 행들로 배열될 수 있다. 메모리 개구부들(49)의 행들은 제2 수평 방향(hd2)을 따라 측방으로 이격될 수 있다. 행 내의 각각의 메모리 개구부(49)가 지지 페데스탈 구조물(156)과 희생 재료 부분(151)의 이웃하는 쌍을 스트래들(straddle)하도록, 메모리 개구부들(49)의 각각의 행의 위치가 선택될 수 있다.In one embodiment, each of the memory openings 49 may extend through a portion of each of the support pedestal structures 156 and a portion of its respective sacrificial material portion 151. In one embodiment, the memory openings 49 may be arranged in rows extending along the first horizontal direction hd1. The rows of memory openings 49 may be laterally spaced along the second horizontal direction hd2. The position of each row of memory openings 49 is selected such that each memory opening 49 in the row straddles a neighboring pair of support pedestal structure 156 and sacrificial material portion 151. [ .

지지 페데스탈 구조물들(156) 및 희생 재료 부분들(151)의 재료들이 메모리 개구부들(49)의 형성 동안 부분적으로 제거된다. 일 실시예에서, 메모리 개구부들(49)은 원, 타원, 초타원, 또는 수평 단면 형상의 기하학적 중심 쪽으로 오목한 표면들을 제공하는 닫힌 도형(closed shape)의 수평 단면 형상을 갖는 대체로 원통형인 형상을 가질 수 있다. 본원에서 사용되는 바와 같이, 요소의 "기하학적 중심"은 요소와 동일한 형상 및 위치를 가지며 전체에 걸쳐 균일한 밀도를 갖는 가설적 객체(hypothetical object)의 질량 중심이다. 이 경우에, 메모리 개구부들(49)의 형성 동안 오목 측벽들이 지지 페데스탈 구조물들(156) 상에 형성될 수 있고 부가의 오목 측벽들이 희생 재료 부분들(151) 상에 형성될 수 있다. 지지 페데스탈 구조물들(156) 및 희생 재료 부분들(151) 상의 각각의 오목 측벽은 실질적으로 수직일 수 있다. 본원에서 사용되는 바와 같이, "딤플형 측벽(dimpled sidewall)"은, 동일한 수직 평면 내에 위치되고 오목 측벽들과 인접한 평면 측벽들을 포함하는, 연속적인 측벽들의 세트를 지칭한다. 지지 페데스탈 구조물들(156) 각각은 한 쌍의 딤플형 측벽들을 포함할 수 있으며, 각각의 딤플형 측벽은 각자의 오목 수직 측벽들과 인접한 각자의 평면 수직 측벽들을 포함한다. 마찬가지로, 희생 재료 부분들(151) 각각은 한 쌍의 딤플형 측벽들을 포함할 수 있으며, 각각의 딤플형 측벽은 각자의 오목 수직 측벽들과 인접한 각자의 평면 수직 측벽들을 포함한다.The materials of the support pedestal structures 156 and sacrificial material portions 151 are partially removed during formation of the memory openings 49. [ In one embodiment, the memory openings 49 have a generally cylindrical shape with a horizontal cross-sectional shape of a closed shape providing recessed surfaces towards the geometric center of the circle, ellipse, . As used herein, a "geometric center" of an element is the center of mass of a hypothetical object having the same shape and location as the element and having a uniform density throughout. In this case, concave sidewalls may be formed on the support pedestal structures 156 and additional concave sidewalls may be formed on the sacrificial material portions 151 during formation of the memory openings 49. [ Each concave sidewall on the support pedestal structures 156 and sacrificial material portions 151 may be substantially vertical. As used herein, "dimpled sidewall" refers to a set of continuous side walls that are located in the same vertical plane and that include the planar side walls adjacent the concave side walls. Each of the support pedestal structures 156 may include a pair of dimpled sidewalls, each dimpled sidewall including respective planar vertical sidewalls adjacent to the respective concave vertical sidewalls. Likewise, each of the sacrificial material portions 151 may include a pair of dimpled sidewalls, each dimpled sidewall including respective planar vertical sidewalls adjacent to their respective concave vertical sidewalls.

메모리 개구부들(49) 각각은 기판 반도체 층(8)의 최상단 표면에 실질적으로 수직으로 연장되는 측벽(또는 복수의 측벽들)을 포함할 수 있다. 메모리 개구부들(49)의 어레이가 형성되는 영역은 본원에서 메모리 어레이 영역이라고 지칭된다. 메모리 개구부들(49) 각각은 30 nm 내지 120 nm의 범위에 있는 (직경 또는 장축(major axis)과 같은) 측방 치수를 가질 수 있지만, 보다 작은 측방 치수들 및 보다 큰 측방 치수들이 또한 이용될 수 있다.Each of the memory openings 49 may comprise a sidewall (or a plurality of sidewalls) extending substantially perpendicular to the top surface of the substrate semiconductor layer 8. The area in which the array of memory openings 49 is formed is referred to herein as the memory array area. Each of the memory openings 49 may have a lateral dimension (such as a diameter or major axis) in the range of 30 nm to 120 nm, although smaller lateral dimensions and larger lateral dimensions may also be used have.

도 25를 참조하면, 메모리 막(50)이 한 세트의 컴포넌트 층들의 순차적 퇴적에 의해 각각의 메모리 개구부(49) 내에 형성될 수 있다. 한 세트의 컴포넌트 층들은, 각각의 메모리 개구부(49)에서 외부로부터 내부로 그리고 순차적 퇴적의 순서로, 임의적인 차단 유전체 층(52), 전하 저장 요소 층(54), 및 터널링 유전체 층(56)을 포함할 수 있다.Referring to Figure 25, a memory film 50 may be formed in each memory opening 49 by sequential deposition of a set of component layers. A set of component layers are deposited on the sacrificial dielectric layer 52, the charge storage element layer 54, and the tunneling dielectric layer 56, in an order from outside to inside and in sequential deposition at each memory opening 49. [ . ≪ / RTI >

구체적으로는, 차단 유전체 층(52)은, 실리콘 산화물, 유전체 금속 산화물, 또는 이들의 조합일 수 있는, 적어도 하나의 유전체 재료를 포함한다. 일 실시예에서, 차단 유전체 층(52)은 7.9 초과의 유전 상수를 갖는 유전체 금속 산화물을 포함할 수 있다. 그에 부가하여 또는 대안적으로, 차단 유전체 층(52)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 또는 이들의 조합을 포함할 수 있다. 일 실시예에서, 차단 유전체 층(52)은 알루미늄 산화물 및 실리콘 산화물의 스택을 포함할 수 있다. 차단 유전체 층(52)의 두께는 1 nm 내지 30 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.Specifically, blocking dielectric layer 52 comprises at least one dielectric material, which may be silicon oxide, a dielectric metal oxide, or a combination thereof. In one embodiment, the blocking dielectric layer 52 may comprise a dielectric metal oxide having a dielectric constant of greater than 7.9. Additionally or alternatively, blocking dielectric layer 52 may comprise silicon oxide, silicon oxynitride, silicon nitride, or a combination thereof. In one embodiment, the blocking dielectric layer 52 may comprise a stack of aluminum oxide and silicon oxide. The thickness of the blocking dielectric layer 52 may range from 1 nm to 30 nm, but smaller thicknesses and larger thicknesses may also be used.

전하 저장 요소 층(54)은, 예를 들어, 실리콘 질화물일 수 있는, 유전체 전하 트래핑 재료를 포함하는 전하 트래핑 재료의 단일 층을 포함할 수 있다. 대안적으로, 전하 저장 요소 층(54)은, 예를 들어, 희생 재료 층들(42) 내로의 측방 리세스들 내에 형성되는 것에 의해 다수의 전기적으로 격리된 부분들(예컨대, 플로팅 게이트들)로 패터닝되는 도핑된 폴리실리콘 또는 금속 재료와 같은 전도성 재료를 포함할 수 있다.The charge storage element layer 54 may comprise a single layer of a charge trapping material, including a dielectric charge trapping material, which may be, for example, silicon nitride. Alternatively, the charge storage element layer 54 may be formed as a plurality of electrically isolated portions (e. G., Floating gates) by being formed in the lateral recesses into, for example, Or a conductive material such as doped polysilicon or metal material to be patterned.

대안적으로, 전하 저장 요소 층(54)이 균질한 조성의 단일 메모리 재료 층으로서 형성될 수 있거나, 다수의 메모리 재료 층들의 스택을 포함할 수 있다. 다수의 메모리 재료 층들은, 이용되는 경우, 전도성 재료들(예컨대, 텅스텐, 몰리브덴, 탄탈륨, 티타늄, 백금, 루테늄, 및 이들의 합금과 같은 금속, 또는 텅스텐 실리사이드, 몰리브덴 실리사이드, 탄탈륨 실리사이드, 티타늄 실리사이드, 니켈 실리사이드, 코발트 실리사이드, 또는 이들의 조합과 같은 금속 실리사이드) 및/또는 반도체 재료들(예컨대, 적어도 하나의 원소 반도체 요소 또는 적어도 하나의 화합물 반도체 재료를 포함하는 다결정 또는 비정질 반도체 재료)을 함유하는 복수의 이격된 플로팅 게이트 재료 층들을 포함할 수 있다. 일 실시예에서, 전하 저장 요소 층(54)은 실리콘 질화물 층을 포함한다. 대안적으로 또는 그에 부가하여, 전하 저장 요소 층(54)은, 하나 이상의 실리콘 질화물 세그먼트와 같은, 절연성 전하 트래핑 재료를 포함할 수 있다. 대안적으로, 전하 저장 요소 층(54)은, 예를 들어, 루테늄 나노입자들일 수 있는, 금속 나노입자들과 같은 전도성 나노입자들을 포함할 수 있다. 전하 저장 요소 층(54)이, 예를 들어, 화학적 기상 퇴적(CVD), 원자 층 퇴적(ALD), 물리적 기상 퇴적(PVD), 또는 전하들을 그에 저장하기 위한 임의의 적당한 퇴적 기법에 의해 형성될 수 있다. 전하 저장 요소 층(54)의 두께는 2 nm 내지 20 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.Alternatively, the charge storage element layer 54 may be formed as a single memory material layer of homogeneous composition, or it may comprise a stack of multiple memory material layers. The plurality of memory material layers, when utilized, may be formed of a material such as conductive materials (e.g., a metal such as tungsten, molybdenum, tantalum, titanium, platinum, ruthenium, and alloys thereof, or tungsten silicide, molybdenum silicide, tantalum silicide, (E.g., a metal silicide such as nickel silicide, nickel silicide, cobalt silicide, or combinations thereof) and / or semiconductor materials (e.g., polycrystalline or amorphous semiconductor material comprising at least one element semiconductor element or at least one compound semiconductor material) Spaced apart floating gate material layers. In one embodiment, the charge storage element layer 54 comprises a silicon nitride layer. Alternatively or additionally, the charge storage element layer 54 may comprise an insulating charge trapping material, such as one or more silicon nitride segments. Alternatively, the charge storage element layer 54 may comprise conductive nanoparticles, such as metal nanoparticles, which may be, for example, ruthenium nanoparticles. The charge storage element layer 54 may be formed by any suitable deposition technique for depositing, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD) . The thickness of the charge storage element layer 54 may range from 2 nm to 20 nm, but smaller thicknesses and larger thicknesses may also be used.

터널링 유전체 층(56)은 유전체 재료를 포함하고, 이를 통해 적당한 전기 바이어스 조건들 하에서 전하 터널링이 수행될 수 있다. 터널링 유전체 층(56)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, (알루미늄 산화물 및 하프늄 산화물과 같은) 유전체 금속 산화물들, 유전체 금속 산질화물, 유전체 금속 실리케이트들, 이들의 합금들, 및/또는 이들의 조합들을 포함할 수 있다. 일 실시예에서, 터널링 유전체 층(56)은, ONO 스택이라고 흔히 알려져 있는, 제1 실리콘 산화물 층, 실리콘 산질화물 층, 및 제2 실리콘 산화물 층의 스택을 포함할 수 있다. 일 실시예에서, 터널링 유전체 층(56)은 실질적으로 탄소가 없는 실리콘 산화물 층 또는 실질적으로 탄소가 없는 실리콘 산질화물 층을 포함할 수 있다. 터널링 유전체 층(56)의 두께는 2 nm 내지 20 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.Tunneling dielectric layer 56 includes a dielectric material through which charge tunneling may be performed under appropriate electrical bias conditions. The tunneling dielectric layer 56 may be formed of a material selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, dielectric metal oxides (such as aluminum and hafnium oxide), dielectric metal oxynitride, dielectric metal silicates, alloys thereof, and / / RTI > In one embodiment, the tunneling dielectric layer 56 may comprise a stack of a first silicon oxide layer, a silicon oxynitride layer, and a second silicon oxide layer, commonly known as ONO stacks. In one embodiment, the tunneling dielectric layer 56 may comprise a substantially carbon-free silicon oxide layer or a substantially carbon-free silicon oxynitride layer. The thickness of the tunneling dielectric layer 56 may range from 2 nm to 20 nm, but smaller thicknesses and larger thicknesses may also be used.

메모리 막(50)은 각각의 지지 페데스탈 구조물(156)의 측벽 및 리세싱된 수평 표면 바로 위에 그리고 각각의 희생 재료 부분(151)의 측벽 및 리세싱된 수평 표면 바로 위에 연속적인 층 스택으로서 형성될 수 있다.The memory film 50 is formed as a continuous layer stack above the sidewalls and recessed horizontal surfaces of each support pedestal structure 156 and directly over the sidewalls and recessed horizontal surfaces of each sacrificial material portion 151 .

반도체 채널 층(60L)이 메모리 막(50) 상에 퇴적될 수 있다. 반도체 채널 층(60L)은 적어도 하나의 원소 반도체 재료, 적어도 하나의 III-V족 화합물 반도체 재료, 적어도 하나의 II-VI족 화합물 반도체 재료, 적어도 하나의 유기 반도체 재료, 또는 본 기술분야에 공지된 다른 반도체 재료들과 같은 반도체 재료를 포함한다. 일 실시예에서, 반도체 채널 층(60L)은 비정질 실리콘 또는 폴리실리콘을 포함한다. 반도체 채널 층(60L)은 저압 화학적 기상 퇴적(LPCVD)과 같은 컨포멀 퇴적 방법에 의해 형성될 수 있다. 반도체 채널 층(60L)의 두께는 2 nm 내지 10 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.The semiconductor channel layer 60L may be deposited on the memory film 50. [ The semiconductor channel layer 60L may comprise at least one elemental semiconductor material, at least one Group III-V compound semiconductor material, at least one Group II-VI compound semiconductor material, at least one organic semiconductor material, And other semiconductor materials. In one embodiment, the semiconductor channel layer 60L comprises amorphous silicon or polysilicon. The semiconductor channel layer 60L may be formed by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD). Thickness of the semiconductor channel layer 60L may be in the range of 2 nm to 10 nm, but smaller thicknesses and larger thicknesses may also be used.

반도체 채널 층(60L)의 남아 있는 수직 부분이 반도체 채널(60)을 형성하도록 반도체 채널 층(60L)이 이 시점에서 또는 공정 중의 나중의 시점에서 교번 스택 위쪽으로부터 제거될 수 있다. 마찬가지로, 메모리 막(50)의 층들이 이 시점에서 또는 공정 중의 나중의 시점에서 메모리 스택의 상단 표면으로부터 제거될 수 있다. 드레인 영역(63)이 이 시점에서 또는 공정 중의 나중의 시점에서 반도체 채널(60)의 상단에 형성될 수 있다. 동일한 메모리 개구부(49) 내의 메모리 막(50)의 일부분 및 반도체 채널 층(60L)의 일부분이 메모리 스택 구조물(50, 60)을 구성한다. 각각의 메모리 스택 구조물(50, 60)은 메모리 막(50)의 수직 부분 및 반도체 채널 층(60L)의 수직 부분을 포함한다. 캐비티가 퇴적된 재료 층들(52, 54, 56, 60L)로 채워지지 않은 각각의 메모리 개구부(49)의 체적 내에 존재할 수 있다.The semiconductor channel layer 60L may be removed from above the alternate stack at this point in time or at a later point in the process so that the remaining vertical portion of the semiconductor channel layer 60L forms the semiconductor channel 60. [ Likewise, the layers of the memory film 50 may be removed from the top surface of the memory stack at this point or at a later point in the process. A drain region 63 may be formed at this point or at the top of the semiconductor channel 60 at a later point in the process. A portion of the memory film 50 in the same memory opening 49 and a portion of the semiconductor channel layer 60L constitute the memory stack structures 50 and 60. [ Each memory stack structure 50,60 includes a vertical portion of the memory film 50 and a vertical portion of the semiconductor channel layer 60L. The cavity may be in a volume of each memory opening 49 that is not filled with the deposited material layers 52, 54, 56, 60L.

메모리 개구부들(49) 내의 캐비티들에 유전체 재료를 퇴적시키는 것, 퇴적된 유전체 재료의 수평 부분들을 교번 스택(32, 42) 위쪽으로부터 제거하는 것, 및 퇴적된 유전체 재료를 수직으로 리세싱하는 것에 의해 유전체 코어(62)가 각각의 메모리 개구부(49) 내에 형성될 수 있다. 유전체 재료의 각각의 남아 있는 부분은 유전체 코어(62)를 구성한다.Depositing a dielectric material in the cavities in the memory openings 49, removing the horizontal portions of the deposited dielectric material from above the alternating stacks 32 and 42, and vertically recessing the deposited dielectric material A dielectric core 62 may be formed in each memory opening 49. [ Each remaining portion of the dielectric material constitutes a dielectric core 62.

도 26을 참조하면, 포토레지스트 층(도시되지 않음)이 절연 캡 층(70) 위에(예컨대, 반도체 채널 층(60L)이 교번 스택의 상단 위에 여전히 존재하는 경우 반도체 채널 층(60L)의 수평 부분 상에) 도포될 수 있고, 제2 수평 방향(hd2)을 따라 연장되는 적어도 하나의 가늘고 긴 개구부들을 형성하도록 리소그래피 방식으로 패터닝된다. 적어도 하나의 배면 콘택트 트렌치(79)를 형성하기 위해, 포토레지스트 층에서의 패턴이 교번 스택(32, 42) 위에 있는 퇴적된 재료 층들(52, 54, 56, 60L)(여전히 존재하는 경우)의 수평 부분, 절연 캡 층(70), 교번 스택(32, 42), 그리고 지지 페데스탈 구조물들(156) 및 희생 재료 부분들(151)을 포함하는 층을 관통하여 전사될 수 있다. 적어도 하나의 배면 콘택트 트렌치(79)의 일반적인 패턴은 제2 예시적인 구조물에서 유전체 필라들(20)이 지지 페데스탈 구조물들(156) 및 희생 재료 부분들(151)의 조합으로 대체된 것을 제외하고는 도 12b에 예시된 것과 동일할 수 있다.26, a photoresist layer (not shown) is formed on the insulating cap layer 70 (e.g., when the semiconductor channel layer 60L is still above the top of the alternating stack) And is patterned lithographically to form at least one elongated openings extending along the second horizontal direction hd2. A pattern in the photoresist layer is deposited over the stacked material layers 52, 54, 56, 60L (if still present) over alternating stacks 32, 42 to form at least one back contact trench 79 May be transferred through a layer comprising a horizontal portion, an insulating cap layer 70, alternating stacks 32 and 42, and support pedestal structures 156 and sacrificial material portions 151. The general pattern of the at least one back contact trench 79 is similar to that of the first embodiment except that in the second exemplary structure the dielectric pillars 20 are replaced by a combination of support pedestal structures 156 and sacrificial material portions 151 May be the same as that illustrated in Fig. 12B.

적어도 하나의 배면 콘택트 트렌치(79)는 교번 스택(32, 42)을 관통하여 그리고 지지 페데스탈 구조물들(156) 및 희생 재료 부분들(151) 내로 연장된다. 적어도 하나의 배면 콘택트 트렌치(79)는 기존의 지지 페데스탈 구조물들(156) 및 희생 재료 부분들(151)의 서브세트 또는 그 각각을 다수의 부분들로 분할할 수 있다. 적어도 하나의 배면 콘택트 트렌치(79)에 의해 분할되는 바와 같은, 지지 페데스탈 구조물들(156) 및 희생 재료 부분들(151)의 측벽들이 적어도 하나의 배면 콘택트 트렌치(79)의 형성 시에 물리적으로 노출된다.At least one back contact trench 79 extends through the alternating stacks 32 and 42 and into the support pedestal structures 156 and the sacrificial material portions 151. At least one back contact trench 79 may divide the existing support pedestal structures 156 and a subset of sacrificial material portions 151 or each of them into a plurality of portions. The side pedestal structures 156 and the sidewalls of the sacrificial material portions 151 are physically exposed during the formation of the at least one back contact trench 79, such as by being divided by the at least one back contact trench 79. [ do.

도 27을 참조하면, 지지 페데스탈 구조물들(156), 교번 스택(32, 42), 소스 전도성 층(140), 및 메모리 막(50)의 (차단 유전체 층(52)과 같은) 가장 바깥쪽 층을 제거하지 않으면서 희생 재료 부분들(151)을 제거하는 것에 의해 측방 연장 캐비티들(157)이 형성된다. 일 실시예에서, 교번 스택(32, 42)의 재료들, 및 소스 전도성 층(140), 및 메모리 막(50)의 가장 바깥쪽 층에 대해 선택적으로 그리고 지지 페데스탈 구조물들(156) 및 유전체 라이너들(153) 중 적어도 하나에 대해 선택적으로 희생 재료 부분들(151)의 재료를 에칭하는 에칭제가 이용될 수 있다.27, the outermost layers (such as intercept dielectric layer 52) of support pedestal structures 156, alternating stacks 32 and 42, source conductive layer 140, and memory film 50 The side extension cavities 157 are formed by removing the sacrificial material portions 151 without removing the sacrificial material portions 151. [ In one embodiment, the alternate stacks 32 and 42 and the source conductive layer 140 and the outermost layers of the memory film 50 are selectively and selectively coupled to the pedestal structures 156 and the dielectric liner An etchant may be used that selectively etches the material of the sacrificial material portions 151 for at least one of the sacrificial material portions 151. [

일 실시예에서, 희생 재료 부분들(151)은 도핑되지 않은 반도체 재료를 포함할 수 있고, 지지 페데스탈 구조물들(156)은 1x1019 /cm3 초과의 도펀트 농도를 갖는 고농도로 도핑된 반도체 재료를 포함할 수 있다. 이 경우에, 도핑되지 않은 반도체 재료를 도핑된 반도체 재료에 대해 선택적으로 제거하는 습식 에칭 공정을 이용하여 지지 페데스탈 구조물들(156)을 제거하지 않으면서 희생 재료 부분들(151)이 제거될 수 있다. 일 실시예에서, 도핑된 반도체 재료는 1.0 x 1019/cm3 초과(1.0 x 1020/cm3 초과 등) 의 원자 농도로 붕소를 포함하는 붕소 도핑된 비정질 실리콘과 같은 p-도핑된 실리콘 함유 재료를 포함하고, 도핑되지 않은 반도체 재료는 (도핑되지 않은 비정질 실리콘과 같은) 도핑되지 않은 실리콘 함유 재료를 포함하며, 습식 에칭 공정은 트리메틸-2 하이드록시에틸 수산화 암모늄(TMY)을 포함하는 용액을 에칭제로서 이용한다. 트리메틸-2 하이드록시에틸 수산화 암모늄(TMY)은 도핑되지 않은 실리콘을 붕소 도핑된 실리콘에 대해 높은 선택도로 에칭한다.In one embodiment, the sacrificial material portions 151 may comprise undoped semiconductor material, and the support pedestal structures 156 may include a heavily doped semiconductor material having a dopant concentration greater than 1 x 10 19 / cm 3 . In this case, the sacrificial material portions 151 can be removed without removing the support pedestal structures 156 using a wet etch process that selectively removes undoped semiconductor material relative to the doped semiconductor material . In one embodiment, the doped semiconductor material is a p-doped silicon-containing material such as boron-doped amorphous silicon containing boron at an atomic concentration greater than 1.0 x 10 19 / cm 3 (such as greater than 1.0 x 10 20 / cm 3 ) Material, and the undoped semiconductor material comprises an undoped silicon-containing material (such as amorphous silicon that is not doped), and the wet etch process comprises depositing a solution comprising trimethyl-2hydroxyethyl ammonium hydroxide (TMY) And is used as an etching agent. Trimethyl-2-hydroxyethyl ammonium hydroxide (TMY) etches undoped silicon at a high selectivity for boron doped silicon.

유전체 라이너들(153)이 제2 예시적인 구조물에 존재하는 경우에, 유전체 라이너(153)의 측벽들은 (습식 에칭과 같은) 등방성 에칭에 의해 임의로 제거될 수 있다. 유전체 라이너(153)가 실리콘 질화물을 포함하는 경우, 유전체 라이너(153)의 측벽들은 인산을 이용하는 습식 에칭에 의해 제거될 수 있다. 유전체 라이너(153)의 수평 부분이 각각의 지지 페데스탈 구조물(156)과 소스 전도성 층(140) 사이에 남아 있도록 에칭 공정의 지속시간이 선택될 수 있다. 대안적으로, 유전체 라이너들(153)이 실질적으로 그대로 남아 있을 수 있다. 이 경우에, 수평 부분 및 수평 부분의 에지들로부터 위쪽으로 연장되는 한 쌍의 수직 부분들을 갖는 U자 형상의 유전체 라이너(153)가 각각의 지지 페데스탈 구조물(156) 상에 존재할 수 있다. 대안적으로, 유전체 라이너(153)가 도 21의 처리 단계들에서 형성되지 않을 수 있다. 이 경우에, 지지 페데스탈 구조물들(156)은 소스 전도성 층(140)의 상단 표면과 접촉할 수 있다.In the case where dielectric liner 153 is present in the second exemplary structure, the sidewalls of dielectric liner 153 may optionally be removed by isotropic etching (such as wet etching). If the dielectric liner 153 comprises silicon nitride, the sidewalls of the dielectric liner 153 may be removed by wet etching using phosphoric acid. The duration of the etching process can be selected such that the horizontal portion of the dielectric liner 153 remains between each support pedestal structure 156 and the source conductive layer 140. Alternatively, dielectric liner 153 may remain substantially intact. In this case, a U-shaped dielectric liner 153 having a horizontal portion and a pair of vertical portions extending upward from the edges of the horizontal portion may be present on each support pedestal structure 156. Alternatively, a dielectric liner 153 may not be formed in the processing steps of FIG. In this case, the support pedestal structures 156 may contact the top surface of the source conductive layer 140.

도 28 및 도 29를 참조하면, 측방 연장 캐비티들(157)에 물리적으로 노출된 메모리 막(50)의 부분들은, 지지 페데스탈 구조물들(156)과 접촉하는 메모리 막(50)의 부분들은 제거하지 않으면서, 반도체 채널 층(60L)(또는 층(60L)이 교번 스택의 상단으로부터 제거되는 경우 채널(60))에 대해 선택적으로 제거된다. 메모리 막(50)의 물리적으로 노출된 부분들을 제거하기 위해 그리고 (메모리 개구부 내의 반도체 채널 층(60L)의 수직 부분인) 반도체 채널의 각각의 측벽의 하부 부분을 물리적으로 노출시키기 위해 등방성 에칭이 이용될 수 있다. 반도체 채널들과 지지 페데스탈 구조물들(156) 사이의 영역들로부터 메모리 막(50)을 제거하는 것을 방지하기 위해 등방성 에칭의 지속시간이 제어될 수 있다. 측방 연장 캐비티들(157)에 물리적으로 노출되는 메모리 막(50)의 부분들을 제거할 시에 반도체 채널 층(60L)의 측벽들이 물리적으로 노출된다.28 and 29, portions of the memory film 50 that are physically exposed to the side extension cavities 157 may be removed by removing portions of the memory film 50 that are in contact with the support pedestal structures 156 , The semiconductor channel layer 60L (or the channel 60 when the layer 60L is removed from the top of the alternating stack) is selectively removed. Isotropic etching is used to physically expose the lower portion of each side wall of the semiconductor channel (which is the vertical portion of the semiconductor channel layer 60L in the memory opening) and to remove the physically exposed portions of the memory film 50 . The duration of the isotropic etch can be controlled to prevent removal of the memory film 50 from regions between the semiconductor channels and the support pedestal structures 156. The sidewalls of the semiconductor channel layer 60L are physically exposed when parts of the memory film 50 physically exposed to the side extension cavities 157 are removed.

도 30 및 도 31을 참조하면, 도핑된 반도체 재료 층(150L)이 컨포멀 퇴적 공정에 의해 적어도 하나의 배면 콘택트 트렌치(79) 및 측방 연장 캐비티들(157)에 퇴적될 수 있다. 도핑된 반도체 재료 층(150L)은 전도성 재료일 수 있으며, 즉 1.0 x 105 S/cm 초과의 전기 전도율 및 1.0 x 1019/cm3 초과의 도펀트 원자 농도를 갖는 (p-도핑된 실리콘 또는 n-도핑된 실리콘과 같은) 고농도로 도핑된 반도체 재료를 포함할 수 있다. 도핑된 반도체 재료 층(150L)은 단일의 연속적인 구조물로서 형성될 수 있다. 일 실시예에서, 지지 페데스탈 구조물들(156)은 제1 전도성 유형의 도핑을 갖는 제1 반도체 재료를 포함할 수 있고, 도핑된 반도체 재료 층(150L)은 제1 전도성 유형과 반대인 제2 전도성 유형의 도핑을 갖는 제2 반도체 재료를 포함할 수 있다. 제1 전도성 유형은 p-형일 수 있고, 제2 전도성 유형은 n-형일 수 있거나, 그 반대일 수 있다.Referring to FIGS. 30 and 31, a doped semiconductor material layer 150L may be deposited by at least one of the back contact trenches 79 and laterally extending cavities 157 by a conformal deposition process. The doped semiconductor material layer 150L may be a conductive material, that is, a material having a conductivity of greater than 1.0 x 10 5 S / cm and a dopant atom concentration of greater than 1.0 x 10 19 / cm 3 (p-doped silicon or n Doped < / RTI > silicon) doped semiconductor material. The doped semiconductor material layer 150L may be formed as a single continuous structure. In one embodiment, the support pedestal structures 156 may comprise a first semiconductor material having a doping of a first conductivity type, and the doped semiconductor material layer 150L may comprise a second conductivity type < RTI ID = 0.0 >Lt; RTI ID = 0.0 > type < / RTI > doping. The first conductivity type may be p-type, the second conductivity type may be n-type, or vice versa.

측방 연장 캐비티(157)를 채우는 도핑된 반도체 재료 층(150L)의 각각의 부분은 전도성 레일 구조물을 구성한다. 전도성 레일 구조물들 각각은 각자의 오목 수직 측벽들과 인접한 각자의 평면 수직 측벽들을 포함하는 딤플형 측벽들을 포함한다. 전도성 레일 구조물들의 딤플형 측벽들 내의 오목 수직 측벽들은, 메모리 개구부들 내의 반도체 채널 층(60L)의 수직 부분들인, 반도체 채널들의 측벽들과 접촉한다.Each portion of the doped semiconductor material layer 150L filling the lateral extension cavity 157 constitutes a conductive rail structure. Each of the conductive rail structures includes dimpled sidewalls that include their respective concave vertical sidewalls and their respective planar vertical sidewalls adjacent. The concave vertical sidewalls in the dimple-shaped sidewalls of the conductive rail structures are in contact with the sidewalls of the semiconductor channels, which are vertical portions of the semiconductor channel layer 60L in the memory openings.

도핑된 반도체 재료 층(150L)의 수직 부분은 각각의 배면 콘택트 트렌치(79)의 주변부에 존재한다. 도핑된 반도체 재료 층(150L)의 수평 부분은 절연 캡 층(70) 위에 존재한다. 교번 스택(32, 42)을 관통하여 연장되는 수직 연장 캐비티는 각각의 배면 콘택트 트렌치(79) 내에 존재한다.A vertical portion of the doped semiconductor material layer 150L is present at the periphery of each back contact trench 79. [ A horizontal portion of the doped semiconductor material layer 150L is present on the insulating cap layer 70. [ A vertically extending cavity extending through the alternating stacks 32, 42 is present in each back contact trench 79.

유전체 코어들(62) 위에 있는 각각의 수직으로 리세싱된 체적이 반도체 채널 층(60L)의 최상단 표면 위에 있는 도핑된 반도체 재료 층(150L)의 수평 부분으로부터 아래쪽으로 돌출하는 도핑된 반도체 재료 층(150L)의 부분들로 채워질 수 있다. 측방 연장 캐비티들(157)을 채우는 재료와 유전체 코어들(62) 위에 있는 수직으로 리세싱된 체적을 채우는 재료가 동시에 형성될 수 있다.Each vertically recessed volume on the dielectric cores 62 is doped with a doped semiconductor material layer (not shown) that protrudes downward from the horizontal portion of the doped semiconductor material layer 150L on the uppermost surface of the semiconductor channel layer 60L 150L). ≪ / RTI > A material filling the laterally extending cavities 157 and a material filling the vertically recessed volume above the dielectric cores 62 may be formed simultaneously.

도 32를 참조하면, 도핑된 반도체 재료 층(150L)의 부분들이, 예를 들어, 등방성 에칭 또는 비등방성 에칭에 의해 각각의 배면 콘택트 트렌치(79)의 측벽들로부터 그리고 절연 캡 층(70) 위쪽으로부터 에치백된다. 반도체 채널 층(60L)의 수평 부분들 및 절연 캡 층(70) 위에 있는 메모리 막(50)의 수평 부분들 또는 역단차가 있는 유전체 재료 부분(들)(65)이, 적어도 하나의 비등방성 에칭 공정 및/또는 적어도 하나의 등방성 에칭 공정을 포함할 수 있는, 적어도 하나의 에칭 공정에 의해 제거될 수 있다. 예시적인 예에서, 도핑된 반도체 재료 층(150L)의 재료의 부분들을 적어도 하나의 배면 콘택트 트렌치로부터 제거하는 것 및 도핑된 반도체 재료 층(150L) 및 반도체 채널 층(60L)의 재료들을 절연 캡 층(70)의 상단 표면 위쪽으로부터 제거하는 것이 수산화칼륨(KOH)을 이용하는 습식 에칭에 의해 수행될 수 있다.32, portions of the doped semiconductor material layer 150L may be removed from the sidewalls of each back contact trench 79, for example, by isotropic etching or anisotropic etching, . The horizontal portions of the memory film 50 on the horizontal portions of the semiconductor channel layer 60L and the insulating cap layer 70 or the dielectric material portion (s) 65 with the inverted step are removed by at least one anisotropic etch Process and / or at least one isotropic etch process. In an exemplary embodiment, removing portions of the material of the doped semiconductor material layer 150L from the at least one back contact trench and depositing the materials of the doped semiconductor material layer 150L and the semiconductor channel layer 60L, Removing from above the upper surface of the substrate 70 can be performed by wet etching using potassium hydroxide (KOH).

측방 연장 캐비티들(157)의 각각의 체적이, 도핑된 반도체 재료 층(150L)의 남아 있는 부분인, 각자의 전도성 레일 구조물(150)로 채워진다. 반도체 채널 층(60L)의 각각의 남아 있는 수직 부분은 반도체 채널(60)을 구성한다.Each volume of side extension cavities 157 is filled with a respective conductive rail structure 150, which is the remaining portion of the doped semiconductor material layer 150L. Each remaining vertical portion of the semiconductor channel layer 60L constitutes a semiconductor channel 60.

메모리 막(50)의 수평 부분들을 절연 캡 층(70)의 상단 표면 위쪽으로부터 제거하는 것이 메모리 막(50) 내의 컴포넌트 층들을 순차적으로 제거하는 일련의 습식 에칭 공정들에 의해 수행될 수 있다. 메모리 막(50)이 다수의 메모리 막들(50)로 분할되고, 각각의 메모리 막은 전적으로 각자의 메모리 개구부 내에 위치된다. 각자의 메모리 개구부 내의 각각의 메모리 막(50)은 절연 캡 층(70)의 상단 표면으로부터 소스 전도성 층(140) 내로 연속적으로 연장될 수 있고, 전도성 레일 구조물들(150) 및 지지 페데스탈 구조물들(156)의 레벨에 개구부를 포함한다. 전도성 레일 구조물(150)은 전도성 레일 구조물의 레벨에 있는 메모리 막(50) 내의 개구부를 통해 메모리 막(50) 내의 반도체 채널(60)의 측벽과 접촉한다. 메모리 막(50) 내의 개구부는 메모리 막(50)의 한쪽 측면에만 위치되고, 메모리 막의 다른 쪽 측면은 절연 캡 층(70)의 상단 표면으로부터 소스 전도성 층(140)의 리세싱된 수평 표면과 접촉하는 메모리 막(50)의 수평 하단 표면까지 계속하여 연장된다.Removing the horizontal portions of the memory film 50 from above the top surface of the insulating cap layer 70 may be performed by a series of wet etch processes that sequentially remove the component layers within the memory film 50. The memory film 50 is divided into a plurality of memory films 50, and each memory film is located entirely within its respective memory opening. Each memory film 50 in its memory opening may extend continuously from the top surface of the insulating cap layer 70 into the source conductive layer 140 and may include conductive rail structures 150 and support pedestal structures & 156 at the level of the opening. The conductive rail structure 150 contacts the sidewalls of the semiconductor channel 60 in the memory film 50 through openings in the memory film 50 at the level of the conductive rail structure. The openings in the memory film 50 are located only on one side of the memory film 50 and the other side of the memory film contacts the recessed horizontal surface of the source conductive layer 140 from the top surface of the insulating cap layer 70 To the horizontal bottom surface of the memory film 50, which is the bottom surface of the memory film 50.

각각의 인접하는 반도체 채널(60)과 메모리 막(50)의 쌍은 메모리 스택 구조물(55)을 구성한다. 각각의 메모리 스택 구조물(55)은 메모리 개구부(49) 내에 위치되고, 교번 스택(32, 42)을 관통하여 수직으로 연장된다. 각각의 반도체 채널(60)은 수직 전계 효과 트랜지스터(vertical field effect transistor)의 채널이다. 각각의 전도성 레일 구조물(150)은 각자의 전도성 레일 구조물(150)에 바로 인접하는 반도체 채널들(60)을 포함하는 복수의 수직 전계 효과 트랜지스터들(예컨대, NAND 스트링들)에 대한 공통 소스 영역일 수 있다. 각각의 희생 재료 부분(151)이 전도성 레일 구조물(150)로 대체될 수 있다.Each adjacent semiconductor channel 60 and the pair of memory films 50 constitute a memory stack structure 55. Each memory stack structure 55 is located within the memory opening 49 and extends vertically through alternating stacks 32 and 42. Each semiconductor channel 60 is a channel of a vertical field effect transistor. Each conductive rail structure 150 is a common source region for a plurality of vertical field effect transistors (e.g., NAND strings) including semiconductor channels 60 immediately adjacent to their respective conductive rail structures 150 . Each sacrificial material portion 151 can be replaced with a conductive rail structure 150. [

각각의 유전체 코어(62) 위쪽의 도핑된 반도체 재료 층(150L)의 각각의 남아 있는 부분은 각자의 반도체 채널(60)의 상단부와 접촉하고, 드레인 영역(63)을 구성한다. 전도성 레일 구조물들(150) 및 드레인 영역들(63) 각각은 동일한 전도성 유형의 도핑을 가질 수 있고, 1.0 x 1020/cm3 초과와 같은, 1.0 x 1019/cm3 초과일 수 있는, 동일한 원자 농도로 동일한 전기 도펀트를 포함할 수 있다. 따라서, 전도성 레일 구조물들(150) 및 드레인 영역들(63)이 동일한 세트의 처리 단계들을 이용하여 동시에 형성될 수 있다. 반도체 채널들(60)이 도핑되지 않을 수 있거나, 전도성 레일 구조물들(150) 및 드레인 영역들(63)의 전도성 유형의 반대 전도성 유형의 도핑을 가질 수 있다. 대안적으로, 드레인 영역들(63) 및 채널(60)은 앞서 기술된 바와 같이 공정에서 보다 일찍 형성된다.Each remaining portion of the doped semiconductor material layer 150L above each dielectric core 62 contacts the top of each of the semiconductor channels 60 and constitutes a drain region 63. The conductive rail structures 150 and a drain region 63, each of which may have a doping of the same conductivity type, 1.0 x 10 such as 20 / cm 3 greater than, 1.0 x 10 19 / cm 3 in excess water, the same in one Can contain the same electrical dopant at atomic concentration. Thus, the conductive rail structures 150 and drain regions 63 can be formed simultaneously using the same set of processing steps. The semiconductor channels 60 may not be doped or may have a doping of the opposite conductivity type of the conductive type of conductive rail structures 150 and drain regions 63. [ Alternatively, the drain regions 63 and channel 60 are formed earlier in the process as described above.

도 33을 참조하면, 희생 재료 층들(42)의 제2 재료를 절연 층들(32)의 제1 재료에 대해 선택적으로 에칭하는 에칭제가, 예를 들어, 에칭 공정을 이용하여 적어도 하나의 배면 트렌치(79) 내로 유입될 수 있다. 희생 재료 층들(42)이 제거되는 체적들에 배면 리세스들(43)이 형성된다. 희생 재료 층들(42)의 제2 재료의 제거가 절연 층들(32)의 제1 재료, 적어도 하나의 유전체 지지 필라(7P)의 재료, 역단차가 있는 유전체 재료 부분(65)의 재료, 전도성 레일 구조물들(150)의 도핑된 반도체 재료, 소스 전도성 층(140)의 재료, 및 (차단 유전체 층(52)과 같은) 메모리 막들(50)의 가장 바깥쪽 층의 재료에 대해 선택적일 수 있다. 일 실시예에서, 희생 재료 층들(42)은 실리콘 질화물을 포함할 수 있고, 절연 층들(32), 적어도 하나의 유전체 지지 필라(7P), 및 역단차가 있는 유전체 재료 부분(65)의 재료들은 실리콘 산화물 및 유전체 금속 산화물들로부터 선택될 수 있다. 대안적으로, 지지 필라들(7P)은 채널 및 메모리 막을 포함하는 더미 메모리 스택 구조물들을 포함할 수 있으며, 여기서 채널은 비트 라인에 전기적으로 연결되지 않는다.33, an etchant that selectively etches the second material of the sacrificial material layers 42 with respect to the first material of the insulating layers 32 may be etched using at least one backside trench (e.g., 79). ≪ / RTI > Back recesses 43 are formed in the volumes from which the sacrificial material layers 42 are removed. Removal of the second material of the sacrificial material layers 42 may be accomplished by removing the first material of the insulating layers 32, the material of the at least one dielectric support pillar 7P, the material of the dielectric material portion 65 having the inverted step, May be selective for the doped semiconductor material of structures 150, the material of source conductive layer 140, and the material of the outermost layer of memory films 50 (such as blocking dielectric layer 52). In one embodiment, the sacrificial material layers 42 may comprise silicon nitride, and the materials of the dielectric layers 32, the at least one dielectric support pillar 7P, and the dielectric material portion 65 with the inverted step Silicon oxides and dielectric metal oxides. Alternatively, the support pillars 7P may include dummy memory stack structures including a channel and a memory film, wherein the channel is not electrically connected to the bit line.

희생 재료 층들(42)의 제2 재료를 절연 층들(32)의 제1 재료 및 메모리 막들(50)의 가장 바깥쪽 층에 대해 선택적으로 제거하는 에칭 공정은 습식 에칭 용액을 이용하는 습식 에칭 공정일 수 있거나, 에칭제가 기상(vapor phase)으로 적어도 하나의 배면 트렌치(79) 내로 유입되는 기상 (건식) 에칭 공정(gas phase (dry) etch process)일 수 있다. 예를 들어, 희생 재료 층들(42)이 실리콘 질화물을 포함하는 경우, 에칭 공정은 예시적인 구조물이 실리콘 질화물을 실리콘 산화물, 실리콘, 및 본 기술분야에서 이용되는 다양한 다른 재료들에 대해 선택적으로 에칭하는 인산을 포함하는 습식 에칭 탱크 내에 침지되는 습식 에칭 공정일 수 있다. 적어도 하나의 유전체 지지 필라(7P), 역단차가 있는 유전체 재료 부분(65), 및 메모리 스택 구조물들(55)은 배면 리세스들(43)이 희생 재료 층들(42)에 의해 이전에 점유된 체적 내에 존재하는 동안 구조적 지지를 제공한다.The etch process that selectively removes the second material of the sacrificial material layers 42 with respect to the first material of the insulating layers 32 and the outermost layer of the memory films 50 may be a wet etch process using a wet etch solution Or a gas phase (dry etch process) in which the etchant is introduced into the at least one backside trench 79 in a vapor phase. For example, where the sacrificial material layers 42 comprise silicon nitride, the etching process may be performed by an exemplary process wherein the exemplary structure selectively etches silicon nitride to silicon oxide, silicon, and various other materials used in the art Lt; RTI ID = 0.0 > wet etching < / RTI > tank containing phosphoric acid. At least one dielectric support pillar 7P, inverted stepped dielectric material portion 65, and memory stack structures 55 are formed such that the backside recesses 43 are previously occupied by the sacrificial material layers 42 Provides structural support while remaining in volume.

각각의 배면 리세스(43)는 캐비티의 수직 범위보다 더 큰 측방 치수를 갖는 측방 연장 캐비티일 수 있다. 환언하면, 각각의 배면 리세스(43)의 측방 치수가 배면 리세스(43)의 높이보다 더 클 수 있다. 희생 재료 층들(42)의 제2 재료가 제거되는 체적 내에 복수의 배면 리세스들(43)이 형성될 수 있다. 메모리 스택 구조물들(55)이 형성되는 메모리 개구부들(49)은 본원에서 배면 리세스들(43)과 대조적으로 전면 리세스들 또는 전면 캐비티들이라고 지칭된다. 일 실시예에서, 메모리 어레이 영역(100)은 기판 반도체 층(8)을 포함하는 기판 위쪽에 배치된 복수의 디바이스 레벨들을 갖는 모놀리식 3차원 NAND 스트링들의 어레이를 포함한다. 이 경우에, 각각의 배면 리세스(43)는 모놀리식 3차원 NAND 스트링들의 어레이의 각자의 워드 라인을 수용하기 위한 공간을 정의할 수 있다.Each backside recess 43 may be a side extension cavity having a side dimension that is greater than the vertical extent of the cavity. In other words, the lateral dimension of each rear recess 43 may be greater than the height of the rear recess 43. [ A plurality of backside recesses 43 may be formed in a volume in which the second material of the sacrificial material layers 42 is removed. The memory openings 49 in which the memory stack structures 55 are formed are referred to herein as front recesses or front cavities in contrast to the backside recesses 43. In one embodiment, memory array region 100 comprises an array of monolithic three-dimensional NAND strings having a plurality of device levels disposed above a substrate comprising a substrate semiconductor layer 8. In this case, each backside recess 43 may define a space for accommodating a respective word line of the array of monolithic three-dimensional NAND strings.

복수의 배면 리세스들(43) 각각은 기판의 상단 표면에 실질적으로 평행하게 연장될 수 있다. 배면 리세스(43)는 아래에 있는 절연 층(32)의 상단 표면 및 위에 있는 절연 층(32)의 하단 표면에 의해 수직으로 경계지어질 수 있다. 일 실시예에서, 각각의 배면 리세스(43)는 전체에 걸쳐 균일한 높이를 가질 수 있다. 임의로, 배면 차단 유전체 층이 배면 리세스들에 형성될 수 있다.Each of the plurality of backside recesses 43 may extend substantially parallel to the upper surface of the substrate. The backside recess 43 may be vertically bounded by the top surface of the underlying insulating layer 32 and the bottom surface of the underlying insulating layer 32. In one embodiment, each backside recess 43 may have a uniform height throughout. Optionally, a backplane dielectric layer can be formed in the backside recesses.

도 34를 참조하면, 적어도 하나의 금속 재료가 배면 리세스들(43)에, 적어도 하나의 배면 콘택트 트렌치(79)의 측벽들 위에, 그리고 절연 캡 층(70)의 상단 표면 위에 퇴적될 수 있다. 본원에서 사용되는 바와 같이, 금속 재료는 적어도 하나의 금속 원소를 포함하는 전기 전도성 재료를 지칭한다.34, at least one metallic material may be deposited on the backside recesses 43, on the sidewalls of at least one of the back contact trenches 79, and on the top surface of the insulating cap layer 70 . As used herein, a metal material refers to an electrically conductive material comprising at least one metal element.

금속 재료는, 예를 들어, 화학적 기상 퇴적(CVD), 원자 층 퇴적(ALD), 무전해 도금, 전기 도금, 또는 이들의 조합일 수 있는, 컨포멀 퇴적 방법에 의해 퇴적될 수 있다. 금속 재료는 원소 금속, 적어도 2개의 원소 금속의 금속간 합금, 적어도 하나의 원소 금속의 전도성 질화물, 전도성 금속 산화물, 전도성 도핑된 반도체 재료, 금속 실리사이드와 같은 전도성 금속-반도체 합금, 이들의 합금, 및 이들의 조합들 또는 스택들일 수 있다. 복수의 배면 리세스들(43)에 퇴적될 수 있는 비제한적인 예시적인 금속 재료들은 텅스텐, 텅스텐 질화물, 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 코발트, 및 루테늄을 포함한다. 일 실시예에서, 금속 재료는 텅스텐과 같은 금속 및/또는 금속 질화물을 포함할 수 있다. 일 실시예에서, 복수의 배면 리세스들(43)을 채우기 위한 금속 재료는 티타늄 질화물 층과 텅스텐 충전 재료의 조합일 수 있다. 일 실시예에서, 금속 재료는 화학적 기상 퇴적에 의해 퇴적될 수 있다.The metal material may be deposited by a conformal deposition method, which may be, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), electroless plating, electroplating, or a combination thereof. The metal material may be selected from the group consisting of an elemental metal, an intermetallic alloy of at least two elemental metals, a conductive nitride of at least one elemental metal, a conductive metal oxide, a conductive doped semiconductor material, a conductive metal-semiconductor alloy such as a metal silicide, Combinations or stacks of these. Non-limiting exemplary metallic materials that can be deposited in the plurality of backside recesses 43 include tungsten, tungsten nitride, titanium, titanium nitride, tantalum, tantalum nitride, cobalt, and ruthenium. In one embodiment, the metal material may comprise a metal such as tungsten and / or a metal nitride. In one embodiment, the metallic material for filling the plurality of backside recesses 43 may be a combination of a titanium nitride layer and a tungsten fill material. In one embodiment, the metallic material may be deposited by chemical vapor deposition.

복수의 전기 전도성 층들(46)이 복수의 배면 리세스들(43)에 형성될 수 있고, 인접한 금속 재료 층(도시되지 않음)이 각각의 배면 콘택트 트렌치(79)의 측벽들 상에 그리고 절연 캡 층(70) 위에 형성될 수 있다. 따라서, 각각의 희생 재료 층(42)이 전기 전도성 층(46)으로 대체될 수 있다. 임의적인 배면 차단 유전체 층 및 인접한 금속 재료 층으로 채워지지 않는 각각의 배면 콘택트 트렌치(79)의 부분에 배면 캐비티가 존재한다.A plurality of electrically conductive layers 46 may be formed in the plurality of back recesses 43 and adjacent metal material layers (not shown) may be formed on the sidewalls of each back contact trench 79, Layer 70 as shown in FIG. Thus, each sacrificial material layer 42 can be replaced with an electrically conductive layer 46. [ There is a backside cavity in the portion of each backside contact trench 79 that is not filled with an optional backside isolation dielectric layer and an adjacent metallic material layer.

인접한 전기 전도성 재료 층의 퇴적된 금속 재료들은, 예를 들어, 등방성 에칭에 의해 각각의 배면 콘택트 트렌치(79)의 측벽들로부터 그리고 절연 캡 층(70) 위쪽으로부터 에치백된다. 배면 리세스들(43) 내의 퇴적된 금속 재료의 각각의 남아 있는 부분은 전기 전도성 층(46)을 구성한다. 각각의 전기 전도성 층(46)은 전도성 라인 구조물일 수 있다. 따라서, 희생 재료 층들(42)이 전기 전도성 층들(46)로 대체된다.Deposited metal materials of adjacent electrically conductive material layers are etched away from the sidewalls of each back contact trench 79 and from above the insulating cap layer 70, for example, by isotropic etching. Each remaining portion of the deposited metal material in the backside recesses 43 constitutes the electrically conductive layer 46. Each electrically conductive layer 46 may be a conductive line structure. Thus, sacrificial material layers 42 are replaced with electrically conductive layers 46.

각각의 전기 전도성 층(46)은 동일한 레벨에 위치된 복수의 제어 게이트 전극들과 동일한 레벨에 위치된 복수의 제어 게이트 전극들을 전기적으로 상호연결시키는 - 즉, 전기적으로 단락시키는 - 워드 라인의 조합으로서 기능할 수 있다. 각각의 전기 전도성 층(46) 내의 복수의 제어 게이트 전극들은 메모리 스택 구조물들(55)을 포함하는 수직 메모리 디바이스들에 대한 제어 게이트 전극들이다. 환언하면, 각각의 전기 전도성 층(46)은 복수의 수직 메모리 디바이스들에 대한 공통 제어 게이트 전극으로서 기능하는 워드 라인일 수 있다.Each electrically conductive layer 46 is a combination of a plurality of control gate electrodes located at the same level and a plurality of control gate electrodes located at the same level electrically interconnecting-that is, electrically short-circuiting Function. The plurality of control gate electrodes in each electrically conductive layer 46 are control gate electrodes for vertical memory devices including memory stack structures 55. In other words, each electrically conductive layer 46 may be a word line that serves as a common control gate electrode for a plurality of vertical memory devices.

도 35a 및 도 35b를 참조하면, 절연 재료 층이 컨포멀 퇴적 공정에 의해 적어도 하나의 배면 콘택트 트렌치(79)에 그리고 절연 캡 층(70) 위에 형성될 수 있다. 예시적인 컨포멀 퇴적 공정들은 화학적 기상 퇴적 및 원자 층 퇴적을 포함하지만, 이들로 제한되지 않는다. 절연 재료 층은 실리콘 산화물, 실리콘 질화물, 유전체 금속 산화물, 유기 실리케이트 유리, 또는 이들의 조합과 같은 절연 재료를 포함한다. 일 실시예에서, 절연 재료 층은 실리콘 산화물을 포함할 수 있다. 절연 재료 층은, 예를 들어, 저압 화학적 기상 퇴적(LPCVD) 또는 원자 층 퇴적(ALD)에 의해 형성될 수 있다. 절연 재료 층의 두께는 1.5 nm 내지 60 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 절연 재료 층의 수평 부분들을 절연 캡 층(70) 위쪽으로부터 그리고 각각의 배면 콘택트 트렌치(79)의 하단에서 제거하기 위해 비등방성 에칭이 수행된다. 절연 재료 층의 각각의 남아 있는 부분은 절연 스페이서(74)를 구성한다. 전기 전도성 층들(46)의 형성 이후에 각각의 절연 스페이서(74)가 각자의 배면 콘택트 트렌치(79)의 측벽 상에 그리고 전도성 레일 구조물들(150)의 측벽들 상에 형성된다. 일 실시예에서, 각각의 전도성 레일 구조물(150)의 측벽은 절연 스페이서(74)의 외측 측벽의 하단 부분과 접촉할 수 있다.35A and 35B, a layer of insulating material may be formed on the at least one back contact trench 79 and over the insulating cap layer 70 by a conformal deposition process. Exemplary conformal deposition processes include, but are not limited to, chemical vapor deposition and atomic layer deposition. The insulating material layer comprises an insulating material such as silicon oxide, silicon nitride, dielectric metal oxide, organosilicate glass, or combinations thereof. In one embodiment, the insulating material layer may comprise silicon oxide. The insulating material layer may be formed by, for example, low pressure chemical vapor deposition (LPCVD) or atomic layer deposition (ALD). The thickness of the insulating material layer may be in the range of 1.5 nm to 60 nm, but smaller thicknesses and larger thicknesses may also be used. Anisotropic etching is performed to remove horizontal portions of the insulating material layer from above the insulating cap layer 70 and at the bottom of each back contact trench 79. [ Each remaining portion of the layer of insulating material constitutes an insulating spacer 74. After formation of the electrically conductive layers 46, respective insulating spacers 74 are formed on the sidewalls of the respective back contact trenches 79 and on the sidewalls of the conductive rail structures 150. In one embodiment, the sidewall of each conductive rail structure 150 may contact the lower end portion of the outer side wall of the insulating spacer 74.

배면 콘택트 비아 구조물(76)이 각각의 절연 스페이서(74) 내부의 캐비티 내에 형성될 수 있다. 각각의 배면 콘택트 비아 구조물(76)이 각자의 절연 스페이서(74) 내의 각자의 캐비티를 채울 수 있다. 각각의 배면 콘택트 트렌치(79)의 남아있는 채워지지 않은 체적에 적어도 하나의 전도성 재료를 퇴적시키는 것에 의해 콘택트 비아 구조물들(76)이 형성될 수 있다. 예를 들어, 적어도 하나의 전도성 재료는 전도성 라이너(별도로 도시되지 않음) 및 전도성 충전 재료 부분(별도로 도시되지 않음)을 포함할 수 있다. 전도성 라이너는 TiN, TaN, WN, TiC, TaC, WC, 이들의 합금, 또는 이들의 스택과 같은 전도성 금속 라이너를 포함할 수 있다. 전도성 라이너의 두께는 3 nm 내지 30 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 전도성 충전 재료 부분은 금속 또는 금속 합금을 포함할 수 있다. 예를 들어, 전도성 충전 재료 부분은 W, Cu, Al, Co, Ru, Ni, 이들의 합금, 또는 이들의 스택을 포함할 수 있다.A back contact via structure 76 may be formed in the cavity inside each insulating spacer 74. [ Each back contact via structure 76 may fill its respective cavity in its respective insulating spacer 74. [ The contact via structures 76 may be formed by depositing at least one conductive material in the remaining unfilled volume of each back contact trench 79. [ For example, the at least one conductive material may include a conductive liner (not separately shown) and a conductive fill material portion (not separately shown). The conductive liner may include a conductive metal liner, such as TiN, TaN, WN, TiC, TaC, WC, alloys thereof, or stacks thereof. The thickness of the conductive liner may range from 3 nm to 30 nm, but smaller thicknesses and larger thicknesses may also be used. The portion of the conductive filler material may comprise a metal or metal alloy. For example, the portion of the conductive filler material may comprise W, Cu, Al, Co, Ru, Ni, an alloy thereof, or a stack thereof.

적어도 하나의 전도성 재료는 교번 스택(32, 46) 위에 있는 절연 캡 층(70)을 정지 층으로서 이용하여 평탄화될 수 있다. 화학 기계적 평탄화(CMP) 공정이 이용되는 경우, 절연 캡 층(70)이 CMP 정지 층으로서 이용될 수 있다. 배면 콘택트 트렌치들(79) 내의 적어도 하나의 전도성 재료의 각각의 남아 있는 연속적인 부분은 배면 콘택트 비아 구조물(76)을 구성한다. 각각의 배면 콘택트 비아 구조물(76)은, 전도성 레일 구조물들(150)에 전기적으로 단락될 수 있는, 소스 콘택트 층(140)의 상단 표면 바로 위에 형성될 수 있다. 각각의 배면 콘택트 비아 구조물(76)은 절연 스페이서(74)로 채워지지 않은 배면 콘택트 트렌치(79)의 일부분 내에 형성된다.At least one conductive material may be planarized using the insulating cap layer 70 over alternating stacks 32 and 46 as a stop layer. If a chemical mechanical planarization (CMP) process is used, the insulating cap layer 70 may be used as a CMP stop layer. Each remaining continuous portion of the at least one conductive material in back contact trenches 79 constitutes back contact via structure 76. Each back contact via structure 76 may be formed immediately above the top surface of the source contact layer 140, which may be electrically shorted to the conductive rail structures 150. Each back contact via structure 76 is formed within a portion of a back contact trench 79 that is not filled with an insulating spacer 74.

도 36을 참조하면, 부가의 콘택트 비아 구조물들(88, 86, 8P)이 제2 절연 캡 층(73)을 관통하여 그리고 임의로 역단차가 있는 유전체 재료 부분(65)을 관통하여 형성될 수 있다. 예를 들어, 드레인 콘택트 비아 구조물들(88)이 제2 절연 캡 층(73)을 관통하여 각각의 드레인 영역(63) 상에 형성될 수 있다. 워드 라인 콘택트 비아 구조물들(86)이 제2 절연 캡 층(73)을 관통하여 그리고 역단차가 있는 유전체 재료 부분(65)을 관통하여 전기 전도성 층들(46) 상에 형성될 수 있다. 주변 디바이스 콘택트 비아 구조물들(8P)이 역단차가 있는 유전체 재료 부분(65)을 관통하여 주변 디바이스들의 각자의 노드들 바로 위에 형성될 수 있다.36, additional contact via structures 88, 86, 8P may be formed through the second insulating cap layer 73 and optionally through a dielectric material portion 65 having an inverted step . For example, drain contact via structures 88 may be formed on each drain region 63 through the second insulating cap layer 73. Word line contact via structures 86 may be formed on the electrically conductive layers 46 through the second insulating cap layer 73 and through the dielectric material portion 65 with the inverted step. Peripheral device contact via structures 8P can be formed directly above the respective nodes of the peripheral devices through the dielectric material portion 65 with the inverted step.

본 개시내용의 일 양태에 따르면, 기판(8) 위에 위치된 전기 전도성 층들(46) 및 절연 층들(32)의 교번 스택(32, 46), 메모리 스택 구조물들(55)의 어레이, 교번 스택(32, 46)을 관통하여 연장되고 메모리 막(50) 및 메모리 막(50)에 의해 측방으로 둘러싸인 반도체 채널(60)을 포함하는 각각의 메모리 스택 구조물(55), 및 교번 스택(42, 46)과 기판(8) 사이에 위치된 지지 페데스탈 구조물들(156)과 같은, 지지 구조물들을 포함하는, 3차원 메모리 디바이스가 제공된다. 이 디바이스는 또한, 교번 스택(42, 46) 아래에 있고 기판(8) 위에 있으며 지지 구조물들(156)과 접촉하는, 소스 전도성 층(140)을 포함할 수 있다.According to one aspect of the present disclosure, alternating stacks 32 and 46 of electrically conductive layers 46 and insulating layers 32 located on a substrate 8, an array of memory stack structures 55, Each memory stack structure 55 extending through the memory stack 50 and the memory channel 50 and including the semiconductor channel 60 surrounded laterally by the memory stack 50 and the memory stack 50, Such as support pedestal structures 156 positioned between the substrate 8 and the substrate 8, are provided. The device may also include a source conductive layer 140 below the alternating stacks 42 and 46 and above the substrate 8 and in contact with the support structures 156.

일 실시예에서, 3차원 메모리 디바이스는 제1 수평 방향(hd1)을 따라 측방으로 연장되고, 소스 전도성 층(140)의 상단 표면과 접촉하며, 반도체 채널들(60)의 측벽들과 접촉하는 전도성 레일 구조물들(150)을 포함한다. 일 실시예에서, 전도성 레일 구조물들(150) 각각은 각자의 오목 수직 측벽들과 인접한 각자의 평면 수직 측벽들을 포함하는 딤플형 측벽들을 포함한다. 일 실시예에서, 각각의 반도체 채널(60)은 각자의 전도성 레일 구조물(150)의 측벽과 접촉하고, 각각의 메모리 막(50)은 각자의 지지 페데스탈 구조물(156)의 측벽과 접촉한다.In one embodiment, the three-dimensional memory device extends laterally along the first horizontal direction hd1 and contacts the upper surface of the source conductive layer 140 and has a conductive Rail structures 150. In one embodiment, each of the conductive rail structures 150 includes dimpled sidewalls that include respective planar vertical sidewalls adjacent their respective concave vertical sidewalls. In one embodiment, each semiconductor channel 60 contacts the sidewalls of its respective conductive rail structure 150, and each memory film 50 contacts the sidewalls of its respective support pedestal structure 156.

일 실시예에서, 지지 페데스탈 구조물들(156)의 상단 표면들은 전도성 레일 구조물들(150)의 상단 표면들과 동일한 수평 평면 내에 있을 수 있다. 일 실시예에서, 지지 페데스탈 구조물들(156)은 제1 전도성 유형의 도핑을 갖는 제1 반도체 재료를 포함할 수 있고, 전도성 레일 구조물들(150)은 제1 전도성 유형과 반대인 제2 전도성 유형의 도핑을 갖는 제2 반도체 재료를 포함한다.In one embodiment, the top surfaces of the support pedestal structures 156 may be in the same horizontal plane as the top surfaces of the conductive rail structures 150. In one embodiment, the support pedestal structures 156 may comprise a first semiconductor material having a doping of a first conductivity type, and the conductive rail structures 150 may include a second conductivity type, Doped < / RTI > semiconductor material.

일 실시예에서, 3차원 메모리 디바이스는 소스 전도성 층(140)의 상단 표면과 접촉하는 배면 콘택트 비아 구조물(76)을 포함한다. 절연 스페이서(74)는 배면 콘택트 비아 구조물(76)을 측방으로 둘러쌀 수 있고, 소스 전도성 층(140)의 상단 표면과 접촉할 수 있다. 전도성 레일 구조물들(150)은 제1 수평 방향(hd1)을 따라 측방으로 연장될 수 있고, 소스 전도성 층(140)의 상단 표면과 접촉할 수 있으며, 반도체 채널들(60)의 측벽들과 접촉할 수 있다. 전도성 레일 구조물들(150)은 절연 스페이서(74)에 의해 배면 콘택트 비아 구조물(76)로부터 측방으로 이격될 수 있다.In one embodiment, the three-dimensional memory device includes a back contact via structure 76 in contact with the top surface of the source conductive layer 140. Insulation spacers 74 may laterally surround the backside via structure 76 and contact the top surface of the source conductive layer 140. The conductive rail structures 150 may extend laterally along the first horizontal direction hd1 and may contact the upper surface of the source conductive layer 140 and may be in contact with the sidewalls of the semiconductor channels 60 can do. Conductive rail structures 150 may be laterally spaced from back contact via structure 76 by insulating spacers 74.

일 실시예에서, 지지 페데스탈 구조물들(156) 각각은 각자의 오목 수직 측벽들과 인접한 각자의 평면 수직 측벽들을 포함하는 딤플형 측벽들을 포함한다. 일 실시예에서, 지지 페데스탈 구조물들(156)의 오목 수직 측벽들 각각은 각자의 메모리 막(50)의 외측 측벽과 접촉한다.In one embodiment, each of the support pedestal structures 156 includes dimpled sidewalls that include their respective concave vertical sidewalls and their respective planar vertical sidewalls. In one embodiment, each of the concave vertical sidewalls of the support pedestal structures 156 contacts the outer sidewall of the respective memory film 50.

일 실시예에서, 3차원 메모리 디바이스는 기판(8) 위에 위치된 수직 NAND 디바이스를 포함한다. 전기 전도성 층들(46)은 NAND 디바이스의 각자의 워드 라인을 포함할 수 있거나, 그에 전기적으로 연결될 수 있다. 기판(8)은 실리콘 기판을 포함할 수 있다. 수직 NAND 디바이스는 실리콘 기판 위에 모놀리식 3차원 NAND 스트링들의 어레이를 포함할 수 있다. 모놀리식 3차원 NAND 스트링들의 어레이의 제1 디바이스 레벨에 있는 적어도 하나의 메모리 셀은 모놀리식 3차원 NAND 스트링들의 어레이의 제2 디바이스 레벨에 있는 다른 메모리 셀 위에 위치된다. 실리콘 기판은 그 위에 위치된 메모리 디바이스에 대한 구동기 회로를 포함하는 집적 회로를 포함할 수 있다.In one embodiment, the three-dimensional memory device includes a vertical NAND device located above the substrate 8. The electrically conductive layers 46 may comprise, or be electrically connected to, the respective word line of the NAND device. The substrate 8 may comprise a silicon substrate. A vertical NAND device may include an array of monolithic three-dimensional NAND strings on a silicon substrate. At least one memory cell at a first device level of the array of monolithic three-dimensional NAND strings is located over another memory cell at a second device level of the array of monolithic three-dimensional NAND strings. The silicon substrate may include an integrated circuit including a driver circuit for a memory device located thereon.

모놀리식 3차원 NAND 스트링들의 어레이는 복수의 반도체 채널들(60)을 포함할 수 있다. 복수의 반도체 채널들(60)의 각각의 반도체 채널의 적어도 하나의 단부 부분은 기판(8)의 상단 표면에 실질적으로 수직으로 연장된다. 모놀리식 3차원 NAND 스트링들의 어레이는 (전기 전도성 층들(46)의 각각의 레벨에 위치된 전하 저장 요소 층(54)의 부분들로서 구현되는 바와 같은) 복수의 전하 저장 요소들을 포함할 수 있다. 각각의 전하 저장 요소는 복수의 반도체 채널들(60)의 각자의 반도체 채널에 인접하여 위치된다. 모놀리식 3차원 NAND 스트링들의 어레이는 기판의 상단 표면에 실질적으로 평행하게 연장되는 스트립 형상을 갖는 복수의 제어 게이트 전극들을 포함할 수 있고, 복수의 제어 게이트 전극들은 적어도 제1 디바이스 레벨에 위치된 제1 제어 게이트 전극 및 제2 디바이스 레벨에 위치된 제2 제어 게이트 전극을 포함한다.The array of monolithic three-dimensional NAND strings may include a plurality of semiconductor channels 60. At least one end portion of each semiconductor channel of the plurality of semiconductor channels (60) extends substantially perpendicular to the upper surface of the substrate (8). The array of monolithic three-dimensional NAND strings may include a plurality of charge storage elements (such as those implemented as portions of the charge storage element layer 54 located at each level of the electrically conductive layers 46). Each charge storage element is positioned adjacent to a respective semiconductor channel of a plurality of semiconductor channels (60). The array of monolithic three-dimensional NAND strings may include a plurality of control gate electrodes having a strip shape extending substantially parallel to an upper surface of the substrate, wherein the plurality of control gate electrodes are arranged at least at a first device level A first control gate electrode and a second control gate electrode positioned at a second device level.

전도성 레일 구조물들(150) 각각은 전도성 레일 구조물(150)과 접촉하는 반도체 채널들(60)을 포함하는 복수의 전계 효과 트랜지스터들에 대한 공통 소스 영역으로서 기능할 수 있다. 지지 구조물들(156)은 희생 재료 부분들(151)을 전도성 레일 구조물들(150)로 대체하는 동안 구조적 지지를 제공한다. 임의로, (최하단 전기 전도성 층(46)과 같은) 전기 전도성 층들(46)의 서브세트는 수직 3차원 메모리 디바이스의 동작 동안 소스 선택 게이트 전극으로서 이용될 수 있다. 희생 재료 부분들(150)의 높이를 증가시키고, 그로써 전도성 레일 구조물들(150)의 높이를 증가시키는 것에 의해, 메모리 개구부들의 크기를 증가시키지 않으면서 각각의 반도체 채널(60)과 (전도성 레일 구조물(150)로서 구현되는 바와 같은) 소스 영역 사이의 콘택트 구역(contact area)이 증가될 수 있다.Each of the conductive rail structures 150 may function as a common source region for a plurality of field effect transistors including semiconductor channels 60 in contact with the conductive rail structure 150. The support structures 156 provide structural support while replacing the sacrificial material portions 151 with the conductive rail structures 150. Optionally, a subset of electrically conductive layers 46 (such as bottom-most electrically conductive layer 46) may be used as the source select gate electrode during operation of the vertical three-dimensional memory device. By increasing the height of the sacrificial material portions 150 and thereby increasing the height of the conductive rail structures 150, the distance between each semiconductor channel 60 and the conductive rail structures 150 The contact area between the source regions (such as may be implemented as the source region 150) may be increased.

전도성 레일 구조물(150)과 반도체 채널(60) 사이의 각각의 콘택트 구역은 만곡된 수직 표면을 포함할 수 있다. 반도체 채널(60)을 포함하는 메모리 스택 구조물(55)의 기하학적 중심을 통과하는 수직축으로부터의 만곡된 수직 표면의 각확산(angular spread)(즉, 방위각의 범위)은, 90도 내지 270도와 같은, 45도 내지 315도의 범위에 있을 수 있다. 메모리 개구부들의 크기를 증가시키지 않으면서 소스 영역과 반도체 채널 사이에 증가된 콘택트 구역을 제공하는 것에 의해, 본 개시내용의 구조물들은 3차원 메모리 디바이스의 수직 전계 효과 트랜지스터들에 대한 보다 큰 온-전류(on-current)를 제공할 수 있다. 대안적으로, 동작 레벨에서 수직 전계 효과 트랜지스터들에 대한 온-전류를 유지하면서 메모리 개구부들 및 메모리 스택 구조물들의 측방 치수를 감소시키기 위해 본 개시내용의 구조물들이 이용될 수 있다.Each contact area between the conductive rail structure 150 and the semiconductor channel 60 may include a curved vertical surface. The angular spread of the curved vertical surface from the vertical axis passing through the geometric center of the memory stack structure 55 including the semiconductor channel 60 may be in the range of 90 to 270 degrees, May range from 45 degrees to 315 degrees. By providing an increased contact area between the source region and the semiconductor channel without increasing the size of the memory openings, the structures of the present disclosure provide a larger on-current (< RTI ID = 0.0 > on-current < / RTI > Alternatively, the structures of the present disclosure may be used to reduce lateral dimensions of memory openings and memory stack structures while maintaining on-current for vertical field effect transistors at the operating level.

도 37을 참조하면, 아래에서 위로, 기판(8), 임의적인 절연체 층(120), 임의적인 블랭킷 전도체 층(136), 및 매트릭스 재료 층(138)의 스택을 포함하는, 본 개시내용의 제3 실시예에 따른 제3 예시적인 구조물이 예시되어 있다. 기판(8)은 제2 실시예의 기판(8)과 동일할 수 있다. 임의적인 절연체 층(120)은, 존재하는 경우, 제2 실시예의 절연 층(120)과 동일할 수 있다.Referring to FIG. 37, a top view of a portion of the present disclosure, including a stack of a substrate 8, an optional insulator layer 120, an optional blanket conductor layer 136, and a matrix material layer 138, A third exemplary structure according to three embodiments is illustrated. The substrate 8 may be the same as the substrate 8 of the second embodiment. The optional insulating layer 120, if present, may be the same as the insulating layer 120 of the second embodiment.

임의적인 블랭킷 전도체 층(136)은 금속, 금속 합금, 전도성 금속 질화물, (실리사이드와 같은) 금속-반도체 합금, 또는 1.0 x 105 S/cm 초과의 전도율을 갖는 고농도로 도핑된 반도체 재료를 포함할 수 있다. 임의적인 블랭킷 전도성 층(136)은 전체에 걸쳐 균일한 두께를 갖는 블랭킷 층, 즉 패터닝되지 않은 층일 수 있다. 일 실시예에서, 임의적인 블랭킷 전도체 층(136)은 (텅스텐 실리사이드와 같은) 금속-반도체 합금 또는 (텅스텐과 같은) 금속을 포함할 수 있다. 임의적인 블랭킷 전도성 층(136)의 두께는 3 nm 내지 100 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.The optional blanket conductor layer 136 may comprise a metal, a metal alloy, a conductive metal nitride, a metal-semiconductor alloy (such as a silicide), or a heavily doped semiconductor material with a conductivity greater than 1.0 x 10 5 S / cm . The optional blanket conductive layer 136 may be a blanket layer, i.e., an unpatterned layer, having a uniform thickness throughout. In one embodiment, the optional blanket conductor layer 136 may comprise a metal-semiconductor alloy (such as tungsten silicide) or a metal (such as tungsten). The thickness of the optional blanket conductive layer 136 may range from 3 nm to 100 nm, but smaller thicknesses and larger thicknesses may also be used.

매트릭스 재료 층(138)은 도핑된 반도체 재료와 같은 전도성 재료, 또는 (금속, 금속 합금, 전도성 금속 질화물, 또는 금속-반도체 합금과 같은) 금속 재료를 포함한다. 일 실시예에서, 매트릭스 재료 층(138)은 1.0 x 105 S/cm 초과의 전도율을 갖는, 폴리실리콘 층과 같은, 고농도로 도핑된 반도체 재료 층을 포함한다. 매트릭스 재료 층(138)의 두께는 50 nm 내지 500 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 매트릭스 재료 층(138)을 퇴적시키기 위해 컨포멀 또는 비-컨포멀 퇴적 공정이 이용될 수 있다. 매트릭스 재료 층(138)의 전도성 유형은 p-형 또는 n-형일 수 있다.The matrix material layer 138 includes a conductive material such as a doped semiconductor material or a metal material (such as a metal, a metal alloy, a conductive metal nitride, or a metal-semiconductor alloy). In one embodiment, the matrix material layer 138 comprises, a semiconductor material layer is doped to a high concentration, such as, a polysilicon layer having a conductivity of 1.0 x 10 5 S / cm is exceeded. The thickness of the matrix material layer 138 may be in the range of 50 nm to 500 nm, but smaller thicknesses and larger thicknesses may also be used. A conformal or non-conformal deposition process may be used to deposit the layer of matrix material 138. The conductive type of the matrix material layer 138 may be p-type or n-type.

도 38을 참조하면, 예를 들어, 매트릭스 재료 층(138) 위에 포토레지스트 층을 도포하는 것, 균일한 폭들을 갖는 공간들을 갖는 라인 패턴들을 형성하도록 포토레지스트 층을 리소그래피 방식으로 패터닝하는 것, 및 비등방성 에칭에 의해 포토레지스트 층에서의 패턴을 매트릭스 재료 층(138)의 상부 부분 내로 전사시키는 것에 의해, 매트릭스 재료 층(138)의 상부 부분에 복수의 채널들(즉, 트렌치 형상의 리세스들과 같은, 리세스들)(141)이 형성된다. 복수의 채널들(141)은 균일한 폭 및 균일한 간격을 가짐으로써, 1차원 주기적 패턴을 형성할 수 있다. 각각의 채널(141)은, 본원에서 제1 수평 방향이라고 지칭되는, 동일한 수평 방향을 따라 연장될 수 있다. 각각의 채널(141)은 제1 수평 방향에 수직인 방향을 따라 실질적으로 동일한 수직 단면 형상을 가질 수 있다. 각각의 채널(141)의 깊이는 30 nm 내지 300 nm의 범위에 있을 수 있지만, 보다 작은 깊이들 및 보다 큰 깊이들이 또한 이용될 수 있다. 각각의 채널(141)의 폭은 60 nm 내지 240 nm의 범위에 있을 수 있지만, 보다 작은 폭들 및 보다 큰 폭들이 또한 이용될 수 있다. 제1 수평 방향에 수직인 수평 방향을 따른 채널들(141)의 피치는 120 nm 내지 480 nm의 범위에 있을 수 있지만, 보다 작은 피치들 및 보다 큰 피치들이 또한 이용될 수 있다.Referring to Figure 38, for example, a photoresist layer may be applied over the layer of matrix material 138, lithographically patterning the photoresist layer to form line patterns with spaces having uniform widths, and By transferring the pattern in the photoresist layer into the upper portion of the matrix material layer 138 by anisotropic etching, a plurality of channels (i. E., Trench shaped recesses < RTI ID = (Recesses) 141 are formed. The plurality of channels 141 may have a uniform width and a uniform spacing to form a one-dimensional periodic pattern. Each channel 141 may extend along the same horizontal direction, referred to herein as a first horizontal direction. Each channel 141 may have substantially the same vertical cross-sectional shape along a direction perpendicular to the first horizontal direction. The depth of each channel 141 can range from 30 nm to 300 nm, but smaller depths and larger depths can also be used. The width of each channel 141 may range from 60 nm to 240 nm, but smaller widths and larger widths may also be used. The pitch of the channels 141 along the horizontal direction perpendicular to the first horizontal direction may be in the range of 120 nm to 480 nm, but smaller pitches and larger pitches may also be used.

도 39, 도 40a, 및 도 40b를 참조하면, 희생 라이너들(154)이 채널들(141)의 측벽들 상에 임의로 형성될 수 있다. 도 40a는 제1 예시적인 구성에서의 도 39의 제3 예시적인 구조물의 평면도이다. 도 40b는 제2 예시적인 구성에서의 도 39의 제3 예시적인 구조물의 평면도이다. 희생 라이너들(154)은 실리콘 산화물과 같은 유전체 재료를 포함할 수 있고, 1 nm 내지 10 nm의 범위에 있는 두께를 가질 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 희생 레일 구조물들(144)을 형성하기 위해, 희생 라이너들(154)로 채워지지 않는 채널들(141)의 남아 있는 체적들이 실리콘 질화물과 같은 희생 재료로 채워질 수 있다.Referring to Figures 39, 40A, and 40B, sacrificial liner 154 may be optionally formed on the sidewalls of channels 141. 40A is a top view of the third exemplary structure of FIG. 39 in a first exemplary configuration. Figure 40B is a top view of the third exemplary structure of Figure 39 in a second exemplary configuration. The sacrificial liner 154 may comprise a dielectric material such as silicon oxide and may have a thickness in the range of 1 nm to 10 nm, although smaller thicknesses and larger thicknesses may also be used. To form sacrificial rail structures 144, the remaining volumes of channels 141 that are not filled with sacrificial liner 154 may be filled with a sacrificial material such as silicon nitride.

예시적인 예로서, (실리콘 산화물 층과 같은) 유전체 재료 층이 채널들(141)의 측벽들 및 하단 표면들 바로 위에 그리고 매트릭스 재료 층(138) 위에 컨포멀하게 퇴적될 수 있다. (실리콘 질화물 층과 같은) 희생 재료 층이 채널들(141)의 남아 있는 체적들에 퇴적될 수 있다. 희생 재료 층 및 유전체 재료 층의 부분들을 매트릭스 재료 층(138)의 상단 표면을 포함하는 수평 평면 위쪽으로부터 제거하기 위해 (화학 기계적 평탄화(CMP) 및/또는 리세스 에칭과 같은) 평탄화 공정이 수행될 수 있다. 유전체 재료 층의 각각의 남아 있는 부분은 희생 라이너들(154)을 포함하고, 희생 재료 층의 각각의 남아 있는 부분은 희생 레일 구조물들(144)을 포함한다. 각각의 희생 레일 구조물(144)은 제1 수평 방향을 따라 수평으로 연장되고, 제1 수평 방향에 수직인 수평 방향을 따라 균일한 폭 및 균일한 피치를 갖는 주기적 어레이로서 형성될 수 있다. 제3 실시예에서 사용되는 바와 같이, 제1 수평 방향은 희생 레일 구조물들의 연장 방향이다. 이 실시예에서의 제1 수평 방향은, 도 40a 및 도 40b에, 각각, 도시된 바와 같이, 비트 라인 방향과 10도 내지 80도만큼 상이할 수 있거나(즉, "XY" 방향으로 연장됨), 비트 라인 방향에 평행할 수 있다(즉, "Y" 방향으로 연장됨). 각각의 희생 레일 구조물(144)은 제1 수평 방향을 따른 평행 이동 하에서 불변인 실질적으로 직사각형인 수평 단면 형상을 가질 수 있다.As an illustrative example, a layer of dielectric material (such as a silicon oxide layer) may be conformally deposited just above the sidewalls and bottom surfaces of the channels 141 and above the matrix material layer 138. A sacrificial material layer (such as a silicon nitride layer) may be deposited on the remaining volumes of the channels 141. A planarization process (such as chemical mechanical planarization (CMP) and / or recess etch) is performed to remove portions of the sacrificial material layer and the dielectric material layer from above the horizontal plane including the top surface of the matrix material layer 138 . Each remaining portion of the dielectric material layer includes sacrificial liners 154, and each remaining portion of the sacrificial material layer includes sacrificial rail structures 144. Each sacrificial rail structure 144 may be formed as a periodic array extending horizontally along the first horizontal direction and having a uniform width and uniform pitch along the horizontal direction perpendicular to the first horizontal direction. As used in the third embodiment, the first horizontal direction is the extension direction of the sacrificial rail structures. The first horizontal direction in this embodiment may differ from the bit line direction by 10 to 80 degrees (i.e., extend in the "XY" direction), as shown in Figs. 40A and 40B, May be parallel to the bit line direction (i.e., extend in the "Y" direction). Each sacrificial rail structure 144 may have a substantially rectangular horizontal cross-sectional shape that is invariant under parallel motion along the first horizontal direction.

도 41을 참조하면, 임의적인 유전체 에칭 정지 층(145) 및 임의적인 소스 연결 층(146)이 매트릭스 재료 층(138) 및 복수의 희생 레일 구조물들(144) 위에 형성될 수 있다. 임의적인 유전체 에칭 정지 층(145)은 실리콘 산화물, 실리콘 질화물, 또는 (알루미늄 산화물과 같은) 유전체 금속 산화물과 같은 유전체 재료를 포함하고, 컨포멀 또는 비-컨포멀 퇴적 공정에 의해 퇴적될 수 있다. 유전체 에칭 정지 층(145)의 두께는 1 nm 내지 10 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.Referring to Figure 41, an optional dielectric etch stop layer 145 and an optional source connecting layer 146 may be formed over the matrix material layer 138 and the plurality of sacrificial rail structures 144. The optional dielectric etch stop layer 145 includes a dielectric material such as silicon oxide, silicon nitride, or dielectric metal oxide (such as aluminum oxide) and may be deposited by a conformal or non-conformal deposition process. The thickness of the dielectric etch stop layer 145 may be in the range of 1 nm to 10 nm, but smaller thicknesses and larger thicknesses may also be used.

임의적인 소스 연결 층(146)은, 매트릭스 재료 층(138)과 동일한 전도성 유형의 도핑을 갖는 고농도로 도핑된 반도체 재료일 수 있거나, 원소 금속, (금속 실리사이드와 같은) 금속간 합금, 또는 (WN, TiN, 또는 TaN과 같은) 전도성 금속 질화물과 같은 금속 재료일 수 있는, 전도성 재료를 포함한다. 일 실시예에서, 소스 연결 층(146)은 고농도로 도핑된 실리콘과 같은, 105 S/cm 초과의 전도율을 갖는, 폴리실리콘과 같은 도핑된 반도체 재료를 포함할 수 있다. 소스 연결 층(146)의 두께는 50 nm 내지 500 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 차후에 형성될 소스 전도성 층에 전기적으로 단락되고, 소스 연결 층(146)과 차후에 형성될 콘택트 비아 구조물 사이의 전기적 접촉을 가능하게 하기 위해, 콘택트 영역(300)까지 연장되는 전도성 구조물을 제공하기 위해 소스 연결 층(146)이 이용될 수 있다. 전도성 재료를 포함하는 소스 연결 층(146)이 복수의 희생 레일 구조물들(144) 및 매트릭스 재료 층(138) 위에 형성된다.The optional source coupling layer 146 may be a heavily doped semiconductor material having the same conductivity type of doping as the matrix material layer 138 or may be an elemental metal, an intermetallic alloy (such as a metal silicide) , ≪ / RTI > TiN, or TaN) conductive metal nitride. In one embodiment, the source connection layer 146 may comprise a doped semiconductor material, such as polysilicon, having a conductivity of greater than 10 5 S / cm, such as heavily doped silicon. The thickness of the source connection layer 146 may range from 50 nm to 500 nm, but smaller thicknesses and larger thicknesses may also be used. To provide a conductive structure extending to the contact region 300 to electrically contact the source conductive layer to be subsequently formed and to enable electrical contact between the source connection layer 146 and the contact via structure to be subsequently formed, A connection layer 146 may be used. A source connection layer 146 comprising a conductive material is formed over the plurality of sacrificial rail structures 144 and the matrix material layer 138.

도 42, 도 43a, 및 도 43b를 참조하면, 메모리 리세스들(149)은 임의적인 소스 연결 층(146), 임의적인 유전체 에칭 정지 층(145), 및 희생 레일 구조물들(144)을 관통하여 그리고 매트릭스 재료 층(138)을 부분적으로 관통하여 형성된다. 도 43a는 제1 예시적인 구성에서의 도 42의 제3 예시적인 구조물의 평면도이다. 도 43b는 제2 예시적인 구성에서의 도 42의 제3 예시적인 구조물의 평면도이다. 예를 들어, 임의적인 소스 연결 층(146) 위에 포토레지스트 층을 도포하는 것, 포토레지스트 층에 개구부들의 주기적 어레이들을 리소그래피 방식으로 패터닝하는 것, 및 비등방성 에칭 공정에 의해 포토레지스트 층에서의 패턴을 임의적인 소스 연결 층(146), 임의적인 유전체 에칭 정지 층(145), 및 희생 레일 구조물들(144)을 관통하여 그리고 매트릭스 재료 층(138)을 부분적으로 관통하여 전사시키는 것에 의해 메모리 리세스들(149)이 형성될 수 있다. 포토레지스트 층은, 예를 들어, 애싱에 의해 차후에 제거될 수 있다.Referring to Figures 42, 43A, and 43B, memory recesses 149 may be formed through optional source interconnect layer 146, optional dielectric etch stop layer 145, and sacrificial rail structures 144 And partially penetrating the matrix material layer 138. Figure 43A is a top view of the third exemplary structure of Figure 42 in a first exemplary configuration. Figure 43B is a top view of the third exemplary structure of Figure 42 in a second exemplary configuration. For example, applying a photoresist layer over the optional source connection layer 146, lithographically patterning the periodic arrays of openings in the photoresist layer, and patterning the pattern in the photoresist layer by an anisotropic etch process By passing through the optional source connection layer 146, the optional dielectric etch stop layer 145, and the sacrificial rail structures 144 and partially penetrating the matrix material layer 138, (149) may be formed. The photoresist layer may be subsequently removed, for example, by ashing.

각각의 메모리 리세스(149)는 수직 평행 이동 하에서 불변인 실질적으로 균일한 수평 단면 형상을 갖는 캐비티일 수 있다. 실질적으로 균일한 수평 단면 형상은 원형, 타원형, 대체로 계란 형상, 다각형일 수 있거나, 닫힌 곡선 주변부(closed curvilinear periphery)를 갖는 임의의 다른 형상을 가질 수 있다. 메모리 리세스들(149)은 클러스터들로 형성될 수 있다. 메모리 리세스들(149)의 각각의 클러스터는 육각형 어레이와 같은 2차원 주기적 어레이로서 배열될 수 있다. 이웃하는 메모리 리세스들(149)의 어레이들은, 제1 수평 방향과 상이한 방향을 따라 측방으로 연장될 수 있는, 갭(179)만큼 서로 이격될 수 있다. 예를 들어, 갭(179)은 비트 라인 방향에 수직인(즉, "Y" 방향에 수직인) 워드 라인 방향으로(즉, "X" 방향으로) 연장될 수 있다. 제1 구성에서, 이웃하는 메모리 리세스들(149)의 어레이들 사이의 갭(179)이 수평으로 연장되는 방향은 제1 수평 방향에 대해 (10도 내지 80도, 예를 들어, 도 43a에 예시된 바와 같이 60도와 같은) 영이 아닌 비-직교 각도로 있을 수 있다. 제2 구성에서, 이웃하는 메모리 리세스들(149)의 어레이들 사이의 갭(179)이 수평으로 연장되는 방향은 비트 라인 방향에 평행하고 제1 수평 방향에 수직, 즉 희생 레일 구조물들(144)의 길이 방향에 수직일 수 있다.Each memory recess 149 may be a cavity having a substantially uniform horizontal cross-sectional shape that is invariant under vertical translation. The substantially uniform horizontal cross-sectional shape may be circular, elliptical, generally egg-shaped, polygonal, or any other shape having a closed curvilinear periphery. The memory recesses 149 may be formed of clusters. Each cluster of memory recesses 149 may be arranged as a two-dimensional periodic array such as a hexagonal array. Arrays of neighboring memory recesses 149 may be spaced apart from each other by a gap 179, which may extend laterally along a direction different from the first horizontal direction. For example, the gap 179 may extend in the word line direction (i.e., in the "X" direction) perpendicular to the bit line direction (i.e., perpendicular to the "Y" direction). In a first configuration, the direction in which the gap 179 between the arrays of neighboring memory recesses 149 extends horizontally is between about 10 degrees and about 80 degrees, for example, Lt; / RTI > may be at non-orthogonal angles other than zero (such as 60 degrees as illustrated). In a second configuration, the direction in which the gap 179 between the arrays of neighboring memory recesses 149 extends horizontally is parallel to the bit line direction and perpendicular to the first horizontal direction, i.e., the sacrificial rail structures 144 ) Perpendicular to the longitudinal direction.

메모리 리세스들 각각이 각자의 희생 레일 구조물(144)과 매트릭스 재료 층(138) 사이의 계면을 스트래들하도록, 메모리 리세스들(149)의 각각의 어레이의 배향이 선택될 수 있다. 일 실시예에서, 희생 레일 구조물(144)의 오목 측벽, 매트릭스 재료 층(138)의 오목 측벽, 및 매트릭스 재료 층(138)의 리세싱된 평면 표면이 각각의 메모리 리세스(149) 주위에서 물리적으로 노출될 수 있다. 희생 레일 구조물(144)의 오목 측벽이 물리적으로 노출되는 각자의 메모리 리세스(149)의 기하학적 중심을 통과하는 수직축에 대해, 수직 에지로부터 다른 수직 에지까지 측정되는 바와 같은, 희생 레일 구조물(144)의 각각의 물리적으로 노출된 오목 측벽의 방위각들의 범위는 약 45도 내지 약 270도의 범위에 있을 수 있지만, 보다 작은 방위각들 및 보다 큰 방위각들이 또한 이용될 수 있다. 일 실시예에서, 희생 레일 구조물들(144)의 길이 방향이 가장 가까운 이웃하는 메모리 리세스들(149)이 정렬되는 방향 또는 두 번째로 가장 가까운 이웃하는 메모리 리세스들(149)이 정렬되는 방향에 평행하도록, 메모리 리세스들(149)의 각각의 어레이가 배향될 수 있다. 따라서, 제1 수평 방향을 따라 배열된 메모리 리세스들(149)의 행은 각자의 희생 레일 구조물(144)과 매트릭스 재료 층(138) 사이의 계면을 스트래들할 수 있다.The orientation of each array of memory recesses 149 may be selected such that each of the memory recesses straddles the interface between the sacrificial rail structure 144 and the matrix material layer 138. In one embodiment, recessed sidewalls of the sacrificial rail structure 144, recessed sidewalls of the matrix material layer 138, and recessed planar surfaces of the matrix material layer 138 are physically located around each memory recess 149, Lt; / RTI > The sacrificial rail structure 144 has a recessed sidewall 144 as measured from the vertical edge to the other vertical edge with respect to the vertical axis passing through the geometric center of the respective memory recess 149 to which the recessed sidewall is physically exposed. The range of azimuthal angles of each physically exposed concave sidewall of each of the first and second regions may range from about 45 degrees to about 270 degrees, but smaller azimuth angles and larger azimuth angles may also be used. In one embodiment, the direction in which the nearest neighbor memory recesses 149 in the longitudinal direction of the sacrificial rail structures 144 are aligned or the direction in which the second nearest neighbor memory recesses 149 are aligned So that each array of memory recesses 149 can be oriented. Thus, a row of memory recesses 149 arranged along the first horizontal direction may straddle the interface between the sacrificial rail structure 144 and the matrix material layer 138.

각각의 메모리 리세스(149)의 수평 평면 내에서의 위치, 크기, 형상, 및 배향은 후속 공정에서 적어도 하나의 교번 스택을 관통하여 차후에 형성될 대응하는 메모리 개구부의 수평 평면 내에서의 위치, 크기, 형상, 및 배향과 동일할 수 있다. 일 실시예에서, 후속 처리 단계들에서 각자의 교번 스택을 관통하여 메모리 개구부들을 형성하기 위한 것과 동일한 리소그래피 마스크가 이 처리 단계에서 메모리 리세스들(149)을 형성하는 데 사용될 수 있다.The position, size, shape, and orientation of each memory recess 149 in the horizontal plane will be determined by the position, size, shape, and orientation in the horizontal plane of the corresponding memory opening to be subsequently formed through at least one alternate stack in a subsequent process , Shape, and orientation. In one embodiment, the same lithographic mask for forming memory openings through each alternate stack in subsequent processing steps can be used to form memory recesses 149 in this processing step.

도 44를 참조하면, 격리 유전체 층(148)이 HDP CVD(high density plasma chemical vapor deposition) 또는 PECVD(plasma enhanced chemical vapor deposition)와 같은 비-컨포멀 퇴적 공정에 의해 형성될 수 있다. 격리 유전체 층(148)의 유전체 재료는 수직 표면들에보다 수평 표면들에 더 큰 두께로 퇴적되고, 각각의 메모리 리세스(149)는 메모리 리세스들(149)의 상부 주변부로부터 성장하는 퇴적된 유전체 재료의 부분들에 의해 실링된다. 격리 유전체 층(148)의 유전체 재료에 의해 실링되는 메모리 캐비티(147)는 각각의 메모리 리세스(149) 내에 형성될 수 있다. 격리 유전체 층(148)은 그를 관통하는 어떠한 개구부도 없이 소스 연결 층(146) 위에 연속적으로 연장된다. 대안적으로, 메모리 리세스들(149)이 적어도 하나의 절연 재료로 컨포멀하게 채워질 수 있다. 또한 대안적으로, 메모리 리세스들(149)이 반도체 재료 또는 전도성 재료일 수 있는 임의의 희생 재료로, 컨포멀하게 또는 비-컨포멀하게, 채워질 수 있다. 일 실시예에서, 메모리 리세스들(149)을 채우는 재료는 매트릭스 재료 층(138)의 재료에 대해 에칭 정지 재료로서 기능하는 재료일 수 있다.44, isolation dielectric layer 148 may be formed by a non-conformal deposition process such as high density plasma chemical vapor deposition (HDP) or plasma enhanced chemical vapor deposition (PECVD). The dielectric material of the isolation dielectric layer 148 is deposited to greater thicknesses on more horizontal surfaces on the vertical surfaces and each memory recess 149 is deposited on the upper surfaces of the memory recesses 149, And is sealed by portions of the dielectric material. A memory cavity 147, which is sealed by the dielectric material of the isolation dielectric layer 148, may be formed in each memory recess 149. The isolation dielectric layer 148 extends continuously over the source connection layer 146 without any openings therethrough. Alternatively, memory recesses 149 may be conformally filled with at least one insulating material. Alternatively, the memory recesses 149 may be filled with a sacrificial material, which may be a semiconductor material or a conductive material, conformally or non-conformally. In one embodiment, the material filling the memory recesses 149 may be a material that functions as an etch stop material for the material of the matrix material layer 138.

도 45를 참조하면, 메모리 캐비티들(147) 위에 있는 딤플들을 제거하기 위해, 격리 유전체 층(148)이, 예를 들어, 화학 기계적 평탄화(CMP)에 의해 평탄화될 수 있다. 격리 유전체 층(148)의 상단 표면은 평탄화 공정 이후에 평면일 수 있다 - 즉, 수평 평면 내에 있음 -. 소스 연결 층(146) 위쪽에 있는 격리 유전체 층(148)의 두께는 60 nm 내지 300 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다.45, the isolation dielectric layer 148 may be planarized by, for example, chemical mechanical planarization (CMP), to remove the dimples over the memory cavities 147. Referring to FIG. The top surface of the isolation dielectric layer 148 may be planar after the planarization process-that is, in a horizontal plane. Though the thickness of the isolation dielectric layer 148 above the source connection layer 146 may be in the range of 60 nm to 300 nm, smaller thicknesses and larger thicknesses may also be used.

도 46을 참조하면, 제1 절연 층들(32) 및 제1 스페이서 재료 층들(42)의 제1 교번 스택이 격리 유전체 층(148) 위에 형성될 수 있다. 제1 교번 스택(32, 42)은 제1 및 제2 실시예들의 교번 스택들(32, 42)과 동일할 수 있다. 제1 교번 스택(32, 42)이 차후에 형성될 제어 게이트 전극들의 모든 레벨들을 포함하는 경우, 절연 캡 층(70)을 형성하기 위해 도 6의 처리 단계들이 수행될 수 있다. 임의의 부가의 교번 스택들이 차후에 형성되어야 하는 경우, 절연 캡 층(70)의 형성이 지연될 수 있다.Referring to Figure 46, a first alternating stack of first insulating layers 32 and first spacer material layers 42 may be formed over isolation dielectric layer 148. The first alternating stacks 32 and 42 may be identical to the alternating stacks 32 and 42 of the first and second embodiments. If the first alternating stacks 32 and 42 include all levels of control gate electrodes to be subsequently formed, the processing steps of FIG. 6 may be performed to form the insulating cap layer 70. If any additional alternating stacks are to be formed at a later time, the formation of the insulating cap layer 70 may be delayed.

도 47을 참조하면, 제1 메모리 개구부들(49)이 제1 교번 스택(32, 42) 및 격리 유전체 층(148), 그리고 아래에 있는 메모리 리세스들(149)의 체적들을 관통하여 형성될 수 있다. 도 48a는 희생 레일 구조물들(144)에 대한 제1 예시적인 구성에서의 도 47의 제3 예시적인 구조물의 평면도이다. 도 48b는 희생 레일 구조물들(144)에 대한 제2 예시적인 구성에서의 도 47의 제3 예시적인 구조물의 평면도이다. 일 실시예에서, 제1 메모리 개구부들(49)의 패턴은 메모리 리세스들(149)의 패턴과 동일할 수 있다. 환언하면, 수평 단면도에서의 제1 메모리 개구부들(49)의 주변부들은 리소그래피 정렬에 내재적인 오버레이 변동들 및 임계 치수(critical dimension, CD) 변동들의 허용오차들 내에서 메모리 리세스들(149)의 주변부들과 오버랩할 수 있다.47, first memory openings 49 are formed through the volumes of first alternating stacks 32 and 42 and isolation dielectric layer 148 and underlying memory recesses 149 . 48A is a top view of the third exemplary structure of FIG. 47 in a first exemplary configuration for sacrificial rail structures 144. FIG. 48B is a top view of the third exemplary structure of FIG. 47 in a second exemplary configuration for sacrificial rail structures 144. FIG. In one embodiment, the pattern of the first memory openings 49 may be the same as the pattern of the memory recesses 149. In other words, the peripheries of the first memory openings 49 in the horizontal cross-section are the same as those of the memory recesses 149 within tolerances of overlay variations and critical dimension (CD) variations inherent in lithographic alignment. It can overlap with peripheral portions.

제1 교번 스택(32, 42)의 레벨들에 위치된 제1 메모리 개구부들(49)의 부분들을 형성하기 위해, 제1 또는 제2 실시예들의 메모리 개구부들(49)을 형성하기 위한 비등방성 에칭 공정과 동일한 비등방성 에칭 공정이 이용될 수 있다. 메모리 캐비티들(147)에 연결하도록 격리 유전체 층(148)의 유전체 재료를 관통하여 에칭하기 위해 에칭 화학제의 변경에 의해 또는 에칭 화학제의 변경 없이 비등방성 에칭이 차후에 확장될 수 있다. 격리 유전체 층(148)의 유전체 재료가 메모리 리세스들(149)의 리세싱된 표면들 및 측벽들로부터 제거될 때까지 비등방성 에칭이 계속될 수 있다. 대안적으로, 메모리 리세스들의 리세싱된 표면들 및 측벽들로부터 격리 유전체 층의 유전체 재료를 제거하기 위해 (습식 에칭과 같은) 등방성 에칭이 이용될 수 있다. 포토레지스트 층은, 예를 들어, 애싱에 의해 차후에 제거될 수 있다. 임의로, 각자의 제1 메모리 개구부(49)의 아래에 있는 부분들보다 더 넓은 측방 치수를 갖는 접합 영역(joint region)이 본 기술분야에 공지된 방법들을 이용하여 최상단 절연 층(32)의 상부 부분에 형성될 수 있다.To form the portions of the first memory openings 49 located at the levels of the first alternating stack 32 and 42, anisotropic The same anisotropic etching process as the etching process can be used. The anisotropic etch can be subsequently expanded by altering the etch chemistry to etch through the dielectric material of the isolation dielectric layer 148 to connect to the memory cavities 147 or without altering the etch chemistry. Anisotropic etching may continue until the dielectric material of isolation dielectric layer 148 is removed from the recessed surfaces and sidewalls of memory recesses 149. Alternatively, isotropic etching (such as wet etch) may be used to remove the dielectric material of the isolated dielectric layer from the recessed surfaces and sidewalls of the memory recesses. The photoresist layer may be subsequently removed, for example, by ashing. Optionally, a joint region having a wider lateral dimension than the portions underlying each of the first memory openings 49 may be formed on the upper portion of the uppermost insulating layer 32 using methods known in the art. As shown in FIG.

도 49를 참조하면, 소스 연결 층(146)의 표면 부분들(소스 연결 층(146)이 도핑된 실리콘과 같은 반도체 재료를 포함하는 경우에) 및 매트릭스 재료 층(138)의 표면 부분들(매트릭스 재료 층(138)이 도핑된 실리콘과 같은 반도체 재료를 포함하는 경우에)의 산화에 의해 각각의 제1 메모리 개구부(49)의 하단 부분들에, 실리콘 산화물 라이너들과 같은, 반도체 산화물 라이너들(31)이 형성될 수 있다.49, the surface portions of the source connection layer 146 (where the source connection layer 146 comprises a semiconductor material such as doped silicon) and the surface portions of the matrix material layer 138 Such as silicon oxide liner (s), at the bottom portions of each first memory opening 49 by oxidation of the material layer 138 (e.g., where the material layer 138 comprises a semiconductor material such as doped silicon) 31 may be formed.

제1 메모리 개구부 충전 재료가 저압 화학적 기상 퇴적과 같은 컨포멀 퇴적 공정에 의해 제1 메모리 개구부들(49) 내로 퇴적된다. 제1 메모리 개구부 충전 재료는 제1 교번 스택(32, 42)의 재료들 및 반도체 산화물 라이너들(31)에 대해 선택적으로 제거될 수 있는 재료를 포함한다. 예를 들어, 제1 메모리 개구부 충전 재료는 (폴리실리콘 또는 비정질 실리콘과 같은) 반도체 재료, (비정질 탄소 또는 다이아몬드 유사 탄소와 같은) 탄소 함유 재료, (실리콘계 폴리머와 같은) 유기 폴리머 또는 무기 폴리머, 또는 다공성 또는 비-다공성 유기 실리케이트 유리를 포함할 수 있다. 제1 메모리 개구부 충전 재료들의 잉여 부분들이, 예를 들어, 화학 기계적 평탄화에 의해 제1 교번 스택(32, 42)의 최상단 표면 위쪽으로부터 제거된다. 제1 메모리 개구부들(49) 내의 제1 메모리 개구부 충전 재료의 각각의 남아 있는 부분은 제1 메모리 개구부 충전 부분들(33)을 구성한다. 일 실시예에서, 제1 메모리 개구부 충전 부분들(33)은 반도체 재료를 포함한다. 단차가 있는 표면들(도시되지 않음)이 콘택트 영역(300)에 있는 제1 교번 스택(32, 42)을 관통하여 형성될 수 있다. (제1 및 제2 실시예들의 역단차가 있는 유전체 재료 부분들(65)과 동일할 수 있는) 제1 역단차가 있는 유전체 재료 부분이 제1 교번 스택(32, 42)의 단차가 있는 표면들 위에 형성될 수 있다. 제1 교번 스택(32, 42) 및 그에 매립된 구조물들은 제1 티어 구조물이라고 일괄하여 지칭된다.The first memory opening fill material is deposited into the first memory openings 49 by a conformal deposition process, such as low pressure chemical vapor deposition. The first memory opening fill material includes materials of the first alternating stacks 32 and 42 and materials that can be selectively removed with respect to the semiconductor oxide liner (s) 31. For example, the first memory opening fill material may comprise a semiconductor material (such as polysilicon or amorphous silicon), a carbon containing material (such as amorphous or diamond like carbon), an organic or inorganic polymer (such as a silicon based polymer) Porous or non-porous organosilicate glass. Surplus portions of the first memory opening filler materials are removed from above the top surface of the first alternating stack 32, 42, for example, by chemical mechanical planarization. Each remaining portion of the first memory opening filler material in the first memory openings 49 constitutes first memory opening filler portions 33. In one embodiment, the first memory opening fill portions 33 comprise a semiconductor material. Stepped surfaces (not shown) may be formed through the first alternating stack 32, 42 in the contact area 300. (Which may be identical to the dielectric material portions 65 with the inverse steps of the first and second embodiments) is formed on the stepped surface of the first alternating stack 32, 42 As shown in FIG. The first alternate stacks 32, 42 and the structures embedded therein are collectively referred to as a first tier structure.

도 50을 참조하면, 적어도 다른 교번 스택(132, 142, 232, 242) 및 적어도 하나의 부가의 세트의 메모리 개구부 충전 부분들(133, 233)을 형성하기 위해 도 46, 도 47, 및 도 49의 처리 단계들이 적어도 한 번 임의로 반복될 수 있다. 예를 들어, 적어도 하나의 다른 교번 스택(132, 142, 232, 242)은 제2 절연 층들(132) 및 제2 스페이서 재료 층들(142)을 포함하는 제2 교번 스택(132, 142), 그리고 제3 교번 층들(232) 및 제3 스페이서 재료 층들(242)을 포함하는 제3 교번 스택(232, 242)을 포함할 수 있다. 제2 절연 층들(132) 및 제3 절연 층들(232)은 조성 및 두께가 제1 절연 층들(32)과 동일할 수 있다. 제2 스페이서 층들(142) 및 제3 스페이서 층들(242)은 조성 및 두께가 제1 스페이서 층들(42)과 동일할 수 있다.Referring to FIG. 50, a plurality of alternating stacks 132, 142, 232, 242 and at least one additional set of memory opening fill portions 133, May be randomly repeated at least once. For example, at least one other alternating stack 132, 142, 232, 242 may include a second alternating stack 132, 142 that includes second insulating layers 132 and second spacer material layers 142, Third alternate stacks 232 and 242 that include third alternating layers 232 and third spacer material layers 242. In some embodiments, The second insulating layers 132 and third insulating layers 232 may be the same in composition and thickness as the first insulating layers 32. The second spacer layers 142 and third spacer layers 242 may be the same in composition and thickness as the first spacer layers 42.

제2 교번 스택(132, 142)의 형성 이후에, 제2 메모리 개구부들이 제1 메모리 개구부 충전 부분들(33) 위에 있는 구역들에 형성될 수 있다. 일 실시예에서, 제2 메모리 개구부들의 패턴은 (제1 메모리 개구부들(49)의 패턴과 동일한) 제1 메모리 개구부 충전 부분들(33)의 패턴과 동일할 수 있다. 환언하면, 수평 단면도에서의 제2 메모리 개구부들의 주변부들은 리소그래피 정렬에 내재적인 오버레이 변동들 및 임계 치수(CD) 변동들의 허용오차들 내에서 제1 메모리 개구부들(49)의 주변부들과 오버랩할 수 있다. 제2 메모리 개구부 충전 부분들(133)은 제2 메모리 개구부들에 형성된다. 제2 메모리 개구부 충전 부분들(133)은 제1 메모리 개구부 충전 부분들(33)에 대해 이용될 수 있는 임의의 재료를 포함할 수 있고, 제1 메모리 개구부 충전 부분들(33)과 동일한 재료 또는 그와 상이한 재료를 포함할 수 있다. 단차가 있는 표면들(도시되지 않음)이 콘택트 영역(300)에 있는 제2 교번 스택(132, 142)을 관통하여 형성될 수 있다. 제2 역단차가 있는 유전체 재료 부분(도시되지 않음)이 제2 교번 스택(132, 142)의 단차가 있는 표면들 위에 형성될 수 있다. 제2 교번 스택(132, 142) 및 그에 매립된 구조물들은 제2 티어 구조물이라고 일괄하여 지칭된다.After formation of the second alternate stacks 132 and 142, second memory openings may be formed in the regions above the first memory opening filled portions 33. In one embodiment, the pattern of second memory openings may be identical to the pattern of first memory opening fill portions 33 (which is the same as the pattern of first memory openings 49). In other words, the peripheries of the second memory openings in the horizontal cross-section can overlap with the peripheries of the first memory openings 49 within the tolerances of overlay variations and critical dimension (CD) variations inherent in lithographic alignment have. Second memory opening fill portions 133 are formed in the second memory openings. The second memory opening fill portions 133 may comprise any material that may be utilized for the first memory opening fill portions 33 and may be made of the same material as the first memory opening fill portions 33, And may include materials different therefrom. Stepped surfaces (not shown) may be formed through the second alternating stacks 132 and 142 in the contact area 300. [ A dielectric material portion (not shown) having a second inverse step can be formed on the stepped surfaces of the second alternating stack 132, 142. The second alternate stacks 132 and 142 and the structures embedded therein are collectively referred to as a second tier structure.

제3 교번 스택(232, 242)이 차후에 형성될 수 있다. 제3 교번 스택(232, 242)이 최상단 교번 스택인 경우, 절연 캡 층(70)이 제3 교번 스택(232, 242)의 상단에 형성될 수 있다. 단차가 있는 표면들(도시되지 않음)이 콘택트 영역(300)에 있는 제3 교번 스택(232, 242)을 관통하여 형성될 수 있다. 제3 역단차가 있는 유전체 재료 부분(도시되지 않음)이 제3 교번 스택(232, 242)의 단차가 있는 표면들 위에 형성될 수 있다. 제3 교번 스택(232, 242) 및 그에 매립된 구조물들은 제3 티어 구조물이라고 일괄하여 지칭된다. 제3 메모리 개구부들(249)이 제2 메모리 개구부 충전 부분들(133) 위에 있는 구역들에 형성될 수 있다. 일 실시예에서, 제3 메모리 개구부들(249)의 패턴은 (제1 메모리 개구부들(49)의 패턴과 동일한) 제2 메모리 개구부 충전 부분들(133)의 패턴과 동일할 수 있다. 환언하면, 수평 단면도에서의 제3 메모리 개구부들(249)의 주변부들은 리소그래피 정렬에 내재적인 오버레이 변동들 및 임계 치수(CD) 변동들의 허용오차들 내에서 제2 메모리 개구부들의 주변부들과 오버랩할 수 있다.Third alternate stacks 232 and 242 may be formed later. If the third alternating stacks 232 and 242 are topmost alternating stacks, an insulating cap layer 70 may be formed at the top of the third alternating stacks 232 and 242. Stepped surfaces (not shown) may be formed through the third alternating stack 232, 242 in the contact area 300. A dielectric material portion (not shown) with a third inverse step can be formed on the stepped surfaces of the third alternating stack 232, 242. The third alternate stacks 232 and 242 and the structures embedded therein are collectively referred to as a third tier structure. Third memory openings 249 may be formed in the regions above the second memory opening fill portions 133. [ In one embodiment, the pattern of the third memory openings 249 may be identical to the pattern of the second memory opening fill portions 133 (which is the same as the pattern of the first memory openings 49). In other words, the peripheries of the third memory openings 249 in the horizontal cross-section can overlap with the peripheral portions of the second memory openings within the tolerances of overlay variations and critical dimension (CD) variations inherent in lithographic alignment have.

본 개시내용이 3개의 교번 스택이 기판(8) 위에 형성되는 일 실시예를 이용하여 기술되지만, 도 46, 도 47, 및 도 49의 공정 시퀀스를 반복하거나 반복하지 않는 것에 의해 보다 많은 또는 보다 적은 수의 교번 스택들이 또한 이용될 수 있다.Although the present disclosure is described using one embodiment in which three alternate stacks are formed on the substrate 8, it will be appreciated that by repeating or not repeating the process sequence of Figures 46, 47, and 49, Numerical alternating stacks may also be used.

도 51을 참조하면, 제2 메모리 개구부 충전 부분들(133) 및 제1 메모리 개구부 충전 부분들(33)이 교번 스택들(32, 42, 132, 142, 232, 242)의 재료들, 절연 캡 층(70), 및 반도체 산화물 라이너들(31)에 대해 선택적인 적어도 하나의 에칭 공정에 의해 제거될 수 있다. 차후에, 반도체 산화물 라이너들(31)이, 예를 들어, 등방성 에칭 공정에 의해 제거될 수 있다. 티어간 메모리 개구부들(349)이 제3, 제2, 및 제1 티어 구조물들을 관통하여 그리고 소스 연결 층(146) 및 희생 레일 구조물들(144)을 관통하여 그리고 매트릭스 재료 층(138)을 부분적으로 관통하여 형성될 수 있다. 각각의 티어간 메모리 개구부(349)는 다수의 티어 구조물들을 관통하여 연장되는 메모리 개구부이다. 단일 교번 스택, 즉 제1 교번 스택(32, 42)만이 이용되는 경우에, 티어간 메모리 개구부들(349) 대신에, 단일 교번 스택을 관통하여 연장되는 메모리 개구부들이 형성될 수 있다. 티어간 메모리 개구부들(349) 각각은 각자의 희생 레일 구조물(344)과 매트릭스 재료 층(138) 사이의 계면을 스트래들할 수 있다.51, second memory opening fill portions 133 and first memory opening fill portions 33 may be formed of materials of alternating stacks 32, 42, 132, 142, 232, 242, Layer 70, and the semiconductor oxide liner 31. In one embodiment, Subsequently, the semiconductor oxide liner 31 can be removed, for example, by an isotropic etching process. Inter-tier memory openings 349 extend through the third, second, and first tier structures and through the source connecting layer 146 and sacrificial rail structures 144 and into the matrix material layer 138 partially As shown in FIG. Each inter-tier memory opening 349 is a memory opening that extends through a plurality of tier structures. Instead of inter-tier memory openings 349, memory openings extending through a single alternating stack may be formed if only a single alternating stack, i.e., first alternate stack 32, 42 is used. Each of the inter-tier memory openings 349 may straddle the interface between the sacrificial rail structure 344 and the matrix material layer 138.

일 실시예에서, 희생 레일 구조물(144)의 오목 측벽, 매트릭스 재료 층(138)의 오목 측벽, 및 매트릭스 재료 층(138)의 리세싱된 평면 표면은 절연 캡 층(70)의 상단 표면과 매트릭스 재료 층(138) 사이에 연장되는 (각각의 티어간 개구부(349)와 같은) 각각의 메모리 개구부의 하단 부분에서 물리적으로 노출될 수 있다. 희생 레일 구조물(144)의 오목 측벽이 물리적으로 노출되는 (각자의 티어간 메모리 개구부(349)와 같은) 각자의 메모리 개구부의 기하학적 중심을 통과하는 수직축에 대해, 수직 에지로부터 다른 수직 에지까지 측정되는 바와 같은, 희생 레일 구조물(144)의 각각의 물리적으로 노출된 오목 측벽의 방위각들의 범위는 약 45도 내지 약 270도의 범위에 있을 수 있지만, 보다 작은 방위각들 및 보다 큰 방위각들이 또한 이용될 수 있다.The recessed sidewalls of the sacrificial rail structure 144, the recessed sidewalls of the matrix material layer 138, and the recessed planar surface of the matrix material layer 138 are spaced apart from the upper surface of the insulating cap layer 70, May be physically exposed at the bottom portion of each memory opening (such as each inter-tier opening 349) that extends between the material layers 138. Is measured from the vertical edge to the other vertical edge with respect to the vertical axis passing through the geometric center of the respective memory opening (such as the respective inter-tier memory opening 349) where the concave side wall of the sacrificial rail structure 144 is physically exposed The range of azimuth angles of each physically exposed concave sidewall of the sacrificial rail structure 144, such as, for example, may range from about 45 degrees to about 270 degrees, but smaller azimuth angles and larger azimuth angles may also be used .

도 52를 참조하면, 메모리 스택 구조물(55), 유전체 코어(62), 및 드레인 영역(63)을 티어간 메모리 개구부들(349) 각각 내에 형성하기 위해 도 8b 내지 도 8d의 처리 단계들이 수행될 수 있다. 각각의 메모리 스택 구조물(55)은 메모리 막(50) 및 반도체 채널(60)을 포함한다. 각각의 메모리 막(50)은 제1 실시예에서와 동일한 층 스택을 가질 수 있거나, 제2 실시예에서와 동일한 층 스택을 가질 수 있다. 각각의 메모리 스택 구조물(55)은 교번 스택들(32, 42, 132, 142, 232, 242) 및 희생 레일 구조물들(144)의 부분들을 관통하여 형성된다.Referring to Figure 52, the processing steps of Figures 8B-8D are performed to form a memory stack structure 55, a dielectric core 62, and a drain region 63 in each of the inter-tier memory openings 349 . Each memory stack structure 55 includes a memory film 50 and a semiconductor channel 60. Each memory film 50 may have the same layer stack as in the first embodiment, or may have the same layer stack as in the second embodiment. Each memory stack structure 55 is formed through portions of alternate stacks 32, 42, 132, 142, 232, 242 and sacrificial rail structures 144.

임의로, (도 74에는 도시되어 있지만 도 52에는 도시되지 않은 요소들(87)과 유사한) 드레인측 선택 게이트 전극들 및/또는 부가의 유전체 재료 층들(도시되지 않음)과 같은 부가의 구조물들이 절연 캡 층(70) 위에 형성될 수 있다. 대안적으로, 이하에서 보다 상세히 기술될 것인 바와 같이, 상부 희생 재료 층들(42) 중 하나 이상이 드레인측 선택 게이트 전극으로서 기능하는 전기 전도성 층(46)으로 대체될 수 있다. 임의로, 유전체 재료를 포함하는 콘택트 레벨 유전체 층(80)이 절연 캡 층(70) 위에 형성될 수 있다.Optionally, additional structures, such as drain side selection gate electrodes and / or additional dielectric material layers (not shown) (similar to elements 87 shown in Figure 74 but not shown in Figure 52) Layer 70 as shown in FIG. Alternatively, as will be described in greater detail below, one or more of the top sacrificial material layers 42 may be replaced by an electrically conductive layer 46 that functions as a drain-side select gate electrode. Optionally, a contact level dielectric layer 80 comprising a dielectric material may be formed over the insulating cap layer 70.

도 53, 도 54a, 및 도 54b를 참조하면, 배면 트렌치(79)가 콘택트 레벨 유전체 층(80), 절연 캡 층(70), 티어 구조물들, 및 격리 유전체 층(148)을 관통하여 형성될 수 있다. 예를 들어, 포토레지스트 층(도시되지 않음)이 콘택트 레벨 유전체 층(80) 위에 형성될 수 있고, 가늘고 긴 개구부들을 형성하도록 리소그래피 방식으로 패터닝될 수 있으며, 콘택트 레벨 유전체 층(80), 절연 캡 층(70), 티어 구조물들, 및 격리 유전체 층(148)을 관통하여 개구부들을 형성하기 위해 비등방성 에칭이 수행될 수 있다. 일 실시예에서, 비등방성 에칭 동안 소스 연장 층(146)이 에칭 정지 층으로서 이용될 수 있다. 배면 트렌치(79)는 메모리 스택 구조물들(55)의 어레이들 사이의 갭들의 방향을 따라 측방으로 연장된다. 배면 트렌치(79)의 길이 수평 방향(lengthwise horizontal direction)이 본원에서 제2 수평 방향(예컨대, 워드 라인 방향)이라고 지칭된다. (희생 레일 구조물들(144)의 길이 방향인) 제1 수평 방향과 제2 수평 방향 사이의 각도는 도 54a에 예시된 바와 같이 영이 아니고 비직교일 수 있거나, 도 54b에 예시된 바와 같이 직교일 수 있다. 환언하면, 이 실시예에서의 제1 수평 방향은, 도 54a에 예시된 바와 같이, 비트 라인 방향(즉, "XY" 방향을 포함함)과 10도 내지 80도만큼 상이할 수 있거나, 도 54b에 도시된 바와 같이, 워드 라인 방향(즉, "X" 방향에 수직인 "Y" 방향을 포함할 수 있음)에 수직일 수 있다.Referring to Figures 53, 54A and 54B, a back trench 79 is formed through contact level dielectric layer 80, insulating cap layer 70, tier structures, and isolation dielectric layer 148 . For example, a photoresist layer (not shown) may be formed over the contact level dielectric layer 80 and may be lithographically patterned to form elongate openings, and the contact level dielectric layer 80, Anisotropic etching may be performed to form openings through layer 70, tier structures, and isolation dielectric layer 148. In one embodiment, the source extension layer 146 may be used as an etch stop layer during anisotropic etching. The backside trenches 79 extend laterally along the direction of the gaps between the arrays of memory stack structures 55. The lengthwise horizontal direction of back trench 79 is referred to herein as a second horizontal direction (e.g., word line direction). The angle between the first horizontal direction (which is the longitudinal direction of sacrificial rail structures 144) and the second horizontal direction may be non-zero and non-orthogonal, as illustrated in Figure 54A, . In other words, the first horizontal direction in this embodiment may be different by 10 degrees to 80 degrees from the bit line direction (i.e., including the "XY" direction), as illustrated in Fig. 54A, May be perpendicular to the word line direction (i. E. May include a "Y" direction perpendicular to the "X" direction), as shown in FIG.

도 55a 및 도 55b를 참조하면, 반도체 스페이서(172) 및 유전체 스페이서(174)가 각각의 배면 트렌치(79)의 주변부에 순차적으로 형성될 수 있다. 반도체 스페이서(172)는 폴리실리콘 또는 비정질 실리콘과 같은 반도체 재료를 포함하고, 도핑된 반도체 재료 층을 배면 트렌치(79)에 그리고 콘택트 레벨 유전체 층(80) 위에 퇴적시키는 것, 그리고 이어서 도핑된 반도체 재료 층의 수평 부분들을 도 55b에 도시된 바와 같이 제거하기 위해 도핑된 반도체 재료 층을 비등방성 에칭에 의해 비등방성으로 에칭하는 것에 의해 형성될 수 있다. 일 실시예에서, 반도체 스페이서(172)의 두께는 2 nm 내지 20 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 유전체 스페이서(174)는 실리콘 산화물, 실리콘 질화물, 또는 실리콘 산질화물과 같은 유전체 재료를 포함하고, 컨포멀 유전체 재료 층을 반도체 스페이서(172) 위에 퇴적시키는 것, 그리고 이어서 컨포멀 유전체 재료 층의 수평 부분들을 도 55b에 도시된 바와 같이 제거하기 위해 컨포멀 유전체 재료 층을 비등방성 에칭에 의해 비등방성으로 에칭하는 것에 의해 형성될 수 있다. 일 실시예에서, 유전체 스페이서(174)의 두께는 2 nm 내지 20 nm의 범위에 있을 수 있지만, 보다 작은 두께들 및 보다 큰 두께들이 또한 이용될 수 있다. 대안적으로, 도핑된 반도체 재료 층 및 컨포멀 유전체 재료 층의 스택이 도 55a에 도시된 바와 같이 순차적으로 형성될 수 있고, 도 55b에 도시된 바와 같이, 반도체 스페이서(172) 및 유전체 스페이서(174)의 측방 스택을 형성하도록 도핑된 반도체 재료 층 및 컨포멀 유전체 재료 층의 스택의 수평 부분들을 제거하기 위해 비등방성 에칭이 수행될 수 있다. 각각의 배면 트렌치(79) 내의 반도체 스페이서(172)와 유전체 스페이서(174)의 쌍 내에 배면 캐비티(79')가 존재한다.55A and 55B, semiconductor spacers 172 and dielectric spacers 174 may be sequentially formed in the periphery of the respective back trenches 79. Semiconductor spacers 172 comprise a semiconductor material such as polysilicon or amorphous silicon and are formed by depositing a layer of doped semiconductor material on back trench 79 and on contact level dielectric layer 80, Can be formed by anisotropically etching the doped semiconductor material layer by anisotropic etching to remove the horizontal portions of the layer as shown in Figure 55B. In one embodiment, the thickness of the semiconductor spacer 172 may be in the range of 2 nm to 20 nm, but smaller thicknesses and larger thicknesses may also be used. The dielectric spacers 174 include a dielectric material such as silicon oxide, silicon nitride, or silicon oxynitride and are formed by depositing a layer of conformal dielectric material over the semiconductor spacers 172 and then depositing a layer of conformal dielectric material, May be formed by anisotropically etching the conformal dielectric material layer by an anisotropic etch to remove them as shown in Figure 55b. In one embodiment, the thickness of the dielectric spacer 174 may be in the range of 2 nm to 20 nm, but smaller thicknesses and larger thicknesses may also be used. Alternatively, a stack of doped semiconductor material layers and conformal dielectric material layers may be sequentially formed as shown in FIG. 55A, and semiconductor spacers 172 and dielectric spacers 174 Anisotropic etching may be performed to remove horizontal portions of the stack of doped semiconductor material layer and conformal dielectric material layer. There is a backside cavity 79 'in the pair of semiconductor spacers 172 and dielectric spacers 174 in each backside trench 79.

도 56, 도 57a, 및 도 57b를 참조하면, 소스 연결 층(146)을 관통하여 에칭하기 위해(소스 연결 층(146)이 이용되는 경우에) 그리고 각각의 배면 트렌치(79) 아래쪽에서 각각의 희생 레일 구조물(144)의 상단 표면의 각자의 부분을 물리적으로 노출시키기 위해 다른 비등방성 에칭이 수행될 수 있다. 소스 연결 층(146)이 반도체 재료를 포함하는 경우에, 소스 연결 층(146)의 물리적으로 노출된 측벽들의 표면 부분들의 산화에 의해 소스 연결 층(146)의 각각의 물리적으로 노출된 측벽들 상에 반도체 산화물 스페이서(176)가 형성될 수 있다. 반도체 산화물 스페이서들(176)을 형성하기 위해 열 산화 또는 플라즈마 산화가 이용될 수 있다.Referring to Figures 56, 57A, and 57B, to etch through the source connection layer 146 (where the source connection layer 146 is used) and under each back trench 79, Other anisotropic etching may be performed to physically expose portions of each of the top surfaces of the sacrificial rail structures 144. In the case where the source connection layer 146 comprises a semiconductor material, each of the physically exposed sidewalls of the source connection layer 146 is formed by oxidation of the surface portions of the physically exposed sidewalls of the source connection layer 146 A semiconductor oxide spacer 176 may be formed. Thermal oxidation or plasma oxidation may be used to form the semiconductor oxide spacers 176. [

도 58을 참조하면, 배면 트렌치들(79) 아래쪽으로부터 희생 레일 구조물들(144)을 제거하기 위해 등방성 에칭이 수행될 수 있다. 예를 들어, 희생 레일 구조물들(144)이 실리콘 질화물을 포함하는 경우, 희생 레일 구조물들(144)을 콘택트 레벨 유전체 층(80), 절연 캡 층(70), 유전체 스페이서(174), 반도체 산화물 스페이서(176), 희생 라이너들(154), 및 유전체 에칭 정지 층(145)에 대해 선택적으로 제거하기 위해, 고온 인산을 이용하는 습식 에칭 공정이 이용될 수 있다. 희생 레일 구조물들(144)의 제거에 의해 희생 레일 구조물들(144)의 체적들 내에 측방 연장 캐비티들(143)이 형성된다. 매트릭스 재료 층(138)은 등방성 에칭 동안 제거되지 않는다. 따라서, 복수의 측방 연장 캐비티들(143)을 형성하기 위해 복수의 희생 레일 구조물들(144)이 매트릭스 재료 층(138)에 대해 선택적으로 제거된다.58, isotropic etching may be performed to remove the sacrificial rail structures 144 from underneath the backside trenches 79. As shown in FIG. For example, if the sacrificial rail structures 144 include silicon nitride, sacrificial rail structures 144 may be formed over the contact level dielectric layer 80, the insulating cap layer 70, the dielectric spacers 174, To selectively remove the spacer 176, the sacrificial liner 154, and the dielectric etch stop layer 145, a wet etch process using high temperature phosphoric acid may be used. The lateral extension cavities 143 are formed in the volumes of the sacrificial rail structures 144 by removal of the sacrificial rail structures 144. The matrix material layer 138 is not removed during isotropic etching. Thus, a plurality of sacrificial rail structures 144 are selectively removed with respect to the matrix material layer 138 to form a plurality of laterally extending cavities 143.

도 59를 참조하면, 측방 연장 캐비티들(143)에 물리적으로 노출되는 메모리 막(50)의 부분들 및 임의적인 유전체 에칭 정지 층(145)의 부분들이, 예를 들어, 일련의 등방성 에칭 공정들에 의해 제거될 수 있다. 예를 들어, 일련의 등방성 에칭 공정들은 메모리 막들(50)의 재료들, 즉 차단 유전체 층(도 8c의 502, 503, 또는 도 25의 52), 전하 저장 요소 층(도 8c의 504 또는 도 25의 54), 및 터널링 유전체 층(도 8c의 506 또는 도 25의 56)의 재료들을 에칭한다. 메모리 막들(50)의 제거 동안 희생 라이너들(154) 및 유전체 스페이서(174)가 부수적으로 제거될 수 있다. 일련의 등방성 에칭 공정들은 매트릭스 재료 층(138)과 접촉하는 메모리 막(50)의 부분들은 제거하지 않으면서, 측방 연장 캐비티들(143)에 물리적으로 노출되는 메모리 막(50)의 부분들을 제거한다. 반도체 채널(60)의 측벽은 측방 연장 캐비티들(143)에서 노출된다.59, portions of the memory film 50 that are physically exposed to the side extension cavities 143 and portions of the optional dielectric etch stop layer 145 may be etched using, for example, a series of isotropic etch processes Lt; / RTI > For example, a series of isotropic etch processes may be performed on the materials of the memory films 50, that is, the intercept dielectric layer (502, 503, or 52 of Figure 8C), the charge storage element layer (504 of Figure 8C, Of the tunneling dielectric layer (506 of Figure 8C or 56 of Figure 25). The sacrificial liner 154 and dielectric spacer 174 may be removed incidentally during removal of the memory films 50. [ A series of isotropic etch processes remove portions of the memory film 50 that are physically exposed to the side extension cavities 143 without removing portions of the memory film 50 that are in contact with the matrix material layer 138 . The side walls of the semiconductor channel 60 are exposed in the side extension cavities 143.

차후에, 소스 전도성 층이 형성될 수 있다. 소스 전도성 층(예컨대, 직접 스트랩 콘택트 유형 소스 전극(direct strap contact type source electrode))은 차후에 기술될 제1 처리 시퀀스의 경우에서와 같이 비-선택적 반도체 퇴적 공정을 이용하여 형성될 수 있거나, 차후에 기술될 제2 처리 시퀀스의 경우에서와 같이 선택적 반도체 퇴적 공정을 이용하여 형성될 수 있다. 도 60a, 도 60b, 및 도 61 내지 도 66은 제1 처리 시퀀스를 예시하고 있다. 도 67 내지 도 73은 제2 처리 시퀀스를 예시하고 있다.Subsequently, a source conductive layer can be formed. A source conductive layer (e.g., a direct strap contact type source electrode) may be formed using a non-selective semiconductor deposition process, such as in the case of a first process sequence to be described later, Lt; / RTI > may be formed using an optional semiconductor deposition process as in the case of the second process sequence to be performed. 60A, 60B, and 61-66 illustrate a first processing sequence. 67 to 73 illustrate a second processing sequence.

도 60a 및 도 60b를 참조하면, 제1 처리 시퀀스가 이용되는 경우에, 도핑된 반도체 재료 층(166L)을 형성하는 단계가 예시되어 있다. 도 60a는 도 59에 예시된 구성에서의, 즉 드레인 선택 레벨 게이트 전극들이 콘택트 레벨 유전체 층(80)의 형성 이전에 절연 캡 층(70) 위쪽에 형성되지 않는 구성에서의 제3 예시적인 구조물을 예시하고 있다.Referring to Figs. 60A and 60B, the step of forming a doped semiconductor material layer 166L is illustrated when a first process sequence is used. 60A shows a third exemplary structure in a configuration illustrated in FIG. 59, i.e., a configuration in which drain select level gate electrodes are not formed above the insulating cap layer 70 prior to formation of the contact level dielectric layer 80 .

도 60b는 드레인 선택 레벨 게이트 전극들(87)이 절연 캡 층(70)의 형성 이후에 그리고 콘택트 레벨 유전체 층(80)의 형성 이전에 형성되는 제3 예시적인 구조물에 대한 대안의 구성을 예시하고 있다. 이 경우에, 드레인 선택 레벨 반도체 필라들(85), 드레인 선택 레벨 게이트 유전체들(82), 드레인 선택 레벨 게이트 전극들(87)이 드레인 영역들(63) 상에 형성될 수 있다. 드레인 선택 레벨 유전체 재료 층(802) 및 임의적인 비아 레벨 유전 재료 층(804)은 드레인 선택 레벨 게이트 전극들(87) 위에 형성될 수 있다. 드레인 선택 레벨 유전체 재료 층(802) 및 비아 레벨 유전 재료 층(804)은 콘택트 레벨 유전체 재료 층(80)이라고 일괄하여 지칭된다.60B illustrates an alternative configuration for the third exemplary structure in which drain select level gate electrodes 87 are formed after formation of the insulating cap layer 70 and prior to formation of the contact level dielectric layer 80 have. In this case, drain select level semiconductor pillars 85, drain select level gate dielectrics 82, and drain select level gate electrodes 87 may be formed on the drain regions 63. A drain select level dielectric material layer 802 and an optional via level dielectric material layer 804 may be formed over the drain select level gate electrodes 87. Drain select level dielectric material layer 802 and via level dielectric material layer 804 are collectively referred to as contact level dielectric material layer 80. [

도핑된 반도체 재료 층(166L)은 도핑된 폴리실리콘과 같은 도핑된 반도체 재료를 포함한다. 일 실시예에서, 도핑된 반도체 재료 층(166L)은, 1.0 x 105 S/cm 초과의 전도율을 갖고 매트릭스 재료 층(138) 및 소스 연결 층(146)의 전도성 유형과 동일한 전도성 유형을 갖는, 고농도로 도핑된 반도체 재료를 포함한다. 도핑된 반도체 재료 층(166L)은 저압 화학적 기상 퇴적(LPCVD)과 같은 컨포멀 퇴적 공정에 의해 퇴적될 수 있다. 일 실시예에서, 배면 트렌치(79)의 상단 부분이 배면 트렌치(79)의 하단 부분보다 더 큰 폭을 갖도록, 배면 트렌치(79)는 테이퍼진 프로파일을 가질 수 있다.The doped semiconductor material layer 166L includes a doped semiconductor material such as doped polysilicon. In one embodiment, the doped semiconductor material layer 166L has a conductivity type that is greater than 1.0 x 10 5 S / cm and has a conductivity type that is the same as the conductive type of the matrix material layer 138 and the source connection layer 146, Lt; RTI ID = 0.0 > doped < / RTI > semiconductor material. The doped semiconductor material layer 166L may be deposited by a conformal deposition process, such as low pressure chemical vapor deposition (LPCVD). In one embodiment, the backside trench 79 may have a tapered profile such that the top portion of the backside trench 79 has a greater width than the bottom portion of the backside trench 79.

일 실시예에서, 도핑된 반도체 재료 층(166L)의 두께는 하단 부분에서의 배면 트렌치(79)의 폭의 절반보다는 더 크고 상단 부분에서의 배면 트렌치(79)의 폭의 절반보다는 더 작도록 선택될 수 있다. 도핑된 반도체 재료 층(166L)은 배면 트렌치(79)의 하단에서 병합되고 배면 트렌치(79)의 상단에서 병합되지 않을 수 있다. 이 경우에, 도핑된 반도체 재료 층(166L)의 형성 이후에 각각의 배면 트렌치(79) 내에 쐐기 형상의 배면 캐비티가 존재할 수 있다. 각각의 측방 연장 캐비티(143)는 도핑된 반도체 재료 층(166L)에 의해 적어도 부분적으로 채워질 수 있다. 일 실시예에서, 캡슐화된 캐비티(167)가 배면 트렌치(79) 아래에 있는 각각의 측방 연장 캐비티(143)의 채워지지 않은 체적에 형성될 수 있다. 일 실시예에서, 도핑된 반도체 재료 층(166L)은 각각의 반도체 스페이서(172)의 내측 표면 바로 위에 형성될 수 있다.In one embodiment, the thickness of the doped semiconductor material layer 166L is selected to be greater than half the width of the backside trench 79 at the bottom portion and less than half the width of the backside trench 79 at the top portion . The doped semiconductor material layer 166L may be merged at the bottom of the back trench 79 and not at the top of the back trench 79. In this case, there may be a wedge-shaped backside cavity within each backside trench 79 after formation of the doped semiconductor material layer 166L. Each side extension cavity 143 may be at least partially filled with a doped semiconductor material layer 166L. In one embodiment, an encapsulated cavity 167 may be formed in the unfilled volume of each side extension cavity 143 below the backside trench 79. In one embodiment, a doped semiconductor material layer 166L may be formed directly on the inner surface of each semiconductor spacer 172.

도 61을 참조하면, 최하단 희생 재료 층(42)일 수 있는 최하단 스페이서 재료 층의 하단 표면을 포함하는 수평 평면 위쪽에 위치된 도핑된 반도체 재료 층(166L)의 부분들을 제거하기 위해 등방성 또는 비등방성 에칭이 수행될 수 있다. 도핑된 반도체 재료 층(166L)의 수직 부분들이 각각의 배면 트렌치(79) 내로부터 제거될 수 있다. 도핑된 반도체 재료 층(166L)의 각각의 남아 있는 부분은, 메모리 스택 구조물들(55) 내의 반도체 채널들(60)을 포함하는 수직 전계 효과 트랜지스터의 소스로서 기능하는, 소스 전도성 층(166)을 구성한다. 등방성 에칭 동안 소스 전도성 층(166)의 상단 표면 위쪽으로부터 반도체 스페이서(172)가 제거될 수 있다. 반도체 스페이서(172)의 임의의 남아 있는 부분은 소스 전도성 층(166)의 최상단 표면을 포함하는 수평 평면 아래에 위치될 수 있다.Referring to FIG. 61, to remove portions of the doped semiconductor material layer 166L located above the horizontal plane, including the bottom surface of the lowermost spacer material layer, which may be the lowermost sacrificial material layer 42, isotropic or anisotropic Etching can be performed. The vertical portions of the doped semiconductor material layer 166L may be removed from within the respective backside trenches 79. [ Each remaining portion of the doped semiconductor material layer 166L includes a source conductive layer 166 that functions as a source of vertical field effect transistors including semiconductor channels 60 in the memory stack structures 55 . The semiconductor spacers 172 may be removed from above the top surface of the source conductive layer 166 during isotropic etching. Any remaining portion of the semiconductor spacer 172 may be located below a horizontal plane that includes the top surface of the source conductive layer 166. [

소스 전도성 층(166)이 배면 트렌치(79)의 하부 부분 및 복수의 측방 연장 캐비티들(143) 내에 그리고 반도체 채널들(60)의 측벽들 상에 형성된다. 소스 전도성 층(166)은 소스 연결 층(146)에서의 개구부를 통해 형성될 수 있고, 소스 연결 층(146)의 하단 표면의 측벽들 및 부분들 바로 위에 형성될 수 있다.A source conductive layer 166 is formed in the lower portion of the backside trench 79 and in the plurality of lateral extension cavities 143 and on the sidewalls of the semiconductor channels 60. The source conductive layer 166 may be formed through an opening in the source connection layer 146 and may be formed directly on the sidewalls and portions of the bottom surface of the source connection layer 146.

각각의 소스 전도성 층(166)은, 제1 수평 방향을 따라 연장되고 서로 측방으로 이격된, 복수의 전도성 레일 구조물들(166A)을 포함한다. 복수의 전도성 레일 구조물들(166A)은 복수의 측방 연장 캐비티들(143)에 형성된다. 환언하면, 이 실시예에서 제1 수평 방향으로 연장되는 전도성 레일 구조물들(166A)은 비트 라인 방향과 그리고 워드 라인 방향과 10도 내지 80도만큼 상이할 수 있거나(즉, "XY" 방향으로 연장됨), 비트 라인 방향에 평행하고 워드 라인 방향에 수직일 수 있다(즉, "X" 방향에 수직인 "Y" 방향을 포함할 수 있음). 각각의 소스 전도성 층(166)은 또한 제1 수평 방향과 상이한 제2 수평 방향을 따라(예컨대, 워드 라인 "X" 방향으로) 연장되는 전도성 스트래들링 구조물(conductive straddling structure)(166B)을 포함한다. 전도성 스트래들링 구조물(166B)은 배면 트렌치(79)의 하부 부분에 형성된다. 전도성 레일 구조물들(166A) 각각은 전도성 스트래들링 구조물(166B)에 인접해 있다. 전도성 스트래들링 구조물(166B)은 전도성 레일 구조물들(166A) 각각을 스트래들한다. 환언하면, 전도성 스트래들링 구조물(166B)은, 제1 수평 방향을 따라 양방향으로 연장됨으로써 전도성 레일 구조물(166A)을 "스트래들"하는, 각각의 전도성 레일 구조물들(166A)의 길이방향 측벽(lengthwise sidewall)들로부터 멀어지는 쪽으로 연장된다. 각각의 소스 전도성 층(166)은 일체형 구조물, 즉 단일의 연속적인 구조물로서 형성된다.Each source conductive layer 166 includes a plurality of conductive rail structures 166A extending along the first horizontal direction and laterally spaced from one another. A plurality of conductive rail structures 166A are formed in the plurality of lateral extension cavities 143. [ In other words, the conductive rail structures 166A extending in the first horizontal direction in this embodiment may be different from the bit line direction and the word line direction by 10 degrees to 80 degrees (i.e., extending in the "XY" direction) ), Parallel to the bit line direction and perpendicular to the word line direction (i.e. may include a "Y" direction perpendicular to the "X" direction). Each source conductive layer 166 also includes a conductive straddling structure 166B extending along a second horizontal direction that is different from the first horizontal direction (e.g., in the word line "X" direction) . A conductive straining structure 166B is formed in the lower portion of the backside trench 79. [ Each of the conductive rail structures 166A is adjacent to the conductive strapping structure 166B. The conductive strapping structure 166B straddles each of the conductive rail structures 166A. In other words, the conductive strapping structure 166B extends in the longitudinal direction of each conductive rail structure 166A, which extends in both directions along the first horizontal direction to "straddle " the conductive rail structure 166A And extends away from the lengthwise sidewalls. Each source conductive layer 166 is formed as an integral structure, i.e., a single continuous structure.

도 62를 참조하면, 제3 예시적인 구조물은 제1 처리 시퀀스에 대해 예시되어 있다. 구체적으로는, 소스 전도성 층(166)의 표면 부분을 반도체 산화물 재료로 전환시키는 것에 의해 반도체 산화물 부분(175)이 형성될 수 있다. 예를 들어, 소스 전도성 층(166)이 도핑된 폴리실리콘을 포함하는 경우, 반도체 산화물 부분(175)은 도핑된 실리콘 산화물을 포함할 수 있다. 일 실시예에서, 반도체 산화물 부분(175)이 소스 전도성 층(166)의 전도성 스트래들링 구조물(166B) 상단에 그리고 교번 스택(들) 내의 최하단 스페이서 재료 층(즉, 최하단 희생 재료 층(42))의 레벨 아래에 형성될 수 있다.Referring to Figure 62, a third exemplary structure is illustrated for a first processing sequence. Specifically, the semiconductor oxide portion 175 can be formed by converting the surface portion of the source conductive layer 166 into a semiconductor oxide material. For example, if the source conductive layer 166 comprises doped polysilicon, the semiconductor oxide portion 175 may comprise doped silicon oxide. In one embodiment, a semiconductor oxide portion 175 is formed on top of the conductive straining structure 166B of the source conductive layer 166 and on the lowermost spacer material layer (i. E., The bottom sacrificial material layer 42 )). ≪ / RTI >

도 63을 참조하면, (제1 희생 재료 층들(42), 제2 희생 재료 층들(142), 및 제3 희생 재료 층들(242)을 포함할 수 있는) 스페이서 재료 층들을 절연 층들(32, 132, 232), 콘택트 레벨 유전체 층(80), 절연 캡 층(70), 및 반도체 산화물 부분(175)에 대해 선택적으로 제거하는 것에 의해 배면 리세스들(43)이 형성된다. 도 14의 처리 단계들 또는 도 33의 처리 단계들과 동일한 처리 단계들이 이용될 수 있다.63, spacer material layers (which may include first sacrificial material layers 42, second sacrificial material layers 142, and third sacrificial material layers 242) may be deposited on insulating layers 32, 132 The backside recesses 43 are formed by selective removal of the semiconductor oxide portions 175, 232, the contact level dielectric layer 80, the insulating cap layer 70, and the semiconductor oxide portion 175. The same processing steps as the processing steps of Fig. 14 or the processing steps of Fig. 33 can be used.

도 64를 참조하면, 배면 차단 유전체 층(도시되지 않음)이 배면 리세스들에 그리고 배면 트렌치(79)의 측벽들 상에 컨포멀하게 퇴적될 수 있다. 적어도 하나의 전도성 재료를 배면 리세스들(43)의 남아 있는 체적들에, 배면 트렌치(79)의 주변 부분들에, 그리고 콘택트 레벨 유전체 층(80) 위에 퇴적시키는 것에 의해 전기 전도성 층들(46) 및 연속적인 전도성 재료 층(46L)이 형성될 수 있다. 연속적인 전도성 재료 층(46L)은 배면 리세스들(43) 외부에 퇴적되는 적어도 하나의 전도성 재료의 부분을 지칭한다. 전기 전도성 층들(46)을 형성하기 위해 도 15의 처리 단계들 또는 도 34의 처리 단계들과 동일한 처리 단계들이 이용될 수 있다.64, a back-off dielectric layer (not shown) may be conformally deposited on the back-side recesses and on the sidewalls of the back-side trench 79. Electrically conductive layers 46 are formed by depositing at least one conductive material on the remaining volumes of backside recesses 43, on peripheral portions of backside trench 79, and on contact level dielectric layer 80. [ And a continuous conductive material layer 46L may be formed. The continuous conductive material layer 46L refers to a portion of at least one conductive material deposited outside the backside recesses 43. [ The same processing steps as the processing steps of FIG. 15 or the processing steps of FIG. 34 may be used to form the electrically conductive layers 46.

도 65를 참조하면, 연속적인 전도성 재료 층(46L)이, 등방성 에칭, 비등방성 에칭, 또는 이들의 조합일 수 있는, 리세스 에칭에 의해 제거될 수 있다. 배면 캐비티(79')는 각각의 배면 트렌치(79) 내의 반도체 산화물 부분(175) 위에 존재한다.Referring to Fig. 65, a continuous layer of conductive material 46L may be removed by recess etching, which may be isotropic etching, anisotropic etching, or a combination thereof. The back cavity 79 'is present above the semiconductor oxide portion 175 in each backside trench 79.

도 66을 참조하면, 유전체 세퍼레이터 구조물(78)을 형성하기 위해 유전체 재료가 배면 캐비티(79')에 퇴적된다. 콘택트 레벨 유전체 재료 층(80)의 상단 표면을 포함하는 수평 평면 위쪽에 퇴적된 유전체 재료의 잉여 부분들이, 예를 들어, 화학 기계적 평탄화 또는 리세스 에칭을 이용할 수 있는, 평탄화 공정에 의해 제거될 수 있다.Referring to FIG. 66, a dielectric material is deposited in the backside cavity 79 'to form a dielectric separator structure 78. Surplus portions of the dielectric material deposited above the horizontal plane, including the top surface of the contact level dielectric material layer 80, may be removed by a planarization process, which may utilize, for example, chemical mechanical planarization or recess etch. have.

도 67을 참조하면, 도 67 내지 도 73의 단계들에 대응하고 도 6a, 도 6b, 및 도 61 내지 도 66의 단계들에 대응하는 제1 처리 시퀀스 대신에 이용되는 제2 처리 시퀀스의 제1 단계가 예시되어 있다. 배면 트렌치(79)의 측벽들로부터 반도체 스페이서(172)를 제거하기 위해, 도 59에 예시된 제3 예시적인 구조물에 대해 등방성 에칭이 수행될 수 있다.67, which corresponds to the steps of FIGS. 67 to 73 and is used in place of the first processing sequence corresponding to the steps of FIGS. 6A, 6B and 61 to 66, Steps are illustrated. To remove the semiconductor spacers 172 from the sidewalls of the backside trenches 79, an isotropic etch may be performed on the third exemplary structure illustrated in FIG.

도 68을 참조하면, 도핑된 반도체 재료의 선택적 반도체 퇴적에 의해 소스 전도성 층(166)이 형성될 수 있다. 이 경우에, 매트릭스 재료 층(138)은 도핑된 반도체 재료를 포함하고, 소스 전도성 층(166)의 도핑된 반도체 재료는 매트릭스 재료 층(138)과 동일한 전도성 유형의 도핑을 갖는다.68, a source conductive layer 166 may be formed by selective semiconductor deposition of a doped semiconductor material. In this case, the matrix material layer 138 comprises a doped semiconductor material, and the doped semiconductor material of the source conductive layer 166 has the same conductivity type of doping as the matrix material layer 138.

선택적 반도체 퇴적 공정 동안, (실란, 디실란, 디클로로실란, 트리클로로실란, 게르만(germane) 등과 같은) 반도체 전구체 가스, (디보란, 포스핀, 아르신, 스티빈 등과 같은) 도펀트 가스, 및 (염화수소 가스와 같은) 에칭제 가스가 동시에 또는 반복적 시퀀스로 (수소, 질소, 및/또는 아르곤과 같은) 적어도 하나의 임의적인 캐리어 가스와 함께 공정 챔버 내로 유동될 수 있다. (절연 층들(32), 희생 재료 층(42, 142, 242), 절연 캡 층(70), 및 콘택트 레벨 유전체 층(80)의 유전체 표면들과 같은) 비정질 표면들은 (매트릭스 재료 층(138) 및 임의적인 소스 연결 층(146)의 다결정 반도체 표면들과 같은) 결정질 표면들 또는 반도체 표면들에 비해 반도체 재료에 대한 더 낮은 퇴적 속도를 제공한다. 비정질 표면 상의 반도체 재료의 퇴적 속도와 결정질 반도체 표면들 상의 반도체 재료의 퇴적 속도 사이에 있도록 에칭제 가스에 의한 에칭 속도를 설정하는 것에 의해(예를 들어, 에칭제 가스에 대한 적당한 유량을 선택하는 것에 의해), 도핑된 반도체 재료가, 절연 층들(32), 희생 재료 층(42, 142, 242), 절연 캡 층(70), 및 콘택트 레벨 유전체 층(80)의 유전체 표면들로부터는 성장하지 않으면서, 매트릭스 재료 층(138) 및 임의적인 소스 연결 층(146)의 결정질 반도체 표면들로부터만 성장할 수 있다.During the selective semiconductor deposition process, semiconductor precursor gases (such as silane, disilane, dichlorosilane, trichlorosilane, germane, etc.), dopant gases (such as diborane, phosphine, arsine, stibin, Etchant gas such as hydrogen chloride gas may flow into the process chamber simultaneously or in a repeating sequence with at least one optional carrier gas (such as hydrogen, nitrogen, and / or argon). Amorphous surfaces (such as dielectric layers 32, sacrificial material layers 42, 142, 242, insulating cap layer 70, and dielectric surfaces of contact level dielectric layer 80) And polycrystalline semiconductor surfaces of optional source coupling layer 146). ≪ / RTI > By setting the etch rate by the etchant gas to be between the deposition rate of the semiconductor material on the amorphous surface and the deposition rate of the semiconductor material on the crystalline semiconductor surfaces (e.g., by selecting the appropriate flow rate for the etchant gas The doped semiconductor material does not grow from the dielectric surfaces of the insulating layers 32, the sacrificial material layers 42, 142, 242, the insulating cap layer 70, and the contact level dielectric layer 80 But may only grow from the crystalline semiconductor surfaces of the matrix material layer 138 and the optional source coupling layer 146.

따라서, 선택적 반도체 재료 퇴적 공정은 도핑된 반도체 재료(예컨대, 폴리실리콘)를 반도체 표면들 상에 퇴적시키고 유전체 표면들로부터 성장시키지 않는다. 소스 전도성 층(166)은 소스 연결 층(146)에서의 개구부를 통해 형성될 수 있고, 소스 연결 층(146)의 하단 표면의 측벽들 및 부분들 바로 위에 형성될 수 있다.Thus, the optional semiconductor material deposition process deposits a doped semiconductor material (e.g., polysilicon) on the semiconductor surfaces and does not grow from the dielectric surfaces. The source conductive layer 166 may be formed through an opening in the source connection layer 146 and may be formed directly on the sidewalls and portions of the bottom surface of the source connection layer 146.

각각의 소스 전도성 층(166)은, 제1 수평 방향을 따라 연장되고 서로 측방으로 이격된, 복수의 전도성 레일 구조물들(166A)을 포함한다. 복수의 전도성 레일 구조물들(166A)은 복수의 측방 연장 캐비티들(143)에 형성된다. 각각의 소스 전도성 층(166)은 또한 제1 수평 방향과 상이한 제2 수평 방향을 따라 연장되는 전도성 스트래들링 구조물(166B)을 포함한다. 전도성 스트래들링 구조물(166B)은, 앞서 기술된 바와 같이, 배면 트렌치(79)의 하부 부분에 형성된다. 각각의 소스 전도성 층(166)은 일체형 구조물, 즉 단일의 연속적인 구조물로서 형성된다. 일 실시예에서, 캡슐화된 캐비티(167)가 배면 트렌치(79) 아래에 있는 각각의 측방 연장 캐비티(143)의 채워지지 않은 체적에 형성될 수 있다.Each source conductive layer 166 includes a plurality of conductive rail structures 166A extending along the first horizontal direction and laterally spaced from one another. A plurality of conductive rail structures 166A are formed in the plurality of lateral extension cavities 143. [ Each source conductive layer 166 also includes a conductive strapping structure 166B that extends along a second horizontal direction that is different from the first horizontal direction. The conductive straining structure 166B is formed in the lower portion of the backside trench 79, as previously described. Each source conductive layer 166 is formed as an integral structure, i.e., a single continuous structure. In one embodiment, an encapsulated cavity 167 may be formed in the unfilled volume of each side extension cavity 143 below the backside trench 79.

도 69를 참조하면, 소스 전도성 층(166)의 상단 표면의 평면성(planarity)을 개선시키기 위해 그리고 소스 전도성 층(166)의 상단 표면이 최하단 스페이서 재료 층, 즉 최하단 제1 희생 재료 층(42)의 하단 표면을 포함하는 수평 평면 아래에 제공되도록 보장하기 위해, 소스 전도성 층(166)의 전도성 스트래들링 구조물(166B)의 상단 표면이 임의로 리세싱될 수 있다.69, in order to improve the planarity of the top surface of the source conductive layer 166 and to improve the planarity of the top surface of the source conductive layer 166 to the bottom-most spacer material layer, i.e. the lowermost first sacrificial material layer 42, The top surface of the conductive straining structure 166B of the source conductive layer 166 may optionally be recessed to ensure that it is provided below a horizontal plane including the bottom surface of the source conductive layer 166. [

도 70을 참조하면, 예를 들어, 열 산화에 의해 또는 플라즈마 산화에 의해 소스 전도성 층(166)의 상단 부분(예컨대, 전도성 스트래들링 구조물(166B)의 상단 부분)을 반도체 산화물 재료로 전환시키는 것에 의해 반도체 산화물 부분(175)을 형성하기 위해, 도 62의 처리 단계들이 수행될 수 있다.70, the upper portion of the source conductive layer 166 (e.g., the upper portion of the conductive straining structure 166B) is converted to a semiconductor oxide material by, for example, thermal oxidation or by plasma oxidation The process steps of FIG. 62 may be performed to form the semiconductor oxide portion 175 by etching.

도 71을 참조하면, (제1 희생 재료 층들(42), 제2 희생 재료 층들(142), 및 제3 희생 재료 층들(242)을 포함할 수 있는) 스페이서 재료 층들을 절연 층들(32, 132, 232), 절연 캡 층(70), 및 반도체 산화물 부분(175)에 대해 선택적으로 제거하는 것에 의해 배면 리세스들(43)이 형성된다. 도 14의 처리 단계들 또는 도 33의 처리 단계들과 동일한 처리 단계들이 이용될 수 있다.71, spacer material layers (which may include first sacrificial material layers 42, second sacrificial material layers 142, and third sacrificial material layers 242) may be deposited on insulating layers 32, 132 , 232, insulating cap layer 70, and semiconductor oxide portion 175 are selectively removed to form backside recesses 43. [ The same processing steps as the processing steps of Fig. 14 or the processing steps of Fig. 33 can be used.

도 72를 참조하면, 배면 차단 유전체 층(도시되지 않음)이 배면 리세스들에 그리고 배면 트렌치(79)의 측벽들 상에 컨포멀하게 퇴적될 수 있다. 적어도 하나의 전도성 재료를 배면 리세스들(43)의 남아 있는 체적들에, 배면 트렌치(79)의 주변 부분들에, 그리고 절연 캡 층(70) 위에 퇴적시키는 것에 의해 전기 전도성 층들(46) 및 연속적인 전도성 재료 층(46L)이 형성될 수 있다. 연속적인 전도성 재료 층(46L)은 배면 리세스들(43) 외부에 퇴적되는 적어도 하나의 전도성 재료의 부분을 지칭한다. 전기 전도성 층들(46)을 형성하기 위해 도 15의 처리 단계들 또는 도 34의 처리 단계들과 동일한 처리 단계들이 이용될 수 있다.72, a back-off dielectric layer (not shown) may be conformally deposited on the back-side recesses and on the sidewalls of the back-side trench 79. By depositing at least one conductive material on the remaining volumes of the backside recesses 43, on peripheral portions of the backside trench 79, and on the insulating cap layer 70, the electrically conductive layers 46 and < RTI ID = 0.0 & A continuous conductive material layer 46L may be formed. The continuous conductive material layer 46L refers to a portion of at least one conductive material deposited outside the backside recesses 43. [ The same processing steps as the processing steps of FIG. 15 or the processing steps of FIG. 34 may be used to form the electrically conductive layers 46.

도 73을 참조하면, 연속적인 전도성 재료 층(46L)이, 등방성 에칭, 비등방성 에칭, 또는 이들의 조합일 수 있는, 리세스 에칭에 의해 제거될 수 있다. 배면 캐비티는 각각의 배면 트렌치(79) 내의 반도체 산화물 부분(175) 위에 존재한다. 유전체 세퍼레이터 구조물(78)을 형성하기 위해 유전체 재료가 배면 캐비티에 퇴적된다. 절연 캡 층(70)의 상단 표면을 포함하는 수평 평면 위쪽에(또는 콘택트 레벨 유전체 재료 층(80)이 이용되는 경우에 콘택트 레벨 유전체 재료 층(80)을 포함하는 수평 평면 위쪽에) 퇴적된 유전체 재료의 잉여 부분들이, 예를 들어, 화학 기계적 평탄화 또는 리세스 에칭을 이용할 수 있는, 평탄화 공정에 의해 제거될 수 있다.Referring to FIG. 73, a continuous layer of conductive material 46L may be removed by recess etching, which may be isotropic etching, anisotropic etching, or a combination thereof. The backside cavity is on the semiconductor oxide portion 175 in each backside trench 79. A dielectric material is deposited in the backside cavity to form the dielectric separator structure 78. (Or above a horizontal plane comprising the contact level dielectric material layer 80 when a contact level dielectric material layer 80 is used), including a top surface of the insulating cap layer 70 Surplus portions of the material may be removed, for example, by a planarization process, which may utilize chemical mechanical planarization or recess etch.

도 74를 참조하면, 유전체 세퍼레이터 구조물(78)의 형성 이후의 제3 예시적인 구조물의 (드레인 선택 레벨 게이트 전극들(87)을 포함하는) 대안의 실시예의 수직 단면도가 예시되어 있다.74, a vertical cross-sectional view of an alternative embodiment (including drain select level gate electrodes 87) of a third exemplary structure after formation of a dielectric separator structure 78 is illustrated.

도 75a 내지 도 75e는 도 74에 예시된 다양한 수평 단면 평면들(A-A', B-B', C-C', D-D', 및 E-E')을 따른 (제1 수평 방향과 제2 수평 방향이 서로에 대해 비직교인) 제1 구성에서의 제3 예시적인 구조물의 수평 단면도를 제공한다.75A-75E illustrate a cross-sectional view along the various horizontal section planes (A-A ', B-B', C-C ', D-D', and E-E ' And the second horizontal direction are non-orthogonal to each other) in a first configuration.

도 76a 내지 도 76e는 도 74에 예시된 다양한 수평 단면 평면들(A-A', B-B', C-C', D-D', 및 E-E')을 따른 (제1 수평 방향과 제2 수평 방향이 서로 직교인) 제2 구성에서의 제3 예시적인 구조물의 수평 단면도를 제공한다. 비트 라인들(90)의 위치는 도 74, 도 75e 및 도 76e에서 파선들로 도시되어 있다. 비트 라인들(90)은, 워드 라인(예컨대, "X") 방향에 수직이고 전도성 레일 구조물들(166A)의 연장 방향인 제1 수평 방향에 평행하거나 그와 10도 내지 80도(30도 내지 60도 등)만큼 상이할 수 있는, 비트 라인(예컨대, "Y") 방향으로 연장된다. 비트 라인들(90)은 각자의 드레인 콘택트 비아 콘택트 구조물들(88)을 통해 드레인 영역들에 전기적으로 연결된다. 이 제3 실시예에서, 매트릭스 재료 층(138)은 제1 및 제2 실시예들과 관련하여 앞서 기술된 지지 구조물(예컨대, 지지 페데스탈 구조물)로서 기능한다.76A through 76E are views of a plurality of horizontal cross-sectional planes A-A ', B-B', C-C ', D-D', and E-E ' And the second horizontal direction are orthogonal to one another) in a second configuration. The location of the bit lines 90 is shown in broken lines in Figures 74, 75E and 76E. The bit lines 90 are parallel to or perpendicular to the first horizontal direction which is perpendicular to the word line (e.g., "X") direction and extends in the direction of the conductive rail structures 166A, (E.g., "Y") direction, which may be different from the bit line (e.g., 60 degrees, etc.). The bit lines 90 are electrically connected to the drain regions through their drain contact via contact structures 88. In this third embodiment, the matrix material layer 138 functions as a support structure (e.g., a support pedestal structure) as previously described in connection with the first and second embodiments.

본 개시내용의 다양한 예시적인 구조물들은 3차원 메모리 디바이스를 포함할 수 있다. 3차원 메모리 디바이스는 기판(8) 위에 위치된 전기 전도성 층들(46) 및 절연 층들(32, 존재하는 경우 132, 존재하는 경우 232)의 교번 스택; 메모리 스택 구조물들(55)의 어레이 - 각각의 메모리 스택 구조물(55)은 교번 스택을 관통하여 연장되고 메모리 막(50) 및 메모리 막(50)에 의해 측방으로 둘러싸인 반도체 채널(60)을 포함함 -; 및 각각의 반도체 채널(60)의 측벽의 하단 부분과 접촉하고 교번 스택과 기판(8) 사이에 위치된 소스 전도성 층(76L, 150, 166)을 포함할 수 있다. 소스 전도성 층(76L, 150, 166)은 도핑된 반도체 재료 층일 수 있다.Various exemplary structures of the present disclosure may include a three-dimensional memory device. The three-dimensional memory device includes alternating stacks of electrically conductive layers 46 and insulating layers 32 (132, if present, 232, if present) located over the substrate 8; An array of memory stack structures 55- each memory stack structure 55 includes a semiconductor channel 60 extending through an alternating stack and surrounded laterally by a memory film 50 and a memory film 50 -; And source conductive layers 76L, 150, 166 that are in contact with the lower portion of the sidewalls of each semiconductor channel 60 and located between the alternating stack and the substrate 8. The source conductive layers 76L, 150, 166 may be doped semiconductor material layers.

일 실시예에서, 소스 전도성 층(166)은 제1 수평 방향을 따라 연장되고 서로 측방으로 이격된, 복수의 전도성 레일 구조물들(166A); 및 제1 수평 방향과 상이한 제2 수평 방향을 따라 연장되는 전도성 스트래들링 구조물(166B)을 포함하고, 여기서 전도성 레일 구조물들(166A) 각각은 전도성 스트래들링 구조물(166B)에 인접해 있다. 전도성 레일 구조물들(166A)은 메모리 디바이스의 소스 영역들로서 또는 도핑된 소스 영역이 반도체 채널(60)의 하단에 형성되는 경우 소스 전극으로서 기능할 수 있다.In one embodiment, the source conductive layer 166 includes a plurality of conductive rail structures 166A extending along a first horizontal direction and laterally spaced from one another; And a conductive strapping structure 166B extending along a second horizontal direction different from the first horizontal direction, wherein each conductive rail structure 166A is adjacent to the conductive strapping structure 166B have. Conductive rail structures 166A may serve as source regions of the memory device or as source electrodes when a doped source region is formed at the bottom of the semiconductor channel 60. [

일 실시예에서, 3차원 메모리 디바이스는 메모리 스택 구조물들 각각의 하단 부분을 측방으로 둘러싸는 매트릭스 재료 층(138)을 포함하는 지지 구조물을 포함할 수 있다. 복수의 전도성 레일 구조물들(166A)은 제1 수평 방향을 따라 연장되는 매트릭스 재료 층(138) 내의 복수의 채널들(141)에 위치된다.In one embodiment, the three-dimensional memory device may include a support structure that includes a matrix material layer 138 laterally surrounding the bottom portion of each of the memory stack structures. A plurality of conductive rail structures 166A are located in the plurality of channels 141 in the matrix material layer 138 extending along the first horizontal direction.

일 실시예에서, 각각의 메모리 막(50)의 볼록 측벽 및 하단 표면이 매트릭스 재료 층(138)과 접촉한다. 일 실시예에서, 각각의 반도체 채널(60)의 볼록 측벽은 각자의 전도성 레일 구조물(166A)의 오목 측벽과 접촉하고, 여기서 반도체 채널을 포함하는 메모리 스택 구조물(55)의 기하학적 중심을 통과하는 수직축을 중심으로 측정되는 바와 같은 볼록 측벽과 각자의 전도성 레일 구조물(166A) 사이의 콘택트 구역의 2개의 수직 에지 사이의 방위각은 45도 내지 270도의 범위에 있다. 일 실시예에서, 매트릭스 재료 층(138)은 제1 도핑된 반도체 재료를 포함하고 소스 전도성 층(166)에 전기적으로 단락된다. 일 실시예에서, 소스 전도성 층(166) 전체는, 소스 전도성 층(166)의 각각의 부분 전체에 걸쳐 연속적으로 연장되고 제1 도핑된 반도체 재료와 동일한 전도성 유형을 갖는 제2 도핑된 반도체 재료를 포함하는, 일체형 구조물일 수 있다.In one embodiment, the convex sidewalls and bottom surfaces of each memory film 50 contact the matrix material layer 138. In one embodiment, the convex sidewalls of each semiconductor channel 60 are in contact with the concave sidewalls of their respective conductive rail structures 166A, wherein a vertical axis passing through the geometric center of the memory stack structure 55, The azimuthal angle between the two vertical edges of the contact area between the convex sidewalls and the respective conductive rail structure 166A as measured about the center of the conductive rail structure 166A is in the range of 45 degrees to 270 degrees. In one embodiment, the matrix material layer 138 comprises a first doped semiconductor material and is electrically shorted to the source conductive layer 166. [ In one embodiment, the entire source conductive layer 166 includes a second doped semiconductor material that extends continuously over each portion of the source conductive layer 166 and has the same conductivity type as the first doped semiconductor material Or may be an integral structure including, e.g.

일 실시예에서, 복수의 전도성 레일 구조물들(166A)의 각각의 측벽은 한 세트의 오목 수직 측벽 부분들과 서로 인접된 한 세트의 평면 수직 측벽 부분들을 포함하고, 각각의 평면 수직 측벽 부분들은 매트릭스 재료 층(138)과 접촉하고, 각각의 오목 수직 측벽 부분들은 각자의 반도체 채널(60)과 접촉한다. 일 실시예에서, 복수의 전도성 레일 구조물들(166A)의 하단 표면들 전체는 매트릭스 재료 층(138)의 하단 표면을 포함하는 수평 평면 위쪽에 위치되는 매트릭스 재료 층(138)의 리세싱된 표면들과 접촉한다.In one embodiment, each side wall of the plurality of conductive rail structures 166A includes a set of concave vertical sidewall portions and a set of planar vertical sidewall portions adjacent to each other, Material layer 138, and each concave vertical sidewall portion is in contact with its respective semiconductor channel 60. In one embodiment, the entire lower surface of the plurality of conductive rail structures 166A are recessed surfaces of the matrix material layer 138 located above the horizontal plane, including the lower surface of the layer of matrix material 138 / RTI >

일 실시예에서, 전도성 스트래들링 구조물(166B)은 복수의 전도성 레일 구조물들(166A) 각각 위에 있고, 복수의 전도성 레일 구조물들(166A) 각각의 상단 부분에 인접하며, 복수의 전도성 레일 구조물들(166A)과 동일한 전도성 재료를 포함한다.In one embodiment, the conductive strapping structure 166B is on each of the plurality of conductive rail structures 166A, adjacent to the top portion of each of the plurality of conductive rail structures 166A, Lt; RTI ID = 0.0 > 166A. ≪ / RTI >

복수의 비트 라인들(90)은 비트 라인 방향(즉, "Y" 방향)으로 연장되고, 전기 전도성 층들(46)은 비트 라인 방향에 수직인 워드 라인 방향으로(즉, "X" 방향으로) 연장되는 워드 라인들을 포함한다. 전도성 스트래들링 구조물(166B)은 또한 워드 라인 방향에 평행하고 비트 라인 방향에 수직인 워드 라인 방향으로 연장된다. 일 실시예에서, 제1 수평 방향(즉, 전도성 레일 구조물들(166A)이 연장되는 "XY" 방향)은 워드 라인 방향 및 비트 라인 방향 둘 다와, 예컨대, 10도 내지 80도만큼, 예를 들어, 30도 내지 60도만큼 상이하다. 환언하면, 제1 수평 방향이 워드 라인 방향과 N도(예컨대, 10도 또는 30도)만큼 상이한 경우, 제1 수평 방향은 비트 라인 방향과 90-N도(예컨대, 80도 또는 60도)만큼 상이하다. 다른 실시예에서, 제1 수평 방향은 비트 라인 방향에 평행하고 전도성 레일 구조물들(166A)은 비트 라인들(90)에 평행하다.The plurality of bit lines 90 extend in the bit line direction (i.e., the "Y" direction) and the electrically conductive layers 46 extend in the word line direction And extending word lines. The conductive straining structure 166B also extends in the wordline direction parallel to the wordline direction and perpendicular to the bitline direction. In one embodiment, the first horizontal direction (i. E., The "XY" direction in which the conductive rail structures 166A extend) is greater than both the word line direction and the bit line direction, For example, it differs from 30 degrees to 60 degrees. In other words, when the first horizontal direction is different from the word line direction by N degrees (for example, 10 degrees or 30 degrees), the first horizontal direction is 90-N degrees (for example, 80 degrees or 60 degrees) It is different. In another embodiment, the first horizontal direction is parallel to the bit line direction and the conductive rail structures 166A are parallel to the bit lines 90.

소스 연결 층(146)은 복수의 전도성 레일 구조물들(166A)과 교번 스택 사이에 위치될 수 있다. 소스 연결 층(146)은 전도성 스트래들링 구조물(166B)의 측벽과 접촉할 수 있고, 메모리 스택 구조물들(55)을 측방으로 둘러쌀 수 있다.The source connection layer 146 may be positioned between the plurality of conductive rail structures 166A and the alternating stack. The source connection layer 146 may contact the side walls of the conductive strapping structure 166B and laterally surround the memory stack structures 55. [

주변 디바이스들(210)은 메모리 스택 구조물들(55)을 포함하는 메모리 어레이 영역(100) 아래에 또는 인접한 주변 디바이스 영역(200)에 위치될 수 있고 부가의 전기 콘택트들(도시되지 않음)을 사용하여 소스 연결 층(146)과 전기적으로 접촉할 수 있다.Peripheral devices 210 may be located below or adjacent memory device area 100 including memory stack structures 55 and may use additional electrical contacts (not shown) And may be in electrical contact with the source connection layer 146.

유전체 재료를 포함하는 유전체 세퍼레이터 구조물(78)은 교번 스택 전체를 관통하여 수직으로 연장될 수 있고, 전도성 스트래들링 구조물(166B)의 구역 전체 위에 있을 수 있다. 유전체 세퍼레이터 구조물(78)의 유전체 재료는 배면 트렌치(79) 내부의 비슷한 체적의 금속 재료 부분보다 배면 트렌치(79) 내부로부터의 기계적 응력을 더 적게 생성한다. 따라서, 배면 트렌치에 금속 재료 부분 대신에 유전체 세퍼레이터 구조물(78)을 제공하는 것에 의해, 3차원 메모리 디바이스의 기계적 응력이 완화될 수 있다.A dielectric separator structure 78 comprising a dielectric material may extend vertically through the entire alternate stack and may be over the entire area of the conductive strapping structure 166B. The dielectric material of the dielectric separator structure 78 produces less mechanical stress from the interior of the backside trench 79 than a similar volume of metallic material portion within the backside trench 79. Thus, by providing the dielectric separator structure 78 instead of the metal material portion in the backside trench, the mechanical stress of the three-dimensional memory device can be mitigated.

일 실시예에서, 3차원 메모리 디바이스는 기판(8) 위에 위치된 수직 NAND 디바이스를 포함하고, 전기 전도성 층들(46)은 NAND 디바이스의 각자의 워드 라인을 포함하거나 그에 전기적으로 연결되고, 기판(8)은 실리콘 기판을 포함할 수 있다. 일 실시예에서, 수직 NAND 디바이스는 실리콘 기판 위에 모놀리식 3차원 NAND 스트링들의 어레이를 포함하고, 모놀리식 3차원 NAND 스트링들의 어레이의 제1 디바이스 레벨에 있는 적어도 하나의 메모리 셀은 모놀리식 3차원 NAND 스트링들의 어레이의 제2 디바이스 레벨에 있는 다른 메모리 셀 위에 위치되며, 실리콘 기판은 그 위에 위치된 메모리 디바이스에 대한 구동기 회로를 포함하는 집적 회로를 포함한다. 일 실시예에서, 전기 전도성 층들(46)은 기판의 상단 표면에 실질적으로 평행하게(예컨대, 배면 트렌치들(79)의 쌍들 사이의 제1 수평 방향을 따라) 연장되는 스트립 형상을 갖는 복수의 제어 게이트 전극들을 포함하고, 복수의 제어 게이트 전극들은 적어도 제1 디바이스 레벨에 위치된 제1 제어 게이트 전극 및 제2 디바이스 레벨에 위치된 제2 제어 게이트 전극을 포함할 수 있다. 일 실시예에서, 모놀리식 3차원 NAND 스트링들의 어레이는: 복수의 반도체 채널들(60) - 복수의 반도체 채널들(60)의 각각의 반도체 채널의 적어도 하나의 단부 부분은 기판의 상단 표면에 실질적으로 수직으로 연장됨 -, 및 (전하 저장 요소 층(504, 54)의 부분들로서 구현되는 바와 같은) 복수의 전하 저장 요소들을 포함할 수 있다. 각각의 전하 저장 요소는 복수의 반도체 채널들(60)의 각자의 반도체 채널에 인접하여 위치될 수 있다.In one embodiment, the three-dimensional memory device comprises a vertical NAND device located on a substrate 8, and the electrically conductive layers 46 comprise or are electrically connected to a respective word line of the NAND device, ) May comprise a silicon substrate. In one embodiment, the vertical NAND device comprises an array of monolithic three-dimensional NAND strings on a silicon substrate, and at least one memory cell at a first device level of the array of monolithic three-dimensional NAND strings comprises a monolithic And is positioned over another memory cell at a second device level of the array of three-dimensional NAND strings, wherein the silicon substrate comprises an integrated circuit comprising driver circuitry for a memory device located thereon. In one embodiment, the electrically conductive layers 46 include a plurality of controls having a strip shape extending substantially parallel to the top surface of the substrate (e.g., along a first horizontal direction between pairs of backside trenches 79) Gate electrodes, and the plurality of control gate electrodes may include at least a first control gate electrode positioned at a first device level and a second control gate electrode positioned at a second device level. In one embodiment, the array of monolithic three-dimensional NAND strings comprises: a plurality of semiconductor channels 60, at least one end portion of each of the semiconductor channels of the plurality of semiconductor channels 60, Substantially vertically extending, and a plurality of charge storage elements (such as those implemented as portions of the charge storage element layers 504, 54). Each charge storage element may be located adjacent to a respective semiconductor channel of a plurality of semiconductor channels 60.

본 개시내용의 다양한 실시예들의 소스 전도성 층들(76L, 150, 166)은 메모리 스택 구조물들(55) 내의 반도체 채널들(60)을 포함하는 수직 전계 효과 트랜지스터들에 대한 공통 소스 전극으로서 기능할 수 있다. 배면 트렌치들(79) 내에 금속 구조물을 형성하는 것을 피하는 것에 의해, 3차원 메모리 디바이스의 기계적 응력 레벨이 상당히 감소될 수 있다. 소스 전도성 층들(76L, 150, 166)은 콘택트 영역(300)에 제공될 수 있는 소스 전극 콘택트 비아 구조물(도시되지 않음)에 의해 접촉될 수 있다.The source conductive layers 76L, 150, 166 of the various embodiments of the present disclosure can serve as common source electrodes for vertical field effect transistors including semiconductor channels 60 in the memory stack structures 55 have. By avoiding the formation of metal structures in the backside trenches 79, the mechanical stress level of the three dimensional memory device can be significantly reduced. The source conductive layers 76L, 150, 166 may be contacted by a source electrode contact via structure (not shown) that may be provided in the contact region 300. [

전술한 바가 특정의 바람직한 실시예들을 언급하고 있지만, 본 발명이 그렇게 제한되지 않는다는 것이 이해될 것이다. 본 기술분야의 통상의 기술자라면 개시된 실시예들에 대해 다양한 수정들이 행해질 수 있다는 것과 이러한 수정들이 본 발명의 범주 내에 있는 것으로 의도되어 있다는 것을 알 것이다. 본원에서 인용되는 간행물들, 특허 출원들 및 특허들 모두는 참조에 의해 그 전체가 본원에 원용된다.While the foregoing is directed to certain preferred embodiments, it will be understood that the invention is not so limited. Those of ordinary skill in the art will recognize that various modifications may be made to the disclosed embodiments and that such modifications are intended to be within the scope of the present invention. All publications, patent applications, and patents cited herein are hereby incorporated by reference in their entirety.

Claims (22)

3차원 메모리 디바이스로서,
기판 위에 위치된 전기 전도성 층들 및 절연 층들의 교번 스택(alternating stack);
메모리 스택 구조물들의 어레이 - 각각의 메모리 스택 구조물은 상기 교번 스택을 관통하여 연장되고 메모리 막 및 상기 메모리 막에 의해 측방으로 둘러싸인 반도체 채널을 포함함 -; 및
각각의 반도체 채널의 측벽의 하단 부분과 접촉하고 상기 교번 스택과 상기 기판 사이에 위치된 소스 전도성 층
을 포함하고, 상기 소스 전도성 층은 제1 수평 방향을 따라 연장되고 서로 측방으로 이격된 복수의 전도성 레일 구조물들을 포함하는, 3차원 메모리 디바이스.
As a three-dimensional memory device,
An alternating stack of electrically conductive layers and insulating layers disposed over a substrate;
An array of memory stack structures, each memory stack structure extending through the alternate stack and including a memory film and a semiconductor channel laterally surrounded by the memory film; And
A source conductive layer disposed between the alternating stack and the substrate and in contact with a bottom portion of a sidewall of each semiconductor channel,
Wherein the source conductive layer comprises a plurality of conductive rail structures extending along a first horizontal direction and laterally spaced from each other.
제1항에 있어서, 상기 소스 전도성 층은 상기 제1 수평 방향과 상이한 제2 수평 방향을 따라 연장되는 전도성 스트래들링 구조물(conductive straddling structure)을 추가로 포함하고, 상기 전도성 레일 구조물들 각각은 상기 전도성 스트래들링 구조물에 인접해 있는, 3차원 메모리 디바이스.2. The method of claim 1 wherein the source conductive layer further comprises a conductive straddling structure extending along a second horizontal direction different from the first horizontal direction, Wherein the conductive straining structure is adjacent to the conductive straining structure. 제2항에 있어서, 상기 메모리 스택 구조물들 각각의 하단 부분을 측방으로 둘러싸는 매트릭스 재료 층을 포함하는 지지 구조물을 추가로 포함하는, 3차원 메모리 디바이스.3. The three dimensional memory device of claim 2, further comprising a support structure comprising a layer of matrix material laterally surrounding the bottom portion of each of the memory stack structures. 제3항에 있어서,
상기 복수의 전도성 레일 구조물들은 상기 제1 수평 방향을 따라 연장되는 상기 매트릭스 재료 층 내의 복수의 채널들에 위치되고;
각각의 메모리 막의 볼록 측벽 및 하단 표면이 상기 매트릭스 재료 층과 접촉하는, 3차원 메모리 디바이스.
The method of claim 3,
The plurality of conductive rail structures being located in a plurality of channels in the layer of matrix material extending along the first horizontal direction;
And a convex sidewall and a bottom surface of each memory film are in contact with the matrix material layer.
제3항에 있어서, 각각의 반도체 채널의 볼록 측벽은 각자의 전도성 레일 구조물의 오목 측벽과 접촉하고, 상기 반도체 채널을 포함하는 메모리 스택 구조물의 기하학적 중심을 통과하는 수직축을 중심으로 측정되는 바와 같은 상기 볼록 측벽과 상기 각자의 전도성 레일 구조물 사이의 콘택트 구역(contact area)의 2개의 수직 에지 사이의 방위각은 45도 내지 270도의 범위에 있는, 3차원 메모리 디바이스.4. The method of claim 3, wherein the convex sidewalls of each of the semiconductor channels are in contact with the concave sidewalls of their respective conductive rail structures and are spaced apart from each other by a predetermined distance, as measured about a vertical axis passing through the geometric center of the memory stack structure, Wherein an azimuth angle between two vertical edges of a contact area between the convex sidewalls and the respective conductive rail structure is in the range of 45 degrees to 270 degrees. 제3항에 있어서,
상기 매트릭스 재료 층은 제1 도핑된 반도체 재료를 포함하고 상기 소스 전도성 층에 전기적으로 단락되며;
상기 소스 전도성 층 전체는, 상기 소스 전도성 층의 각각의 부분 전체에 걸쳐 연속적으로 연장되고 상기 제1 도핑된 반도체 재료와 동일한 전도성 유형을 갖는 제2 도핑된 반도체 재료를 포함하는 일체형 구조물인, 3차원 메모리 디바이스.
The method of claim 3,
The matrix material layer comprising a first doped semiconductor material and electrically shorted to the source conductive layer;
Wherein the entire source conductive layer is an integral structure that extends continuously over each portion of the source conductive layer and comprises a second doped semiconductor material having the same conductivity type as the first doped semiconductor material, Memory device.
제3항에 있어서,
상기 복수의 전도성 레일 구조물들의 각각의 측벽은 한 세트의 오목 수직 측벽 부분들과 서로 인접된 한 세트의 평면 수직 측벽 부분들을 포함하고;
상기 평면 수직 측벽 부분들 각각은 상기 매트릭스 재료 층과 접촉하며;
상기 오목 수직 측벽 부분들 각각은 각자의 반도체 채널과 접촉하고;
상기 복수의 전도성 레일 구조물들의 상기 하단 표면들 전체는 상기 매트릭스 재료 층의 하단 표면을 포함하는 수평 평면 위쪽에 위치되는 상기 매트릭스 재료 층의 리세싱된 표면들과 접촉하는, 3차원 메모리 디바이스.
The method of claim 3,
Each sidewall of the plurality of conductive rail structures includes a set of concave vertical sidewall portions and a set of planar vertical sidewall portions adjacent to each other;
Each of the planar vertical sidewall portions being in contact with the layer of matrix material;
Each of said concave vertical sidewall portions being in contact with a respective semiconductor channel;
Wherein all of said bottom surfaces of said plurality of conductive rail structures are in contact with recessed surfaces of said matrix material layer located above a horizontal plane comprising a bottom surface of said layer of matrix material.
제2항에 있어서, 상기 전도성 스트래들링 구조물은 상기 복수의 전도성 레일 구조물들 각각 위에 있고, 상기 복수의 전도성 레일 구조물들 각각의 상단 부분에 인접하며, 상기 복수의 전도성 레일 구조물들과 동일한 전도성 재료를 포함하는, 3차원 메모리 디바이스.3. The method of claim 2, wherein the conductive strapping structure is on each of the plurality of conductive rail structures, adjacent to a top portion of each of the plurality of conductive rail structures, Dimensional memory device. 제8항에 있어서, 비트 라인 방향으로 연장되는 복수의 비트 라인들을 추가로 포함하고, 상기 전기 전도성 층들은 상기 비트 라인 방향에 수직인 워드 라인 방향으로 연장되는 워드 라인들을 포함하는, 3차원 메모리 디바이스.The memory device of claim 8, further comprising a plurality of bit lines extending in a bit line direction, the electrically conductive layers including word lines extending in a word line direction perpendicular to the bit line direction, . 제9항에 있어서,
상기 제1 수평 방향은 상기 워드 라인 방향 및 상기 비트 라인 방향 둘 다와 상이하고;
상기 전도성 스트래들링 구조물은 상기 워드 라인 방향으로 연장되는, 3차원 메모리 디바이스.
10. The method of claim 9,
The first horizontal direction being different from both the word line direction and the bit line direction;
Wherein the conductive straining structure extends in the word line direction.
제9항에 있어서,
상기 제1 수평 방향은 상기 비트 라인 방향에 평행하고;
상기 전도성 스트래들링 구조물은 상기 워드 라인 방향으로 연장되는, 3차원 메모리 디바이스.
10. The method of claim 9,
The first horizontal direction being parallel to the bit line direction;
Wherein the conductive straining structure extends in the word line direction.
제2항에 있어서,
상기 복수의 전도성 레일 구조물들과 상기 교번 스택 사이에 위치되고, 상기 전도성 스트래들링 구조물의 측벽과 접촉하며, 상기 메모리 스택 구조물들을 측방으로 둘러싸는 소스 연결 층; 및
유전체 재료를 포함하고, 상기 교번 스택 전체를 관통하여 수직으로 연장되며, 상기 전도성 스트래들링 구조물의 구역 전체 위에 있는 유전체 세퍼레이터 구조물(dielectric separator structure)
을 추가로 포함하는, 3차원 메모리 디바이스.
3. The method of claim 2,
A source connection layer located between the plurality of conductive rail structures and the alternate stack, the source connection layer contacting the sidewalls of the conductive strapping structure and laterally surrounding the memory stack structures; And
A dielectric separator structure overlying the entire region of the conductive straining structure, the dielectric separator structure including a dielectric material, the dielectric separator structure extending vertically through the entire alternate stack,
Wherein the memory device further comprises:
제1항에 있어서,
상기 3차원 메모리 디바이스는 상기 기판 위에 위치된 수직 NAND 디바이스를 포함하고;
상기 전기 전도성 층들은 상기 NAND 디바이스의 각자의 워드 라인을 포함하거나, 그에 전기적으로 연결되며;
상기 기판은 실리콘 기판을 포함하며;
상기 수직 NAND 디바이스는 상기 실리콘 기판 위에 모놀리식 3차원 NAND 스트링들의 어레이를 포함하고;
상기 모놀리식 3차원 NAND 스트링들의 어레이의 제1 디바이스 레벨에 있는 적어도 하나의 메모리 셀은 상기 모놀리식 3차원 NAND 스트링들의 어레이의 제2 디바이스 레벨에 있는 다른 메모리 셀 위에 위치되며;
상기 실리콘 기판은 그 위에 위치된 상기 메모리 디바이스에 대한 구동기 회로를 포함하는 집적 회로를 포함하고;
상기 전기 전도성 층들은 상기 기판의 상기 상단 표면에 실질적으로 평행하게 연장되는 스트립 형상을 갖는 복수의 제어 게이트 전극들을 포함하고, 상기 복수의 제어 게이트 전극들은 적어도 상기 제1 디바이스 레벨에 위치된 제1 제어 게이트 전극 및 상기 제2 디바이스 레벨에 위치된 제2 제어 게이트 전극을 포함하며;
상기 모놀리식 3차원 NAND 스트링들의 어레이는:
복수의 반도체 채널들 - 상기 복수의 반도체 채널들의 각각의 반도체 채널의 적어도 하나의 단부 부분은 상기 기판의 상단 표면에 실질적으로 수직으로 연장됨 -, 및
복수의 전하 저장 요소들 - 각각의 전하 저장 요소는 상기 복수의 반도체 채널들의 각자의 반도체 채널에 인접하여 위치됨 -
을 포함하는, 3차원 메모리 디바이스.
The method according to claim 1,
Said three dimensional memory device comprising a vertical NAND device located above said substrate;
The electrically conductive layers comprising or electrically connected to a respective word line of the NAND device;
The substrate comprising a silicon substrate;
Wherein the vertical NAND device comprises an array of monolithic three-dimensional NAND strings on the silicon substrate;
At least one memory cell at a first device level of the array of monolithic three-dimensional NAND strings is located over another memory cell at a second device level of the array of monolithic three-dimensional NAND strings;
The silicon substrate comprising an integrated circuit comprising driver circuitry for the memory device located thereon;
Wherein the electrically conductive layers comprise a plurality of control gate electrodes having a strip shape extending substantially parallel to the top surface of the substrate and wherein the plurality of control gate electrodes comprise at least a first control A gate electrode and a second control gate electrode located at the second device level;
Wherein the array of monolithic three-dimensional NAND strings comprises:
A plurality of semiconductor channels, at least one end portion of each semiconductor channel of the plurality of semiconductor channels extending substantially perpendicular to an upper surface of the substrate; and
A plurality of charge storage elements, each charge storage element being located adjacent a respective semiconductor channel of the plurality of semiconductor channels,
Dimensional memory device.
3차원 메모리 디바이스를 형성하는 방법으로서,
기판 위에 제1 수평 방향을 따라 연장되는 복수의 채널들을 포함하는 매트릭스 재료 층을 형성하는 단계;
상기 복수의 채널들에 복수의 희생 레일 구조물들을 형성하는 단계;
상기 매트릭스 재료 층 및 상기 희생 레일 구조물들 위에 절연체 층들 및 스페이서 재료 층들의 교번 스택을 형성하는 단계;
메모리 스택 구조물들을 상기 교번 스택 및 상기 희생 레일 구조물들의 부분들을 관통하여 형성하는 단계 - 상기 메모리 스택 구조물들 각각은 각자의 메모리 막 및 각자의 반도체 채널을 포함함 -;
상기 교번 스택을 관통하여 연장되는 배면 트렌치를 형성하는 단계 - 상기 희생 레일 구조물들의 표면들이 상기 배면 트렌치 아래쪽에서 물리적으로 노출됨 -;
복수의 측방 연장 캐비티들을 형성하기 위해 상기 복수의 희생 레일 구조물들을 상기 매트릭스 재료 층에 대해 선택적으로 제거하는 단계;
상기 매트릭스 재료 층과 접촉하는 상기 메모리 막의 부분들은 제거하지 않으면서, 상기 측방 연장 캐비티들에 물리적으로 노출된 상기 메모리 막의 부분들을 제거하는 단계; 및
소스 전도성 층을 상기 배면 트렌치의 하부 부분 및 상기 복수의 측방 연장 캐비티들 내에 그리고 상기 반도체 채널들의 측벽들과 접촉하게 형성하는 단계
를 포함하는, 방법.
A method of forming a three-dimensional memory device,
Forming a matrix material layer on the substrate, the matrix material layer including a plurality of channels extending along a first horizontal direction;
Forming a plurality of sacrificial rail structures in the plurality of channels;
Forming an alternating stack of insulator layers and spacer material layers over the matrix material layer and the sacrificial rail structures;
Forming memory stack structures through portions of the alternating stack and the sacrificial rail structures, each of the memory stack structures including a respective memory film and a respective semiconductor channel;
Forming a backside trench extending through the alternating stack, the surfaces of the sacrificial rail structures being physically exposed beneath the backside trench;
Selectively removing said plurality of sacrificial rail structures relative to said matrix material layer to form a plurality of lateral extension cavities;
Removing portions of the memory film physically exposed to the lateral extending cavities without removing portions of the memory film that are in contact with the layer of matrix material; And
Forming a source conductive layer in the bottom portion of the backside trench and in the plurality of lateral extension cavities and in contact with the sidewalls of the semiconductor channels
/ RTI >
제14항에 있어서, 상기 소스 전도성 층은:
제1 수평 방향을 따라 연장되고 서로 측방으로 이격되며 상기 복수의 측방 연장 캐비티들에 형성되는 복수의 전도성 레일 구조물들; 및
상기 제1 수평 방향과 상이한 제2 수평 방향을 따라 연장되고 상기 배면 트렌치의 하부 부분에 형성되는 전도성 스트래들링 구조물
을 포함하고, 상기 전도성 레일 구조물들 각각은 상기 전도성 스트래들링 구조물에 인접해 있는, 방법.
15. The device of claim 14, wherein the source conductive layer comprises:
A plurality of conductive rail structures extending along a first horizontal direction and laterally spaced from each other and formed in the plurality of side extension cavities; And
A conductive strapping structure extending along a second horizontal direction different from the first horizontal direction and formed in a lower portion of the rear trench;
Wherein each of the conductive rail structures is adjacent to the conductive strained ring structure.
제14항에 있어서, 메모리 개구부들(memory openings)을 상기 교번 스택을 관통하여 그리고 상기 매트릭스 재료 층의 상부 부분을 관통하여 형성하는 단계 - 상기 메모리 개구부들 각각은 각자의 희생 레일 구조물과 상기 매트릭스 재료 층 사이의 계면을 스트래들(straddle)함 - 를 추가로 포함하는, 방법.15. The method of claim 14, further comprising: forming memory openings through the alternate stack and through the upper portion of the layer of matrix material, each of the memory openings having a respective sacrificial rail structure and the matrix material And straddles the interface between the layers. 제14항에 있어서, 상기 소스 전도성 층은:
컨포멀 퇴적 공정(conformal deposition process)을 이용하여 상기 복수의 측방 연장 캐비티들 및 상기 배면 트렌치에 도핑된 반도체 재료 층을 퇴적시키는 단계 - 상기 도핑된 반도체 재료 층으로 채워지지 않은 배면 캐비티가 상기 배면 트렌치에 존재함 -; 및
상기 도핑된 반도체 재료 층의 수직 부분들을 상기 배면 트렌치 내로부터 등방성으로 제거하는 단계 - 상기 도핑된 반도체 재료 층의 남아 있는 부분은 상기 소스 전도성 층을 포함함 -
에 의해 형성되는, 방법.
15. The device of claim 14, wherein the source conductive layer comprises:
Depositing a layer of doped semiconductor material in the plurality of lateral extension cavities and the backside trench using a conformal deposition process, wherein the backside cavity, which is not filled with the doped semiconductor material layer, ≪ / RTI > And
Isotropically removing vertical portions of the doped semiconductor material layer from within the backside trench, the remaining portion of the doped semiconductor material layer comprising the source conductive layer,
≪ / RTI >
제14항에 있어서,
상기 매트릭스 재료 층은 반도체 재료를 포함하고;
상기 소스 전도성 층은 반도체 재료를 반도체 표면들 상에 퇴적시키고 유전체 표면들로부터 성장시키지 않는 선택적 반도체 재료 퇴적 공정에 의해 형성되는, 방법.
15. The method of claim 14,
Wherein the matrix material layer comprises a semiconductor material;
Wherein the source conductive layer is formed by an optional semiconductor material deposition process that deposits a semiconductor material on semiconductor surfaces and does not grow from dielectric surfaces.
제14항에 있어서, 상기 복수의 희생 레일 구조물들 및 상기 매트릭스 재료 층 위에 전도성 재료를 포함하는 소스 연결 층을 형성하는 단계를 추가로 포함하고, 상기 교번 스택은 상기 소스 연결 층 위에 형성되고, 상기 소스 전도성 층은 상기 소스 연결 층 내의 개구부를 통해 형성되는, 방법.15. The method of claim 14, further comprising forming a source connection layer comprising a conductive material over the plurality of sacrificial rail structures and the matrix material layer, wherein the alternate stack is formed over the source connection layer, Wherein a source conductive layer is formed through the opening in the source connection layer. 제14항에 있어서,
상기 소스 전도성 층의 상단에 그리고 상기 교번 스택 내의 최하단 스페이서 재료 층의 레벨 아래에 반도체 산화물 부분을 형성하는 단계;
상기 스페이서 재료 층들을 상기 절연 층들에 대해 선택적으로 제거하는 것에 의해 배면 리세스들을 형성하는 단계; 및
상기 배면 리세스들 내에 전기 전도성 층들을 형성하는 단계
를 추가로 포함하는, 방법.
15. The method of claim 14,
Forming a semiconductor oxide portion on top of the source conductive layer and below a level of the lowermost spacer material layer in the alternating stack;
Forming the backside recesses by selectively removing the spacer material layers with respect to the insulating layers; And
Forming electrically conductive layers in the backside recesses
≪ / RTI >
제20항에 있어서,
상기 전기 전도성 층들의 형성 이후에 상기 반도체 산화물 부분 위에 배면 캐비티를 형성하는 단계; 및
상기 배면 캐비티를 유전체 재료로 채우는 것에 의해 유전체 세퍼레이터 구조물을 형성하는 단계
를 추가로 포함하는, 방법.
21. The method of claim 20,
Forming a backside cavity over the semiconductor oxide portion after formation of the electrically conductive layers; And
Forming a dielectric separator structure by filling the backside cavity with a dielectric material;
≪ / RTI >
제14항에 있어서,
상기 3차원 메모리 디바이스는 상기 기판 위에 위치된 수직 NAND 디바이스를 포함하고;
상기 스페이서 재료 층들은 전기 전도성 층들로서 형성되거나 전기 전도성 층들로 대체되며;
상기 전기 전도성 층들은 상기 NAND 디바이스의 각자의 워드 라인을 포함하거나, 그에 전기적으로 연결되고;
상기 기판은 실리콘 기판을 포함하며;
상기 수직 NAND 디바이스는 상기 실리콘 기판 위에 모놀리식 3차원 NAND 스트링들의 어레이를 포함하고;
상기 모놀리식 3차원 NAND 스트링들의 어레이의 제1 디바이스 레벨에 있는 적어도 하나의 메모리 셀은 상기 모놀리식 3차원 NAND 스트링들의 어레이의 제2 디바이스 레벨에 있는 다른 메모리 셀 위에 위치되며;
상기 실리콘 기판은 그 위에 위치된 상기 메모리 디바이스에 대한 구동기 회로를 포함하는 집적 회로를 포함하고;
상기 전기 전도성 층들은 상기 기판의 상기 상단 표면에 실질적으로 평행하게 연장되는 스트립 형상을 갖는 복수의 제어 게이트 전극들을 포함하고, 상기 복수의 제어 게이트 전극들은 적어도 상기 제1 디바이스 레벨에 위치된 제1 제어 게이트 전극 및 상기 제2 디바이스 레벨에 위치된 제2 제어 게이트 전극을 포함하며;
상기 모놀리식 3차원 NAND 스트링들의 어레이는:
복수의 반도체 채널들 - 상기 복수의 반도체 채널들의 각각의 반도체 채널의 적어도 하나의 단부 부분은 상기 기판의 상단 표면에 실질적으로 수직으로 연장됨 -, 및
복수의 전하 저장 요소들 - 각각의 전하 저장 요소는 상기 복수의 반도체 채널들의 각자의 반도체 채널에 인접하여 위치됨 -
을 포함하는, 방법.
15. The method of claim 14,
Said three dimensional memory device comprising a vertical NAND device located above said substrate;
Wherein the spacer material layers are formed as electrically conductive layers or replaced with electrically conductive layers;
The electrically conductive layers comprising or electrically connected to a respective word line of the NAND device;
The substrate comprising a silicon substrate;
Wherein the vertical NAND device comprises an array of monolithic three-dimensional NAND strings on the silicon substrate;
At least one memory cell at a first device level of the array of monolithic three-dimensional NAND strings is located over another memory cell at a second device level of the array of monolithic three-dimensional NAND strings;
The silicon substrate comprising an integrated circuit comprising driver circuitry for the memory device located thereon;
Wherein the electrically conductive layers comprise a plurality of control gate electrodes having a strip shape extending substantially parallel to the top surface of the substrate and wherein the plurality of control gate electrodes comprise at least a first control A gate electrode and a second control gate electrode located at the second device level;
Wherein the array of monolithic three-dimensional NAND strings comprises:
A plurality of semiconductor channels, at least one end portion of each semiconductor channel of the plurality of semiconductor channels extending substantially perpendicular to an upper surface of the substrate; and
A plurality of charge storage elements, each charge storage element being located adjacent a respective semiconductor channel of the plurality of semiconductor channels,
≪ / RTI >
KR1020187007914A 2015-11-20 2016-11-17 Three-dimensional NAND device including support pedestal structures for buried source lines and method of manufacturing the same KR101944229B1 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201562258250P 2015-11-20 2015-11-20
US62/258,250 2015-11-20
US15/017,961 US9799670B2 (en) 2015-11-20 2016-02-08 Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US15/017,961 2016-02-08
US15/225,492 US9831266B2 (en) 2015-11-20 2016-08-01 Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US15/225,492 2016-08-01
PCT/US2016/062528 WO2017087670A1 (en) 2015-11-20 2016-11-17 Three-dimensional nand device containing support pedestal structures for a buried source line and method of making the same

Publications (2)

Publication Number Publication Date
KR20180042358A true KR20180042358A (en) 2018-04-25
KR101944229B1 KR101944229B1 (en) 2019-01-30

Family

ID=62088609

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187007914A KR101944229B1 (en) 2015-11-20 2016-11-17 Three-dimensional NAND device including support pedestal structures for buried source lines and method of manufacturing the same

Country Status (2)

Country Link
KR (1) KR101944229B1 (en)
CN (1) CN108140643B (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200062353A (en) * 2018-06-27 2020-06-03 샌디스크 테크놀로지스 엘엘씨 3D memory device including multilevel drain select gate isolation and manufacturing method thereof
KR20200121705A (en) * 2019-04-15 2020-10-26 매크로닉스 인터내셔널 컴퍼니 리미티드 Array of pillars located in a uniform pattern
US10957534B2 (en) 2019-04-30 2021-03-23 SK Hynix Inc. Manufacturing method of semiconductor device
KR20210109031A (en) * 2020-01-28 2021-09-03 양쯔 메모리 테크놀로지스 씨오., 엘티디. vertical memory device
WO2022014922A1 (en) * 2020-07-15 2022-01-20 한양대학교 산학협력단 Three-dimensional flash memory with high degree of integration
WO2022019522A1 (en) * 2020-07-24 2022-01-27 한양대학교 산학협력단 Three-dimensional flash memory having improved integration density
KR20220028498A (en) * 2020-08-28 2022-03-08 한양대학교 산학협력단 Three dimensional flash memory for increasing integration density
WO2022098471A1 (en) * 2020-11-06 2022-05-12 Micron Technology, Inc. Memory array and method used in forming a memory array comprising strings of memory cells
US11515333B2 (en) 2019-03-29 2022-11-29 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Ferroelectric material-based three-dimensional flash memory, and manufacture thereof
US11758722B2 (en) 2019-04-12 2023-09-12 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with deposited semiconductor plugs and methods for forming the same
US11963362B2 (en) 2020-08-10 2024-04-16 Samsung Electronics Co., Ltd. Semiconductor devices and data storage systems including the same
US11974429B2 (en) 2020-11-06 2024-04-30 Micron Technology, Inc. Method used in forming a memory array comprising strings of memory cells and using bridges in sacrificial material in a tier

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10998331B2 (en) * 2018-06-27 2021-05-04 Sandisk Technologies Llc Three-dimensional inverse flat NAND memory device containing partially discrete charge storage elements and methods of making the same
KR102519012B1 (en) * 2018-07-09 2023-04-10 삼성전자주식회사 Semiconductor device and method for fabricating the same
JP7046228B2 (en) * 2018-07-20 2022-04-01 長江存儲科技有限責任公司 3D memory element
US10923493B2 (en) 2018-09-06 2021-02-16 Micron Technology, Inc. Microelectronic devices, electronic systems, and related methods
JP2020043119A (en) * 2018-09-06 2020-03-19 キオクシア株式会社 Semiconductor device
US10692884B2 (en) * 2018-09-21 2020-06-23 Sandisk Technologies Llc Three-dimensional memory device including bottle-shaped memory stack structures and drain-select gate electrodes having cylindrical portions
KR20210076967A (en) * 2019-01-18 2021-06-24 양쯔 메모리 테크놀로지스 씨오., 엘티디. Source contact structure of 3D memory device and manufacturing method thereof
US10770476B1 (en) * 2019-04-01 2020-09-08 Macronix International Co., Ltd. Semiconductor structure for three-dimensional memory device and manufacturing method thereof
CN110520985B (en) 2019-07-16 2020-08-25 长江存储科技有限责任公司 Interconnect structure for three-dimensional memory device
KR20210012827A (en) 2019-07-26 2021-02-03 에스케이하이닉스 주식회사 Semiconductor memory device and manufacturing method thereof
CN110707091A (en) * 2019-08-29 2020-01-17 长江存储科技有限责任公司 Three-dimensional memory and forming method thereof
CN110634760B (en) * 2019-09-12 2022-04-15 长江存储科技有限责任公司 Method for detecting etching damage of side wall of channel hole in double-stack structure
CN113097216B (en) * 2020-01-16 2021-12-21 长江存储科技有限责任公司 Three-dimensional memory and preparation method thereof
KR20220002506A (en) * 2020-02-26 2022-01-06 양쯔 메모리 테크놀로지스 씨오., 엘티디. Memory device and method of forming the same
CN111370416B (en) * 2020-03-23 2022-09-23 长江存储科技有限责任公司 Three-dimensional memory and manufacturing method thereof
CN113903749A (en) * 2020-05-29 2022-01-07 长江存储科技有限责任公司 Vertical memory device
KR20220048530A (en) 2020-10-12 2022-04-20 삼성전자주식회사 Semiconducotr device and electronic system including the same
CN112838095B (en) * 2021-01-04 2021-10-15 长江存储科技有限责任公司 Three-dimensional memory and manufacturing method thereof

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002015277A2 (en) * 2000-08-14 2002-02-21 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
US7696559B2 (en) * 2005-12-28 2010-04-13 Kabushiki Kaisha Toshiba Semiconductor memory device including pillar-shaped semiconductor layers and a method of fabricating the same
JP2010157734A (en) * 2008-12-31 2010-07-15 Samsung Electronics Co Ltd Semiconductor memory device, and method of forming the same
US8008710B2 (en) * 2008-08-12 2011-08-30 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
KR20120090356A (en) * 2011-02-07 2012-08-17 삼성전자주식회사 Three dimensional semiconductor memory device and method of fabricating the same
US20130168757A1 (en) * 2011-12-28 2013-07-04 Young-Ok HONG Nonvolatile memory device and method for fabricating the same
KR20150008047A (en) * 2012-04-10 2015-01-21 샌디스크 테크놀로지스, 인코포레이티드 Vertical nand device with partially silicided word lines and manufacturing method thereof
US20150149413A1 (en) * 2013-11-22 2015-05-28 Chul Won Lee Client-side partition-aware batching of records for insert operations
KR20150067811A (en) * 2013-12-09 2015-06-19 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
JP2015149413A (en) * 2014-02-06 2015-08-20 株式会社東芝 Semiconductor storage device and manufacturing method of the same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120006843A (en) * 2010-07-13 2012-01-19 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
JP5670704B2 (en) * 2010-11-10 2015-02-18 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
KR101907446B1 (en) * 2011-04-27 2018-10-12 삼성전자주식회사 Three dimensional semiconductor memory devices and methods of fabricating the same
KR101912397B1 (en) * 2011-11-25 2018-10-29 삼성전자주식회사 Semiconductor memory device having three-dimensionally arranged resistive memory cells
KR101929785B1 (en) * 2012-01-04 2019-03-14 삼성전자주식회사 Semiconductor device
US20130228837A1 (en) * 2012-03-01 2013-09-05 Elpida Memory, Inc. Semiconductor device
US8878278B2 (en) * 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
KR102003526B1 (en) * 2012-07-31 2019-07-25 삼성전자주식회사 Semiconductor memory devices and methods for fabricating the same
JP2014183224A (en) * 2013-03-19 2014-09-29 Toshiba Corp Semiconductor memory device and method of manufacturing the same
KR20140117212A (en) * 2013-03-26 2014-10-07 에스케이하이닉스 주식회사 Semiconductor device
JP2015053337A (en) * 2013-09-05 2015-03-19 マイクロン テクノロジー, インク. Semiconductor device and method of manufacturing the same
KR20150029403A (en) * 2013-09-10 2015-03-18 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
US9230980B2 (en) * 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
KR102190647B1 (en) * 2014-02-24 2020-12-14 삼성전자주식회사 Semiconductor Memory Device And Method of Fabricating The Same
KR102190350B1 (en) * 2014-05-02 2020-12-11 삼성전자주식회사 Semiconductor Memory Device And Method of Fabricating The Same

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002015277A2 (en) * 2000-08-14 2002-02-21 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
US7696559B2 (en) * 2005-12-28 2010-04-13 Kabushiki Kaisha Toshiba Semiconductor memory device including pillar-shaped semiconductor layers and a method of fabricating the same
US8008710B2 (en) * 2008-08-12 2011-08-30 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
JP2010157734A (en) * 2008-12-31 2010-07-15 Samsung Electronics Co Ltd Semiconductor memory device, and method of forming the same
KR20120090356A (en) * 2011-02-07 2012-08-17 삼성전자주식회사 Three dimensional semiconductor memory device and method of fabricating the same
US20130168757A1 (en) * 2011-12-28 2013-07-04 Young-Ok HONG Nonvolatile memory device and method for fabricating the same
KR20130076461A (en) * 2011-12-28 2013-07-08 에스케이하이닉스 주식회사 Nonvolatile memory device and method for fabricating the same
KR20150008047A (en) * 2012-04-10 2015-01-21 샌디스크 테크놀로지스, 인코포레이티드 Vertical nand device with partially silicided word lines and manufacturing method thereof
US20150149413A1 (en) * 2013-11-22 2015-05-28 Chul Won Lee Client-side partition-aware batching of records for insert operations
KR20150067811A (en) * 2013-12-09 2015-06-19 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
JP2015149413A (en) * 2014-02-06 2015-08-20 株式会社東芝 Semiconductor storage device and manufacturing method of the same

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200062353A (en) * 2018-06-27 2020-06-03 샌디스크 테크놀로지스 엘엘씨 3D memory device including multilevel drain select gate isolation and manufacturing method thereof
US11968825B2 (en) 2018-06-27 2024-04-23 Sandisk Technologies Llc Three-dimensional memory device containing on-pitch drain select level structures and methods of making the same
US11515333B2 (en) 2019-03-29 2022-11-29 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Ferroelectric material-based three-dimensional flash memory, and manufacture thereof
US11758722B2 (en) 2019-04-12 2023-09-12 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with deposited semiconductor plugs and methods for forming the same
US11424260B2 (en) 2019-04-15 2022-08-23 Macronix International Co., Ltd. Array of pillars located in a uniform pattern
KR20200121705A (en) * 2019-04-15 2020-10-26 매크로닉스 인터내셔널 컴퍼니 리미티드 Array of pillars located in a uniform pattern
US11037947B2 (en) 2019-04-15 2021-06-15 Macronix International Co., Ltd. Array of pillars located in a uniform pattern
US10957534B2 (en) 2019-04-30 2021-03-23 SK Hynix Inc. Manufacturing method of semiconductor device
KR20210109031A (en) * 2020-01-28 2021-09-03 양쯔 메모리 테크놀로지스 씨오., 엘티디. vertical memory device
US11948894B2 (en) 2020-01-28 2024-04-02 Yangtze Memory Technologies Co., Ltd. Vertical memory devices
WO2022014922A1 (en) * 2020-07-15 2022-01-20 한양대학교 산학협력단 Three-dimensional flash memory with high degree of integration
WO2022019522A1 (en) * 2020-07-24 2022-01-27 한양대학교 산학협력단 Three-dimensional flash memory having improved integration density
US11963362B2 (en) 2020-08-10 2024-04-16 Samsung Electronics Co., Ltd. Semiconductor devices and data storage systems including the same
KR20220028498A (en) * 2020-08-28 2022-03-08 한양대학교 산학협력단 Three dimensional flash memory for increasing integration density
WO2022098471A1 (en) * 2020-11-06 2022-05-12 Micron Technology, Inc. Memory array and method used in forming a memory array comprising strings of memory cells
US11581330B2 (en) 2020-11-06 2023-02-14 Micron Technology, Inc. Memory array and method used in forming a memory array comprising strings of memory cells
US11974429B2 (en) 2020-11-06 2024-04-30 Micron Technology, Inc. Method used in forming a memory array comprising strings of memory cells and using bridges in sacrificial material in a tier

Also Published As

Publication number Publication date
CN108140643A (en) 2018-06-08
KR101944229B1 (en) 2019-01-30
CN108140643B (en) 2022-03-15

Similar Documents

Publication Publication Date Title
KR101944229B1 (en) Three-dimensional NAND device including support pedestal structures for buried source lines and method of manufacturing the same
US9917100B2 (en) Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9831266B2 (en) Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US10950629B2 (en) Three-dimensional flat NAND memory device having high mobility channels and methods of making the same
US10777575B1 (en) Three-dimensional memory device with self-aligned vertical conductive strips having a gate-all-around configuration and method of making the same
US10741576B2 (en) Three-dimensional memory device containing drain-select-level air gap and methods of making the same
US10381376B1 (en) Three-dimensional flat NAND memory device including concave word lines and method of making the same
US11121149B2 (en) Three-dimensional memory device containing direct contact drain-select-level semiconductor channel portions and methods of making the same
US9799670B2 (en) Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US9935123B2 (en) Within array replacement openings for a three-dimensional memory device
US10553599B1 (en) Three-dimensional memory device containing drain select isolation structures and on-pitch channels and methods of making the same without an etch stop layer
US10453798B2 (en) Three-dimensional memory device with gated contact via structures and method of making thereof
US9911748B2 (en) Epitaxial source region for uniform threshold voltage of vertical transistors in 3D memory devices
US9343475B2 (en) Vertical memory devices and methods of manufacturing the same
EP4040490A1 (en) Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
US10868025B2 (en) Three-dimensional memory device including replacement crystalline channels and methods of making the same
US10903222B2 (en) Three-dimensional memory device containing a carbon-doped source contact layer and methods for making the same
US10756110B1 (en) Method of forming seamless drain-select-level electrodes for a three-dimensional memory device and structures formed by the same
US10748925B1 (en) Three-dimensional memory device containing channels with laterally pegged dielectric cores
US10964715B2 (en) Three-dimensional memory device containing channels with laterally pegged dielectric cores
WO2020226703A1 (en) Three-dimensional memory device having backside contact structure and method of making the same
CN113169181A (en) Three-dimensional memory device with laterally confined dielectric core or carbon doped source contact layer and method of making the same

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant