KR20180005607A - Ruthenium wiring and manufacturing method thereof - Google Patents

Ruthenium wiring and manufacturing method thereof Download PDF

Info

Publication number
KR20180005607A
KR20180005607A KR1020170083687A KR20170083687A KR20180005607A KR 20180005607 A KR20180005607 A KR 20180005607A KR 1020170083687 A KR1020170083687 A KR 1020170083687A KR 20170083687 A KR20170083687 A KR 20170083687A KR 20180005607 A KR20180005607 A KR 20180005607A
Authority
KR
South Korea
Prior art keywords
film
ruthenium
tion
gas
wiring
Prior art date
Application number
KR1020170083687A
Other languages
Korean (ko)
Other versions
KR102096143B1 (en
Inventor
다다히로 이시자카
도시아키 후지사토
한천수
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180005607A publication Critical patent/KR20180005607A/en
Application granted granted Critical
Publication of KR102096143B1 publication Critical patent/KR102096143B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]

Abstract

The present invention relates to a method for manufacturing a ruthenium wiring, which controls stress generated in a wiring to suppress deformation such as a collapse or rolling of waves of a wiring pattern, and also, easily performs planarization after a ruthenium film is buried in a recess portion of a trench and the like. The method for manufacturing a ruthenium wiring (207) by burying a trench (203) and a via hole (204) with respect to a substrate (W) having an interlayer insulating film (202) having the trench (203) and the via hole (204) formed on a surface thereof, comprises the steps of: forming a TiON film (205) as an underlying film on a surface of at least the trench (203) and the via hole (204); forming a ruthenium film (206) on the TiON film (205) to bury the trench (203) and the via hole (204); and forming the underlying film (211) to form the ruthenium film (206), and planarizing the ruthenium film (206) and the underlying film (211) on the surface by a removal treatment including an argon plasma treatment.

Description

루테늄 배선 및 그 제조 방법{RUTHENIUM WIRING AND MANUFACTURING METHOD THEREOF}[0001] RUTHENIUM WIRING AND MANUFACTURING METHOD THEREOF [0002]

본 발명은 루테늄 배선 및 그 제조 방법에 관한 것이다.The present invention relates to a ruthenium wiring and a manufacturing method thereof.

최근 들어, 반도체 디바이스의 미세화에 수반하여, 배선의 미세화도 진행되고 있다. 그 결과, 배선 저항의 증대 및 배선간의 결합 용량의 증대에 기인하는 RC 지연이 소자의 고속 동작을 저해한다는 문제가 현재화되고 있다. 이 때문에, 최근 들어, 배선 재료로서 종래부터 사용되고 있는 알루미늄(Al)이나 텅스텐(W)보다도 벌크의 저항이 낮은 구리(Cu)가 사용되고, 층간 절연막으로서 저유전율막(Low-k막)이 사용되고 있다.In recent years, with miniaturization of semiconductor devices, miniaturization of wirings has also progressed. As a result, there is a problem that an RC delay caused by an increase in wiring resistance and an increase in coupling capacitance between wirings hinders high-speed operation of the device. For this reason, in recent years, copper (Cu) having lower bulk resistance than aluminum (Al) or tungsten (W) conventionally used as a wiring material is used, and a low dielectric constant film (Low-k film) is used as an interlayer insulating film .

그런데, 미세화가 더욱 진행됨으로써, Cu 배선에는 새로운 문제점이 나오고 있다. 즉, ITRS의 로드맵에 의하면, 14nm 세대의 디바이스에서 사용되는 배선 폭은 32nm로 되어 있고, 이것은 Cu 재료 중에서의 전자의 평균 자유 행정인 약 39nm보다도 좁아, 산란에 의한 저항값의 상승이 발생한다. 구체적으로는, 배선의 저항값은, 벌크의 저항값과, 표면 산란에 의한 저항 인자와, 입계 산란에 의한 저항 인자의 합으로서 표현되는데, 표면 산란에 의한 저항 인자 및 입계 산란에 의한 저항 인자는 모두 전자의 평균 자유 행정에 비례하기 때문에, 전자의 평균 자유 행정이 배선 폭보다도 커지면, 전자의 배선 측면이나 입계에의 충돌이 지배적으로 되어, 산란에 의한 저항값의 상승이 발생한다. 이것은 배선이 미세하게 될수록 현저해진다.However, further miniaturization leads to new problems in Cu wiring. That is, according to the ITRS roadmap, the wiring width used in the device of 14 nm generation is 32 nm, which is narrower than the mean free path of electrons in the Cu material, about 39 nm, and the resistance value due to scattering increases. Specifically, the resistance value of the wiring is expressed by the sum of the resistance value of the bulk, the resistance factor by the surface scattering, and the resistance factor by the intergranular scattering. The resistance factor by the surface scattering and the resistance factor by the intergranular scattering are The average free path of electrons is proportional to the average free path of electrons. Therefore, when the average free path of electrons becomes larger than the wiring width, collision with electrons on the wiring side and the grain boundaries becomes dominant and the resistance value increases due to scattering. This becomes remarkable as the wiring becomes finer.

따라서, 배선 재료로서, 벌크의 저항값은 Cu 만큼은 낮지 않지만, 재료 중에서의 전자의 평균 자유 행정이 Cu보다도 짧은 루테늄(Ru)이 검토되어 있다. 구체적으로는, Ru의 벌크의 저항값은 7.1μΩ-cm로, Cu의 1.7μΩ-cm보다 높지만, 전자의 평균 자유 행정은 10.8nm로, Cu의 38.7nm보다도 짧다.Therefore, as the wiring material, ruthenium (Ru) whose bulk resistance value is not as low as Cu, but whose average free path of electrons in the material is shorter than Cu is studied. Specifically, the resistance value of the bulk of Ru is 7.1--cm, which is higher than 1.7 Ω-cm of Cu, but the mean free path of electrons is 10.8 nm, which is shorter than 38.7 nm of Cu.

또한, Ru의 융점은, Cu의 융점인 1085℃보다도 높은 2334℃이기 때문에, 일렉트로마이그레이션 내성의 점에서도 Cu보다도 유리하다.Since the melting point of Ru is 2334 占 폚 higher than the melting point of Cu of 1085 占 폚, it is more advantageous than Cu in terms of electromigration resistance.

Ru는, Cu와는 달리 절연막으로 확산하기 어렵기 때문에, Ru막의 하지막에는 배리어성은 요구되지 않는다. 그러나, 절연막 상에 직접, 밀착성 좋게 Ru막을 형성하는 것은 곤란하다. 이 때문에, 절연막 상에 하지막으로서 TiN막을 성막하고, 그 위에 Ru막을 성막해서 Ru 배선을 형성하는 기술이 제안되어 있다(비특허문헌 1).Unlike Cu, Ru is difficult to diffuse into an insulating film, so that barrier properties of the underlying film of the Ru film are not required. However, it is difficult to form a Ru film directly on the insulating film with good adhesion. For this reason, a technique has been proposed in which a TiN film is formed as a base film on an insulating film, and a Ru film is formed thereon to form a Ru wiring (Non-Patent Document 1).

한편, Cu 배선을 형성하는 기술로서는, 반도체 웨이퍼 표면의 트렌치가 형성된 층간 절연막에 배리어막을 형성한 후, 트렌치에 Cu막을 매립하고, 그 후, CMP(Chemical Mechanical Polishing)법에 의해 평탄화하는 기술이 알려져 있다(예를 들어 특허문헌 1). 따라서, Ru 배선을 형성할 때도, Ru막을 성막한 후, CMP 처리에 의해 평탄화하는 것을 생각할 수 있다. 특허문헌 2에는, 배선의 예는 아니지만, Ru막을 퇴적한 후, CMP법 등에 의해 평탄화 처리를 행하여, 축적 애노드 전극(SN)을 형성하는 것이 기재되어 있다.On the other hand, as a technique for forming a Cu wiring, a technique has been known in which a barrier film is formed on an interlayer insulating film in which trenches are formed on the surface of a semiconductor wafer, a Cu film is buried in the trench and then planarization is performed by CMP (Chemical Mechanical Polishing) (For example, Patent Document 1). Therefore, it is also conceivable to form the Ru wiring, and then planarize the Ru wiring by the CMP process. Patent Document 2 describes that, although not an example of wiring, a Ru film is deposited and a planarization process is performed by CMP or the like to form an accumulation anode electrode SN.

일본 특허 공개 제2006-148075호 공보Japanese Patent Application Laid-Open No. 2006-148075 일본 특허 공개 제2000-114474호 공보Japanese Patent Application Laid-Open No. 2000-114474

L. G. Wen et al., Proceeding of IEEE IITC/AMC 2016, pp34-36L. G. Wen et al., Proceedings of IEEE IITC / AMC 2016, pp. 34-36

그런데, 절연막 상에 하지막인 TiN막을 성막하고, 그 위에 Ru막을 성막할 때는, TiN막에도 Ru막에도 인장응력이 작용하고 있어, 적층 구조로 함으로써 더욱 응력이 커진다. 이 때문에, 배선에는 큰 스트레스가 걸린다. 배선의 스트레스가 크면, 배선 패턴의 붕괴나 물너울과 같은 변형이 염려된다. 특히, 배선 구조가 미세해지면, 배선간의 간격도 짧아져, 보다 배선의 변형이 일어나기 쉬워진다.However, when a TiN film as a base film is formed on an insulating film and a Ru film is formed thereon, tensile stress also acts on the TiN film and the Ru film. Therefore, a large stress is applied to the wiring. If the stress of the wiring is large, the wiring pattern may be collapsed or deformation such as water may be caused. Particularly, when the wiring structure becomes finer, the interval between the wirings becomes shorter, and the wiring becomes more likely to be deformed.

또한, Ru는 귀금속으로서 이온화 경향이 낮기 때문에, 반도체 웨이퍼 표면의 Ru막을 CMP에 의해 제거하기 어려워, 트렌치에 Ru막을 매립한 후의 평탄화에 CMP를 사용하면 막대한 시간이 걸려 버린다는 문제가 있다.Further, since Ru has a low ionization tendency as a noble metal, it is difficult to remove the Ru film on the surface of the semiconductor wafer by CMP, and there is a problem that when CMP is used for planarization after filling the Ru film into the trench, a considerable time is required.

따라서, 본 발명의 제1 과제는, 배선에 발생하는 스트레스를 제어하여, 배선 패턴의 붕괴나 물너울과 같은 변형이 발생하는 것을 억제할 수 있는 루테늄 배선 및 그 제조 방법을 제공하는 데 있다.Accordingly, a first object of the present invention is to provide a ruthenium wiring capable of suppressing the occurrence of deformation such as collapse of a wiring pattern and water drops by controlling stress generated in the wiring, and a method of manufacturing the same.

또한, 본 발명의 제2 과제는, 트렌치 등의 오목부 내에 루테늄 막을 매립한 후, 평탄화를 용이하게 행할 수 있는 루테늄 배선의 제조 방법을 제공하는 데 있다.A second object of the present invention is to provide a method of manufacturing a ruthenium wiring capable of facilitating planarization after embedding a ruthenium film in a concave portion such as a trench.

본 발명의 제1 관점은, 기판 표면의 소정의 막에 형성된 오목부에, 하지막으로서 형성된 TiON막과, 상기 TiON막 상에 상기 오목부를 매립하도록 형성된 루테늄 막을 갖는 것을 특징으로 하는 루테늄 배선을 제공한다.A first aspect of the present invention provides a ruthenium wiring comprising a TiON film formed as a base film and a ruthenium film formed on the TiON film so as to fill the concave portion in a recess formed in a predetermined film on a surface of a substrate do.

상기 제1 관점에서, 상기 소정의 막은 층간 절연막이며, 상기 층간 절연막에 상기 오목부로서 트렌치 및 비아 홀이 형성되어 있는 것으로 할 수 있다. 상기 TiON막은 ALD에 의해 형성된 막이며, 상기 루테늄 막은 CVD에 의해 형성된 막으로 할 수 있다. 상기 TiON막은, 산소량이 50at% 이상인 것이 바람직하다.In the first aspect, the predetermined film is an interlayer insulating film, and a trench and a via hole are formed in the interlayer insulating film as the concave portion. The TiON film is a film formed by ALD, and the ruthenium film may be a film formed by CVD. The TiON film preferably has an oxygen content of 50 at% or more.

본 발명의 제2 관점은, 표면에 오목부가 형성된 소정의 막을 갖는 기판에 대하여, 상기 오목부를 매립해서 루테늄 배선을 제조하는 루테늄 배선의 제조 방법으로서, 적어도 상기 오목부의 표면에, 하지막으로서 TiON막을 형성하는 공정과, 상기 TiON막 상에 상기 오목부를 매립하도록 루테늄 막을 형성하는 공정을 갖는 것을 특징으로 하는 루테늄 배선의 제조 방법을 제공한다.According to a second aspect of the present invention, there is provided a method of manufacturing a ruthenium wiring which comprises embedding the recess into a substrate having a predetermined film having a concave portion formed on its surface, the ruthenium wiring comprising a TiON film And a step of forming a ruthenium film so as to fill the concave portion on the TiON film.

상기 소정의 막은 층간 절연막이며, 상기 층간 절연막에 상기 오목부로서 트렌치 및 비아 홀이 형성되어 있는 것으로 할 수 있다.The predetermined film is an interlayer insulating film, and a trench and a via hole are formed in the interlayer insulating film as the concave portion.

상기 루테늄 막을 형성해서 상기 오목부를 매립한 후, 표면의 상기 루테늄 막 및 상기 TiON막을 제거해서 평탄화하는 공정을 더 가져도 된다. 상기 평탄화하는 공정은, 상기 표면의 상기 루테늄 막 및 상기 TiON막을 CMP에 의해 연마함으로써 행할 수 있다. 또한, 상기 평탄화하는 공정은, 상기 표면의 상기 루테늄 막 및 상기 TiON막을, 아르곤 플라즈마 처리를 포함하는 처리에 의해 제거함으로써 행할 수 있다. 이 경우에, 상기 평탄화하는 공정은, 상기 표면의 상기 루테늄 막 및 상기 TiON막을 아르곤 플라즈마 처리에 의해 제거한 후, CMP에 의해 연마함으로써 행할 수 있다. 상기 아르곤 플라즈마 처리는, 아르곤 이온 스퍼터 처리인 것이 바람직하다. 상기 루테늄 막을 형성한 후, 상기 평탄화 전에, 어닐 처리를 실시하는 공정을 더 가져도 된다.Forming the ruthenium film, filling the concave portion, and removing the ruthenium film and the TiON film on the surface to planarize the concave portion. The step of planarizing can be performed by polishing the ruthenium film and the TiON film on the surface by CMP. The planarizing step may be performed by removing the ruthenium film and the TiON film on the surface by a treatment including an argon plasma treatment. In this case, the step of planarizing can be performed by removing the ruthenium film and the TiON film on the surface by an argon plasma treatment and then polishing by CMP. The argon plasma treatment is preferably an argon ion sputtering treatment. After the ruthenium film is formed, annealing may be further performed before the planarization.

상기 TiON막의 산소량을 조정함으로써, 상기 TiON막에 작용하는 응력을 제어할 수 있다. 상기 TiON막의 산소량을 50at% 이상으로 하는 것이 바람직하다.By adjusting the amount of oxygen in the TiON film, the stress acting on the TiON film can be controlled. It is preferable that the oxygen amount of the TiON film is 50 at% or more.

상기 TiON막은, 처리 용기 내에 기판을 배치하고, 상기 처리 용기 내를 감압 상태로 유지하고, 소정의 처리 온도에서, 상기 처리 용기 내에 Ti 함유 가스를 공급하는 스텝과, 상기 처리 용기 내에 질화 가스를 공급하는 스텝을 교대로 X회 반복해서 단위 TiN막을 성막한 후, 상기 처리 용기 내에 산화제를 공급해서 상기 단위 TiN막을 산화하는 일련의 처리를 1 사이클로 하고, 이 사이클을 원하는 막 두께가 되도록 복수 사이클 반복함으로써 형성할 수 있으며, X의 횟수에 의해 막 내의 산소량을 조정할 수 있다.Wherein the TiON film includes a step of disposing a substrate in a processing vessel, holding the inside of the processing vessel under a reduced pressure, supplying Ti-containing gas into the processing vessel at a predetermined processing temperature, Are repeated alternately X times to form a unit TiN film, and then the oxidizing agent is supplied into the processing vessel to oxidize the unit TiN film. Thereafter, this cycle is repeated for a plurality of cycles so as to obtain a desired film thickness And the amount of oxygen in the film can be adjusted by the number of X's.

이때, 상기 Ti 함유 가스로서 TiCl4 가스를 사용하고, 상기 질화 가스로서 NH3 가스를 사용할 수 있다. 또한, 상기 산화제로서, O2 가스, O3 가스, H2O, NO2로 이루어지는 군에서 선택되는 산소 함유 가스, 또는, 상기 산소 함유 가스를 플라즈마화한 것을 사용할 수 있다. 또한, 상기 TiON막을 형성할 때의 상기 처리 온도를 300 내지 500℃의 범위로 할 수 있다.At this time, TiCl 4 gas may be used as the Ti containing gas, and NH 3 gas may be used as the nitriding gas. As the oxidizing agent, an oxygen-containing gas selected from the group consisting of O 2 gas, O 3 gas, H 2 O, and NO 2 , or a gas obtained by converting the oxygen-containing gas into plasma can be used. Further, the treatment temperature for forming the TiON film may be set to a range of 300 to 500 ° C.

본 발명의 제3 관점은, 표면에 오목부가 형성된 소정의 막을 갖는 기판에 대하여, 상기 오목부를 매립해서 루테늄 배선을 제조하는 루테늄 배선의 제조 방법으로서, 적어도 상기 오목부의 표면에, 하지막을 형성하는 공정과, 상기 하지막 상에 상기 오목부를 매립하도록 루테늄 막을 형성하는 공정과, 상기 루테늄 막을 형성해서 상기 오목부를 매립한 후, 표면의 상기 루테늄 막 및 상기 하지막을, 아르곤 플라즈마 처리를 포함하는 처리에 의해 제거해서 평탄화하는 공정을 갖는 것을 특징으로 하는 루테늄 배선의 제조 방법을 제공한다.According to a third aspect of the present invention, there is provided a method of manufacturing a ruthenium wiring which comprises embedding the recess in a substrate having a predetermined film having a concave portion formed on its surface, the method comprising the steps of: A step of forming a ruthenium film so as to fill the concave portion on the base film; and a step of forming the ruthenium film and filling the concave portion with the ruthenium film and the base film on the surface by a treatment including an argon plasma treatment And a step of planarizing the ruthenium wiring.

상기 제3 관점에서, 상기 평탄화하는 공정은, 상기 표면의 상기 루테늄 막 및 상기 하지막을 아르곤 플라즈마 처리에 의해 제거한 후, CMP에 의해 연마함으로써 행할 수 있다. 상기 아르곤 플라즈마 처리는, 아르곤 이온 스퍼터 처리가 적합하다. 상기 루테늄 막을 형성 후, 상기 평탄화하는 공정 전에, 어닐 처리를 실시하는 공정을 더 가져도 된다.In the third aspect, the step of planarizing can be performed by removing the ruthenium film and the underlying film on the surface by an argon plasma treatment and then polishing by CMP. The argon plasma treatment is suitably performed by argon ion sputtering. After the formation of the ruthenium film, the step of annealing may be further performed before the step of planarization.

상기 하지막으로서는, TiN막, Ta막, TaN막, TaAlN막 및 TiON막 중 어느 하나를 적합하게 사용할 수 있다.As the base film, any one of a TiN film, a Ta film, a TaN film, a TaAlN film, and a TiON film can be suitably used.

상기 제2 관점 및 제3 관점에서, 상기 루테늄 막은, CVD에 의해 형성할 수 있다. 이 경우에, 성막 원료로서 루테늄 카르보닐을 사용할 수 있다. 또한, 상기 루테늄 막을 형성할 때의 처리 온도를 130 내지 250℃의 범위로 할 수 있다.In the second and third aspects, the ruthenium film can be formed by CVD. In this case, ruthenium carbonyl can be used as a film forming raw material. In addition, the treatment temperature for forming the ruthenium film may be in the range of 130 to 250 ° C.

본 발명의 제1 관점에 의하면, 루테늄 막의 하지막으로서, TiN막보다도 막에 작용하는 인장 응력이 작은 TiON막을 사용하므로, 루테늄 막과의 적층막에 작용하는 응력을 작게 할 수 있어, 스트레스에 기인하는 배선 구조의 변형을 작게 할 수 있다. 또한, 막 내의 산소량을 조정함으로써, 막의 응력을 제어할 수 있어, 스트레스에 기인하는 배선 구조의 변형을 효과적으로 억제할 수 있다.According to the first aspect of the present invention, since the TiON film having a lower tensile stress acting on the film than the TiN film is used as the underlying film of the ruthenium film, the stress acting on the laminated film with the ruthenium film can be reduced, It is possible to reduce the deformation of the wiring structure. Further, by adjusting the amount of oxygen in the film, it is possible to control the stress of the film, and deformation of the wiring structure due to stress can be effectively suppressed.

본 발명의 제2 관점에 의하면, 루테늄 막을 형성해서 오목부를 매립한 후의 평탄화 처리에 아르곤 플라즈마를 사용하므로, 평탄화를 용이하게 행할 수 있다.According to the second aspect of the present invention, since the argon plasma is used for the planarization process after the ruthenium film is formed and the concave portion is buried, planarization can be easily performed.

도 1은 본 발명의 제1 실시 형태에 따른 Ru 배선의 제조 방법을 개략적으로 나타내는 흐름도이다.
도 2는 본 발명의 제1 실시 형태에 따른 Ru 배선의 제조 방법을 개략적으로 도시하는 공정 단면도이다.
도 3은 TiN막 및 TiON막(O: 46at% 및 O: 55at%)의 막 두께와 막응력의 관계를 도시하는 도면이다.
도 4는 TiON막의 성막 방법의 시퀀스의 일례를 나타내는 타이밍 차트이다.
도 5는 TiON막의 성막 방법의 시퀀스의 일례를 나타내는 흐름도이다.
도 6은 도 4, 도 5의 시퀀스로 TiON막을 성막했을 때의 성막 상태를 도시하는 모식도이다.
도 7은 제1 실시 형태에 따른 Ru 배선의 제조 방법을 실시하기 위해서 사용되는 성막 시스템의 일례를 개략적으로 나타내는 수평 단면도이다.
도 8은 도 7의 성막 시스템에 탑재된 TiON막 성막 장치의 일례를 개략적으로 도시하는 단면도이다.
도 9는 도 7의 성막 시스템에 탑재된 Ru막 성막 장치의 일례를 개략적으로 도시하는 단면도이다.
도 10은 본 발명의 제2 실시 형태에 관한 Ru 배선의 제조 방법을 개략적으로 나타내는 흐름도이다.
도 11은 본 발명의 제2 실시 형태에 관한 Ru 배선의 제조 방법을 개략적으로 도시하는 공정 단면도이다.
도 12는 제2 실시 형태에서 평탄화에 사용하는 Ar 플라즈마 처리 장치로서의 Ar 이온 스퍼터링 장치의 일례를 나타내는 단면도이다.
도 13은 제2 실시 형태에 관한 Ru 배선의 제조 방법을 일괄해서 실시할 수 있는 성막 시스템의 일례를 개략적으로 나타내는 수평 단면도이다.
도 14는 층간 절연막에 트렌치가 형성된 웨이퍼에 대하여, TaN막으로 이루어지는 하지막을 형성한 후, Ru막을 성막하고, 트렌치를 매립한 상태를 나타내는 SEM 사진이다.
도 15는 도 14의 상태의 웨이퍼에 Ar 이온 스퍼터링을 행하여, 웨이퍼 표면의 Ru막 및 TaN막을 제거한 상태를 나타내는 SEM 사진이다.
도 16은 다양한 폭의 트렌치에, 하지막으로서 TaN막을 성막하고, 그 후, Ru막을 성막해서 트렌치를 매립한 후, Ar 이온 스퍼터링에 의한 평탄화를 행해서 Ru 배선을 형성했을 때의 트렌치 폭과 배선 저항과의 관계를 도시하는 도면이다.
도 17은 다양한 폭의 트렌치에, 하지막으로서 TaN막을 성막하고, 그 후, Ru막을 성막해서 트렌치를 매립한 후, Ar 이온 스퍼터링에 의한 평탄화를 행해서 Ru 배선을 형성했을 때의 인가 전압과 누설 전류와의 관계를 도시하는 도면이다.
1 is a flowchart schematically showing a method of manufacturing an Ru wiring according to a first embodiment of the present invention.
2 is a process sectional view schematically showing a method of manufacturing an Ru wiring according to the first embodiment of the present invention.
3 is a diagram showing the relationship between film thickness and film stress of TiN film and TiON film (O: 46 at% and O: 55 at%).
4 is a timing chart showing an example of a sequence of a TiON film formation method.
5 is a flowchart showing an example of a sequence of a TiON film formation method.
Fig. 6 is a schematic diagram showing a film formation state when a TiON film is formed by the sequence of Figs. 4 and 5. Fig.
7 is a horizontal cross-sectional view schematically showing an example of a film-forming system used for carrying out the method of manufacturing an Ru wiring according to the first embodiment.
8 is a cross-sectional view schematically showing an example of a TiON film-forming apparatus mounted on the film-forming system of Fig.
9 is a cross-sectional view schematically showing an example of a Ru film-forming apparatus mounted on the film-forming system of Fig.
10 is a flowchart schematically showing a method of manufacturing a Ru wiring according to a second embodiment of the present invention.
11 is a process sectional view schematically showing a method of manufacturing a Ru wiring according to a second embodiment of the present invention.
12 is a cross-sectional view showing an example of an Ar ion sputtering apparatus as an Ar plasma processing apparatus used for planarization in the second embodiment.
13 is a horizontal cross-sectional view schematically showing an example of a film-forming system capable of collectively carrying out the manufacturing method of the Ru wiring according to the second embodiment.
14 is an SEM photograph showing a state in which a ground film made of a TaN film is formed on a wafer having a trench formed in an interlayer insulating film and then an Ru film is formed and a trench is buried.
15 is an SEM photograph showing a state in which the Ru film and the TaN film on the surface of the wafer are removed by performing Ar ion sputtering on the wafer in the state of FIG.
16 is a graph showing the relationship between the trench width and the wiring resistance when the Ru wiring is formed by forming a TaN film as a base film in various trenches of various widths and then forming a Ru film to fill the trenches and planarizing by Ar ion sputtering, Fig.
17 is a graph showing the relationship between the applied voltage and the leakage current when a TaN film is formed as a base film in various width trenches and then a Ru film is formed to fill the trenches and planarization is performed by Ar ion sputtering, Fig.

이하, 첨부 도면을 참조하여 본 발명의 실시 형태에 대해서 구체적으로 설명한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.

<제1 실시 형태>&Lt; First Embodiment >

먼저, 본 발명의 제1 실시 형태에 대해서 설명한다.First, a first embodiment of the present invention will be described.

[제1 실시 형태에 따른 Ru 배선의 제조 방법 및 Ru 배선의 구조][Method of manufacturing Ru wiring and structure of Ru wiring according to the first embodiment]

먼저, 본 발명의 제1 실시 형태에 따른 Ru 배선의 제조 방법, 및 Ru 배선의 구조에 대해서 설명한다. 도 1은 본 발명의 제1 실시 형태에 따른 Ru 배선의 제조 방법을 개략적으로 나타내는 흐름도, 도 2는 그 공정 단면도이다.First, the manufacturing method of the Ru wiring and the structure of the Ru wiring according to the first embodiment of the present invention will be described. FIG. 1 is a flow chart schematically showing a method of manufacturing an Ru wiring according to a first embodiment of the present invention, and FIG. 2 is a process sectional view thereof.

먼저, 하부 구조(도시하지 않음)를 갖는 기체(201) 상에 SiO2막, 저유전율(Low-k)막(SiCO막, SiCOH막 등) 등으로 이루어지는 층간 절연막(202)이 형성되고, 층간 절연막(202)에 트렌치(203)가 소정 패턴으로 형성되고, 트렌치(203)의 저부와 기체(201) 상의 하부 구조와의 사이에 소정 간격으로 비아 홀(204)이 형성된 반도체 웨이퍼(이하, 간단히 웨이퍼라 기재함)(W)를 준비한다(스텝 S1, 도 2의 (a)).First, an interlayer insulating film 202 made of an SiO 2 film, a low-k film (SiCO film, SiCOH film or the like) or the like is formed on a substrate 201 having a substructure (not shown) A trench 203 is formed in a predetermined pattern in the insulating film 202 and a via hole 204 is formed at a predetermined interval between the bottom of the trench 203 and the underlying structure on the base 201 Wafer) W is prepared (step S1, FIG. 2 (a)).

이어서, 이 웨이퍼(W)에 대하여, 필요에 따라, 전처리로서 디가스(Degas) 프로세스나 전세정(프리클린; Pre-Clean) 프로세스를 행한 후, 트렌치(203)나 비아 홀(204)의 표면을 포함하는 전체 면에 Ru막의 밀착성을 양호하게 하기 위한 하지막으로서, 예를 들어 원자층 퇴적법(ALD)에 의해 TiON막(205)을 성막한다(스텝 S2, 도 2의 (b)).Then, the wafer W is subjected to a degassing process or a pre-clean process as a pretreatment, if necessary, and then the surface of the trenches 203 and the via holes 204 The TiON film 205 is formed by atomic layer deposition (ALD), for example, as a base film for improving the adhesion of the Ru film to the entire surface including the Si substrate 201 (Step S2, FIG. 2 (b)).

그 후, 예를 들어 화학 증착법(CVD)에 의해 Ru막(206)을 형성해서 트렌치(203) 및 비아 홀(204) 내에 Ru막(206)을 매립한다(스텝 S3, 도 2의 (c)).Thereafter, the Ru film 206 is formed by, for example, chemical vapor deposition (CVD), and the Ru film 206 is buried in the trench 203 and the via hole 204 (step S3, FIG. 2C) ).

Ru막(206)의 형성 후, 필요에 따라 어닐 처리를 행한다(스텝 S4, 도 2의 (d)). 이 어닐 처리에 의해, Ru막(206)을 안정화시킨다.After formation of the Ru film 206, annealing is performed as necessary (step S4, FIG. 2 (d)). The Ru film 206 is stabilized by this annealing process.

이 후, 예를 들어 종래부터 Cu 배선을 제조할 때 사용되고 있는 CMP에 의해 웨이퍼(W) 표면의 전체 면을 연마하여, Ru막(206) 및 TiON막(205)의 층간 절연막(202)의 표면보다도 위의 부분을 제거하여, 평탄화한다(스텝 S5, 도 2의 (e)). 이에 의해 트렌치(203) 및 비아 홀(204) 내에 하지막으로서의 TiON막(205) 및 Ru막(206)으로 이루어지는 Ru 배선(207)이 형성된다. 또한, 이 평탄화 처리는, CMP에 한정되지 않는다. 예를 들어, 후술하는 제2 실시 형태에 나타낸 바와 같은, 아르곤(Ar) 플라즈마 처리에 의해 행해도 된다. 또한, Ar 플라즈마 처리를 행한 후에 CMP를 행해도 된다. Ar 플라즈마 처리로서는, Ar 이온 스퍼터링이 바람직하다.Thereafter, the entire surface of the wafer W is polished by CMP, which is conventionally used for Cu wiring fabrication, for example, so that the surface of the Ru film 206 and the interlayer insulating film 202 of the TiON film 205 (Step S5, FIG. 2 (e)). The Ru wiring 207 made of the TiON film 205 and the Ru film 206 as the underlying film is formed in the trenches 203 and the via holes 204. [ This planarization process is not limited to CMP. For example, it may be performed by an argon (Ar) plasma treatment as shown in the second embodiment described later. Further, CMP may be performed after Ar plasma treatment. As the Ar plasma treatment, Ar ion sputtering is preferable.

이러한 Ru 배선(207)에 있어서, Ru막(206)에는 1.3GPa 정도의 인장 응력이 작용하고 있다. 이 경우, 비특허문헌 1과 같이, Ru막의 하지막으로서 TiN막을 사용하면, TiN막에도 Ru와 마찬가지로 1.3GPa 정도의 인장 응력이 작용한다. 이 때문에, 하지막으로서 TiN막을 사용하고, 그 위에 Ru막을 적층하면, 양자의 응력이 합쳐짐으로써, Ru 배선에는 큰 스트레스가 걸린다. 배선의 스트레스가 크면, 배선 패턴의 붕괴나 물너울과 같은 변형이 염려된다. 특히, 배선이 미세해지면, 배선간의 간격도 짧아져, 보다 배선의 변형이 일어나기 쉬워진다.In this Ru wiring 207, a tensile stress of about 1.3 GPa acts on the Ru film 206. In this case, when a TiN film is used as a base film of a Ru film as in the non-patent document 1, a tensile stress of about 1.3 GPa acts on the TiN film as well as Ru. For this reason, when a TiN film is used as a base film and a Ru film is stacked thereon, the stresses of both are combined, and a large stress is applied to the Ru wiring. If the stress of the wiring is large, the wiring pattern may be collapsed or deformation such as water may be caused. Particularly, when the wiring becomes finer, the interval between the wirings is also shortened, and the wiring is more likely to be deformed.

이에 반해, 본 실시 형태에서 사용하는 TiON막(205)은, TiN막과 비교해서 인장 방향의 응력은 작으므로, Ru막과의 적층막에 작용하는 응력을 작게 할 수 있어, 스트레스에 기인하는 배선 구조의 변형을 작게 할 수 있다. 또한, 막 내의 산소(O)의 양을 조정함으로써, 막의 응력을 제어할 수 있어, 스트레스에 기인하는 배선 구조의 변형을 효과적으로 억제할 수 있다.On the contrary, the TiON film 205 used in the present embodiment has a smaller stress in the tensile direction than the TiN film, so that the stress acting on the laminated film with the Ru film can be reduced, It is possible to reduce the deformation of the structure. Further, by adjusting the amount of oxygen (O) in the film, the stress of the film can be controlled, and deformation of the wiring structure due to stress can be effectively suppressed.

구체적으로는, TiON막(205)의 O의 양이 50at% 미만이면, TiON의 결정 구조가 TiN과 같은 입방정이며, TiON막(205)에는 크기는 TiN막보다도 작지만 비교적 큰 인장 응력이 작용한다. 이에 반해, TiON막(205)의 O의 양이 50at% 이상이 되면, TiON의 결정 구조가 입방정에서 직방정으로 변화하여, TiON막(205)에 작용하는 응력이 급격하게 작아져, 막 두께에 따라서는 압축 응력이 된다.Concretely, when the amount of O in the TiON film 205 is less than 50 at%, the crystal structure of TiON is cubic like TiN and the TiON film 205 is smaller in size than the TiN film, but a relatively large tensile stress acts. On the other hand, when the amount of O in the TiON film 205 becomes 50 at% or more, the crystal structure of TiON changes from cubic to quadratic, and the stress acting on the TiON film 205 drastically decreases, Therefore, it becomes compressive stress.

도 3에, TiN막 및 TiON막(O: 46at% 및 O: 55at%)의 막 두께와 막응력의 관계를 나타낸다. 이 도에 도시한 바와 같이, TiON막은, O가 들어감으로써, TiN막보다도 막에 작용하는 응력의 절댓값이 작아지는 경향이 있고, 특히 O가 50at% 이상인 55at%에서는, 막 두께가 얇은 곳에서는 응력이 거의 0이며, 막 두께가 10nm를 초과하면 압축 응력으로 되는 것을 알 수 있다.3 shows the relationship between the film thickness of the TiN film and the TiON film (O: 46 at% and O: 55 at%) and the film stress. As shown in the figure, the TiON film tends to have a smaller absolute value of the stress acting on the film than the TiN film when O is introduced. Particularly, in the case of 55at% where O is 50at% or more, Is almost zero, and when the film thickness exceeds 10 nm, it can be understood that the film becomes compressive stress.

이 때문에, 하지막으로서 TiON막을 사용하고, 바람직하게는 TiON막의 O의 양을 50at% 이상으로 함으로써, TiN막을 사용한 경우보다도, Ru막과의 적층막의 응력은 작아져, 막 스트레스에 기인하는 배선 구조의 변형을 억제할 수 있는 것이다. 또한, TiON막은 비교적 전기 저항이 낮아, 배선에 사용하는 막으로서 적합하다.Therefore, by using the TiON film as the underlying film and preferably setting the amount of O in the TiON film to 50 at% or more, the stress in the laminated film with the Ru film becomes smaller than in the case where the TiN film is used, Can be suppressed. Further, the TiON film has a relatively low electrical resistance and is suitable as a film used for wiring.

(TiON막의 성막 공정)(TiON film formation step)

이어서, Ru막의 하지막으로서 형성되는 TiON막(205)의 성막 공정에 대해서 설명한다.Next, a process of forming the TiON film 205 formed as a base film of the Ru film will be described.

TiON막(205)은, 챔버 내에 웨이퍼(W)를 반입하고, Ti 함유 가스의 공급과 질화 가스의 공급을 퍼지를 사이에 두고 교대로 복수회(X회) 반복한 후, 산화제를 공급하고, 그 후를 퍼지하는 사이클을 1 사이클로 하고, 이 사이클을 복수 사이클(Y 사이클) 반복하는 방법에 의해 성막하는 것이 바람직하다.The TiON film 205 is formed by bringing the wafer W into the chamber, repeating the supply of the Ti-containing gas and the supply of the nitriding gas alternately a plurality of times (X times) with the purging interposed therebetween, It is preferable that the film is formed by repeating this cycle for a plurality of cycles (Y cycles).

이러한 성막 방법을 채용함으로써, X의 횟수를 조정함으로써, 용이하게 막 내의 산소(O)량을 제어할 수 있어, 막에 작용하는 응력을 용이하게 제어할 수 있다. O량은, X의 횟수의 조정 외에, 산화제의 공급량, 또는 산화제의 공급 시간, 또는 이들 양쪽을 조정함으로써도 조정할 수 있다. TiON막(205)의 두께는 1 내지 10nm가 바람직하고, 1 내지 5nm가 보다 바람직하다.By employing this film forming method, the amount of oxygen (O) in the film can be easily controlled by adjusting the number of times of X, and the stress acting on the film can be easily controlled. The amount of O can be adjusted by adjusting the supply amount of the oxidizing agent or the supply time of the oxidizing agent, or both, in addition to the adjustment of the number of times of X. The thickness of the TiON film 205 is preferably 1 to 10 nm, more preferably 1 to 5 nm.

이하, 구체적으로 설명한다.Hereinafter, this will be described in detail.

Ti 함유 가스로서는, 사염화티타늄(TiCl4) 가스를 적합하게 사용할 수 있다. TiCl4 가스 이외에, 테트라(이소프로폭시)티타늄(TTIP), 사브롬화티타늄(TiBr4), 사요오드화티타늄(TiI4), 테트라키스에틸메틸아미노티타늄(TEMAT), 테트라키스디메틸아미노티타늄(TDMAT), 테트라키스디에틸아미노티타늄(TDEAT) 등을 사용할 수도 있다. 또한, 질화 가스로서는, NH3 가스를 적합하게 사용할 수 있다. NH3 이외에, 모노메틸히드라진(MMH)을 사용할 수도 있다. 산화제로서는, O2 가스, O3 가스, H2O, NO2 등의 산소 함유 가스를 사용할 수 있다. 산소 함유 가스를 플라즈마화해서 산화제로 해도 된다. 퍼지 가스로서는, N2 가스나 Ar 가스 등의 희가스를 사용할 수 있다.As the Ti-containing gas, titanium tetrachloride (TiCl 4 ) gas can be suitably used. In addition to TiCl 4 gas, tetra (isopropoxy) titanium (TTIP), tetrabromide titanium (TiBr 4), use iodide, titanium (TiI 4), tetrakis-ethyl-methyl-amino-titanium (TEMAT), tetrakis-dimethylamino-titanium (TDMAT) , Tetrakis diethylaminotitanium (TDEAT), or the like may be used. NH 3 gas can be suitably used as the nitriding gas. In addition to NH 3 , monomethyl hydrazine (MMH) may also be used. As the oxidizing agent, an oxygen-containing gas such as O 2 gas, O 3 gas, H 2 O, or NO 2 can be used. The oxygen-containing gas may be converted into an oxidizing agent by plasma. As the purge gas, a rare gas such as N 2 gas or Ar gas can be used.

TiON막을 성막할 때의 시퀀스의 일례에 대해서 도 4의 타이밍 차트 및 도 5의 흐름도를 참조하여 설명한다.An example of a sequence for forming a TiON film will be described with reference to the timing chart of Fig. 4 and the flowchart of Fig.

먼저, Ti 함유 가스인 TiCl4 가스를 챔버에 공급해서 웨이퍼(W)에 TiCl4 가스를 흡착시키고(스텝 S21), 계속해서, TiCl4 가스의 공급을 정지하고, 퍼지 가스인 N2 가스에 의해 챔버 내를 퍼지하고(스텝 S22), 계속해서, 질화 가스, 예를 들어 NH3 가스를 챔버에 공급하여, 흡착된 TiCl4와 반응시켜서 TiN을 형성하고(스텝 S23), 계속해서, NH3 가스를 정지하고, N2 가스에 의해 챔버 내를 퍼지하고(스텝 S24), 이들 스텝 S21 내지 S24를 X회 반복한다. 그 후, 산화제인 O2 가스를 챔버에 공급해서 산화 처리를 행하고(스텝 S25), 계속해서 챔버 내를 퍼지한다(스텝 S26). 이 사이클을 1 사이클로 하고, 이것을 Y 사이클 반복함으로써, 원하는 두께의 TiON막을 형성한다.First, a TiCl 4 gas, which is a Ti-containing gas, is supplied to the chamber to adsorb TiCl 4 gas to the wafer W (step S 21). Subsequently, the supply of TiCl 4 gas is stopped and the N 2 gas by purging the inside of the chamber (step S22), continues, nitriding gas, for example by supplying NH 3 gas into the chamber, reacted with the adsorbed TiCl 4 to form a TiN (step S23), Then, NH 3 gas a stop, and purging the chamber by the N 2 gas is repeated X times (step S24), these steps S21 to S24. Thereafter, O 2 gas which is an oxidizing agent is supplied to the chamber to perform the oxidation process (step S25), and then the chamber is purged (step S26). This cycle is taken as one cycle, and this cycle is repeated Y cycles to form a TiON film having a desired thickness.

이때의 성막 상태를 도 6에 나타내었다. 이 도에 도시한 바와 같이, 스텝 S21 내지 S24를 X회 반복함으로써 소정 막 두께의 단위 TiN막(301)을 성막하고, 그 후 스텝 S25의 산화 처리와 스텝 S26의 퍼지를 행함으로써 단위 TiN막(301)을 산화시킨다. 이것을 1 사이클로 해서 Y 사이클 행함으로써, 소정 막 두께의 TiON막이 형성된다. 이때, 스텝 S21 내지 S24의 반복 횟수인 X에 의해 TiON막의 산소량을 조정할 수 있다. 즉, X를 저감시키면 산화의 빈도가 증가하므로, 막 내의 산소 도입량이 증가하고, 반대로 X를 증가시키면 막 내의 산소 도입량은 줄어든다. 예를 들어, X가 1일 때 막 내의 O량을 약 62at%, X가 9일 때 막 내의 O량을 약 50at%로 할 수 있다. 상술한 도 3의 예의 TiON막은, 이러한 방법에 의해 성막된 것이며, O가 46at%인 경우에는, X=12이며, O가 55at%인 경우에는, X=6이다. 또한, 막 내의 O량은, 상술한 바와 같이 X의 횟수의 조정 외에, 산화제의 공급량, 또는 산화제의 공급 시간, 또는 이들 양쪽을 조정함으로써도 조정할 수 있다.The state of film formation at this time is shown in Fig. As shown in this drawing, the unit TiN film 301 having a predetermined film thickness is formed by repeating the steps S21 to S24 X times, and thereafter the oxidation treatment in the step S25 and the purging in the step S26 are performed to form a unit TiN film 301). By performing this cycle in a Y cycle, a TiON film having a predetermined film thickness is formed. At this time, the amount of oxygen in the TiON film can be adjusted by the repetition number X of steps S21 to S24. That is, when X is decreased, the frequency of oxidation increases, so that the amount of oxygen introduced into the film increases. Conversely, when X increases, the amount of oxygen introduced into the film decreases. For example, when X is 1, the amount of O in the film is about 62 at%, and when X is 9, the amount of O in the film can be about 50 at%. The TiON film in the example of FIG. 3 described above is formed by such a method. When X is 46at%, X = 12 and when O is 55at%, X = 6. The amount of O in the film can be adjusted by adjusting the supply amount of the oxidizing agent, the supply time of the oxidizing agent, or both, in addition to the adjustment of the number of times of X as described above.

또한, 스텝 S21 내지 S24를 반복한 후에, 스텝 S25, S26을 행하는 사이클의 사이클수 Y에 의해 막 두께를 조정할 수 있다.Further, after repeating the steps S21 to S24, the film thickness can be adjusted by the number of cycles Y of the cycle in which the steps S25 and S26 are performed.

스텝 S25의 산화 처리와 스텝 S26의 퍼지를 복수회(N회) 반복해도 된다. 이에 의해, 산화제의 공급성이 높아져 산화 효율을 높일 수 있다.The oxidation processing in step S25 and the purge in step S26 may be repeated a plurality of times (N times). As a result, the supply ability of the oxidizing agent is enhanced and the oxidation efficiency can be increased.

또한, TiON막 성막 시에, TiN의 산화를 조정하기 위해서 성막 도중에 X를 변경하는 등의 조정을 행해도 되고, 또한 상기 스텝 S21 내지 S26의 기본 스텝 외에, 산화나 질화를 강화하거나 하기 위해서 부가적인 스텝을 추가해도 된다.In order to adjust the oxidation of TiN during the TiON film formation, adjustment such as changing X during the film formation may be performed. In addition to the basic steps in the above steps S21 to S26, You may add a step.

또한, Ti 원료 가스로서 TiCl4 가스, 질화 가스로서 NH3 가스, 캐리어 가스·퍼지 가스로서 N2 가스, 산화제로서 O2 가스를 사용한 경우의 성막 조건의 바람직한 범위는 이하와 같다.The preferable range of film forming conditions in the case of using TiCl 4 gas as the Ti source gas, NH 3 gas as the nitriding gas, N 2 gas as the carrier gas and purge gas, and O 2 gas as the oxidizing agent are as follows.

처리 온도(서셉터 온도): 300 내지 500℃Processing temperature (susceptor temperature): 300 to 500 DEG C

챔버 내 압력: 13.33 내지 1333Pa(0.1 내지 10Torr)Pressure in the chamber: 13.33 to 1333 Pa (0.1 to 10 Torr)

TiCl4 가스 유량: 10 내지 300mL/min(sccm)TiCl 4 gas flow rate: 10 to 300 mL / min (sccm)

NH3 가스 유량: 1000 내지 10000mL/min(sccm)NH 3 gas flow rate: 1000 to 10000 mL / min (sccm)

N2 가스 유량: 1000 내지 30000mL/min(sccm)N 2 gas flow rate: 1000 to 30000 mL / min (sccm)

스텝 S21 내지 S24의 1회 공급 시간: 0.01 내지 3secOne time supply time in steps S21 to S24: 0.01 to 3 sec

O2 가스 유량: 10 내지 3000mL/min(sccm)O 2 gas flow rate: 10 to 3000 mL / min (sccm)

O2 가스 공급 시간: 0.1 내지 60secO 2 gas supply time: 0.1 to 60 sec

(Ru막의 성막 공정)(Ru film formation step)

이어서, Ru막(206)의 성막 공정에 대해서 설명한다.Next, the process of forming the Ru film 206 will be described.

Ru막(206)은, 루테늄 카르보닐(Ru3(CO)12)을 성막 원료로 해서 열 CVD에 의해 성막하는 것이 바람직하다. 이에 의해, 고순도이며 얇은 Ru막을 고스텝 커버리지로 성막할 수 있다. 이때의 성막 조건은, 예를 들어 처리 용기 내의 압력이 1.3 내지 66.5Pa의 범위이며, 성막 온도(웨이퍼 온도)가 130 내지 250℃의 범위이다. Ru막(206)은, 루테늄 카르보닐 이외의 다른 성막 원료, 예를 들어 (시클로펜타디에닐)(2,4-디메틸펜타디에닐)루테늄, 비스(시클로펜타디에닐)(2,4-메틸펜타디에닐)루테늄, (2,4-디메틸펜타디에닐)(에틸시클로펜타디에닐)루테늄, 비스(2,4-메틸펜타디에닐)(에틸시클로펜타디에닐)루테늄과 같은 루테늄의 펜타디에닐 화합물을 사용해서 성막할 수도 있다. 또한, 여기에서 말하는 CVD에는 ALD도 포함한다.The Ru film 206 is preferably formed by thermal CVD using ruthenium carbonyl (Ru 3 (CO) 12 ) as a film formation source. As a result, a Ru film with a high purity and a thin film can be formed with high step coverage. The film forming conditions at this time are, for example, the pressure in the processing vessel is in the range of 1.3 to 66.5 Pa, and the film forming temperature (wafer temperature) is in the range of 130 to 250 캜. The Ru film 206 can be formed using a film forming material other than ruthenium carbonyl such as (cyclopentadienyl) (2,4-dimethylpentadienyl) ruthenium, bis (cyclopentadienyl) (Ethylcyclopentadienyl) ruthenium such as (2,4-dimethylpentadienyl) ruthenium, (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) ruthenium, May also be used. Incidentally, CVD referred to herein also includes ALD.

[성막 시스템][Tape formation system]

이어서, 제1 실시 형태에 따른 Ru 배선의 제조 방법을 실시하기 위해서 사용되는 성막 시스템의 일례에 대해서 설명한다.Next, an example of a film-forming system used for implementing the Ru wiring manufacturing method according to the first embodiment will be described.

도 7은, 이러한 성막 시스템의 일례를 개략적으로 나타내는 수평 단면도이다.7 is a horizontal cross-sectional view schematically showing an example of such a film-forming system.

성막 시스템(1)은, 1개의 TiON막 성막 장치(11)와, 1개의 냉각 장치(12)와, 2개의 Ru 성막 장치(13)를 갖는다. 이들은, 평면 형상이 칠각형을 이루는 진공 반송실(10)의 4개의 벽부에 각각 게이트 밸브(G)를 통해서 접속되어 있다. 진공 반송실(10) 내는, 진공 펌프에 의해 배기되어 소정의 진공도로 유지된다.The film forming system 1 has one TiON film forming apparatus 11, one cooling apparatus 12, and two Ru film forming apparatuses 13. These are connected to the four wall portions of the vacuum transport chamber 10 whose planar shape is a hexagon, through gate valves G, respectively. The vacuum transfer chamber 10 is evacuated by a vacuum pump and held at a predetermined degree of vacuum.

냉각 장치(12)는, TiON막 성막 장치(11)와 Ru막 성막 장치(13)에서 처리 온도에 차가 있으므로, TiON막 성막 장치(11)에서 처리된 웨이퍼(W)를 Ru막 성막 장치(13)에 반송하기 전에 일단 실온으로 냉각하기 위한 것이며, 진공으로 유지된 챔버 내에, 웨이퍼(W)가 적재되는 냉각 플레이트가 설치된 구조를 갖는다. 또한, TiON막 성막 장치(11) 및 Ru막 성막 장치(13)에 대해서는 후술한다.The cooling apparatus 12 has a difference in processing temperatures in the TiON film forming apparatus 11 and the Ru film forming apparatus 13 so that the wafer W processed in the TiON film forming apparatus 11 is transferred to the Ru film forming apparatus 13 ), And has a structure in which a cooling plate on which a wafer W is placed is provided in a chamber kept in a vacuum. The TiON film forming apparatus 11 and the Ru film forming apparatus 13 will be described later.

진공 반송실(10)의 다른 3개의 벽부에는 3개의 로드 로크 실(14)이 게이트 밸브(G1)를 통해서 접속되어 있다. 로드 로크 실(14)을 사이에 두고 진공 반송실(10)의 반대측에는 대기 반송실(15)이 설치되어 있다. 3개의 로드 로크 실(14)은, 게이트 밸브(G2)를 통해서 대기 반송실(15)에 접속되어 있다. 로드 로크 실(14)은, 대기 반송실(15)과 진공 반송실(10)의 사이에서 웨이퍼(W)를 반송할 때, 대기압과 진공과의 사이에서 압력 제어하는 것이다.Three load lock chambers 14 are connected to the other three wall portions of the vacuum transfer chamber 10 through a gate valve G1. An atmosphere transfer chamber (15) is provided on the opposite side of the vacuum transfer chamber (10) with the load lock chamber (14) interposed therebetween. The three load lock chambers 14 are connected to the atmospheric transfer chamber 15 through the gate valve G2. The load lock chamber 14 controls the pressure between the atmospheric pressure and the vacuum when the wafer W is transferred between the atmospheric transfer chamber 15 and the vacuum transfer chamber 10.

대기 반송실(15)의 로드 로크 실(14)의 설치 벽부와는 반대측의 벽부에는, 웨이퍼(W)를 수용하는 캐리어(FOUP 등)(C)를 설치하는 3개의 캐리어 설치 포트(16)를 갖고 있다. 또한, 대기 반송실(15)의 측벽에는, 실리콘 웨이퍼(W)의 얼라인먼트를 행하는 얼라인먼트 챔버(17)가 설치되어 있다. 대기 반송실(15) 내에는 청정 공기의 다운 플로우가 형성되도록 되어 있다.Three carrier installation ports 16 for installing a carrier (FOUP or the like) C for accommodating the wafer W are provided in the wall portion on the opposite side of the installation wall portion of the load lock chamber 14 of the standby transportation chamber 15 I have. An alignment chamber 17 for aligning the silicon wafer W is provided on the side wall of the atmospheric transfer chamber 15. So that a downflow of clean air is formed in the atmospheric transportation chamber 15.

진공 반송실(10) 내에는, 반송 기구(18)가 설치되어 있다. 반송 기구(18)는, TiON막 성막 장치(11), 냉각 장치(12), Ru막 성막 장치(13), 로드 로크 실(14)에 대하여 웨이퍼(W)를 반송한다. 반송 기구(18)는, 독립적으로 이동 가능한 2개의 반송 아암(19a, 19b)을 갖고 있다.In the vacuum transport chamber 10, a transport mechanism 18 is provided. The transport mechanism 18 transports the wafer W to the TiON film forming apparatus 11, the cooling apparatus 12, the Ru film forming apparatus 13, and the load lock chamber 14. The transport mechanism 18 has two transport arms 19a, 19b that can move independently.

대기 반송실(15) 내에는, 반송 기구(20)가 설치되어 있다. 반송 기구(20)는, 캐리어(C), 로드 로크 실(14), 얼라인먼트 챔버(17)에 대하여 웨이퍼(W)를 반송하도록 되어 있다.In the standby transportation chamber 15, a transport mechanism 20 is provided. The transfer mechanism 20 is configured to transfer the wafer W to the carrier C, the load lock chamber 14, and the alignment chamber 17.

성막 시스템(1)은 전체 제어부(21)를 갖고 있다. 전체 제어부(21)는, TiON막 성막 장치(11), 냉각 장치(12), Ru막 성막 장치(13)의 각 구성부, 진공 반송실(10)의 배기 기구나 반송 기구(18), 로드 로크 실(14)의 배기 기구나 가스 공급 기구, 대기 반송실(15)의 반송 기구(20), 게이트 밸브(G, G1, G2)의 구동계 등을 제어하는 CPU(컴퓨터)를 갖는 주 제어부와, 입력 장치(키보드, 마우스 등), 출력 장치(프린터 등), 표시 장치(디스플레이 등), 기억 장치(기억 매체)를 갖고 있다. 전체 제어부(21)의 주 제어부는, 예를 들어 기억 장치에 내장된 기억 매체, 또는 기억 장치에 세팅된 기억 매체에 기억된 처리 레시피에 기초하여, 성막 시스템(1)에, 소정의 동작을 실행시킨다.The film forming system 1 has an overall control unit 21. The overall control unit 21 controls the TiON film forming apparatus 11, the cooling apparatus 12, the respective components of the Ru film forming apparatus 13, the exhaust mechanism and the transport mechanism 18 of the vacuum transport chamber 10, A main control unit having a CPU (computer) for controlling the exhaust mechanism of the lock chamber 14, the gas supply mechanism, the transport mechanism 20 of the atmospheric transport chamber 15, the drive systems of the gate valves G, G1 and G2, , An input device (such as a keyboard and a mouse), an output device (such as a printer), a display device (such as a display), and a storage device (storage medium). The main control unit of the overall control unit 21 executes a predetermined operation on the film forming system 1 based on, for example, a processing recipe stored in a storage medium built in the storage device or a storage medium set in the storage device .

이어서, 이상과 같이 구성되는 성막 시스템의 동작에 대해서 설명한다. 이하의 처리 동작은, 전체 제어부(21)에서의 기억 매체에 기억된 처리 레시피에 기초하여 실행된다.Next, the operation of the film forming system configured as described above will be described. The following processing operations are executed based on the processing recipes stored in the storage medium in the overall control section 21. [

먼저, 반송 기구(20)에 의해 대기 반송실(15)에 접속된 캐리어(C)로부터 웨이퍼(W)를 취출하고, 어느 하나의 로드 로크 실(14)의 게이트 밸브(G2)를 열어서 그 웨이퍼(W)를 그 로드 로크 실(14) 내에 반입한다. 게이트 밸브(G2)를 폐쇄한 후, 로드 로크 실(14) 내를 진공 배기한다.The wafer W is first taken out of the carrier C connected to the atmospheric transportation chamber 15 by the transport mechanism 20 and the gate valve G2 of one of the load lock chambers 14 is opened, (W) into the load lock chamber (14). After the gate valve G2 is closed, the inside of the load lock chamber 14 is evacuated.

그 로드 로크 실(14)이, 소정의 진공도가 된 시점에서 게이트 밸브(G1)를 열고, 진공 반송실(10) 내의 반송 기구(18)의 반송 아암(19a, 19b) 중 어느 하나에 의해 로드 로크 실(14)로부터 실리콘 웨이퍼(W)를 취출한다.The gate valve G1 is opened at the time when the load lock chamber 14 reaches a predetermined degree of vacuum and the load lock chamber 14 is closed by any one of the transfer arms 19a and 19b of the transfer mechanism 18 in the vacuum transfer chamber 10, The silicon wafer W is taken out from the lock chamber 14.

그리고, TiON막 성막 장치(11)의 게이트 밸브(G)를 열고, 반송 기구(18)의 어느 하나의 반송 아암(19a, 19b)이 유지하는 실리콘 웨이퍼(W)를 TiON막 성막 장치(11)에 반입하고, 게이트 밸브(G)를 폐쇄하고, TiON막 성막 장치(11)에 의해 TiON막의 성막을 행한다.The gate valve G of the TiON film forming apparatus 11 is opened and the silicon wafer W held by one of the transfer arms 19a and 19b of the transfer mechanism 18 is transferred to the TiON film forming apparatus 11, The gate valve G is closed, and the TiON film forming apparatus 11 deposits the TiON film.

TiON막의 성막 처리가 종료된 후, 게이트 밸브(G)를 열고, 반송 기구(18)의 어느 하나의 반송 아암(19a, 19b)에 의해, 웨이퍼(W)를 반출하고, 냉각 장치(12)의 게이트 밸브(G)를 열어서 그 안에 웨이퍼(W)를 반입한다. 냉각 장치(12)에서 웨이퍼(W)를 냉각한 후, 반송 기구(18)의 어느 하나의 반송 아암(19a, 19b)에 의해 웨이퍼(W)를 반출하고, 어느 하나의 Ru막 성막 장치(13)의 게이트 밸브(G)를 열어서 그 안에 웨이퍼(W)를 반입한다. 그리고, Ru막 성막 장치(13)에 의해 Ru막의 성막을 행한다.The gate valve G is opened and the wafer W is carried out by one of the transfer arms 19a and 19b of the transfer mechanism 18 to transfer the wafer W to the cooling apparatus 12 The gate valve G is opened and the wafer W is carried therein. The wafer W is taken out by one of the transfer arms 19a and 19b of the transfer mechanism 18 after the wafer W is cooled in the cooling device 12 and one of the Ru film forming devices 13 The gate valve G of the wafer W is opened to carry the wafer W therein. Then, a Ru film is formed by the Ru film forming apparatus 13.

Ru막의 성막이 이루어진 후, 그 Ru막 성막 장치(13)의 게이트 밸브(G)를 열고, 반송 기구(18)의 반송 아암(19a, 19b) 중 어느 하나에 의해, 그 안의 웨이퍼(W)를 반출하고, 계속해서 어느 하나의 로드 로크 실(14)의 게이트 밸브(G1)를 열고, 반송 아암 상의 실리콘 웨이퍼(W)를 그 로드 로크 실(14) 내에 반입한다. 그리고, 그 로드 로크 실(14) 내를 대기로 되돌리고, 게이트 밸브(G2)를 열고, 반송 기구(20)로 로드 로크 실(14) 내의 실리콘 웨이퍼(W)를 캐리어(C)로 되돌린다.After the deposition of the Ru film, the gate valve G of the Ru film-forming apparatus 13 is opened and the wafer W in the transfer mechanism 19 is transferred by one of the transfer arms 19a and 19b of the transfer mechanism 18 The gate valve G1 of one of the load lock chambers 14 is opened and the silicon wafers W on the transfer arm are carried into the load lock chambers 14. The inside of the load lock chamber 14 is returned to the atmosphere and the gate valve G2 is opened to return the silicon wafer W in the load lock chamber 14 to the carrier C by the transport mechanism 20. [

이상과 같은 처리를, 복수의 실리콘 웨이퍼(W)에 대해서 동시 병행적으로 행하여, 소정 매수의 웨이퍼(W)의 TiON막의 성막 처리 및 Ru막의 성막 처리가 완료된다.The above-described processing is performed simultaneously on a plurality of silicon wafers W, and the TiON film formation and the Ru film formation processing of a predetermined number of wafers W are completed.

이상과 같이 Ru막 성막까지 종료된 후, 필요에 따라 어닐을 행한 후, 캐리어(C)를 CMP 장치에 반송하고, 웨이퍼(W)의 CMP 처리를 행한다. 또한, 어닐은, 성막 시스템(1) 내의 어느 하나의 모듈에서 행해도 되고, 별개로 설치한 어닐 장치에서 행해도 된다.After the Ru film formation is completed as described above, after the annealing is performed as required, the carrier C is transferred to the CMP apparatus and the CMP process of the wafer W is performed. The annealing may be performed in any one of the modules in the film forming system 1, or may be performed in a separately provided annealing apparatus.

(TiON막 성막 장치)(TiON film forming apparatus)

이어서, 상기 성막 시스템(1)의 TiON막 성막 장치(11)에 대해서 설명한다.Next, the TiON film-forming apparatus 11 of the film forming system 1 will be described.

도 8은 TiON막 성막 장치(11)의 일례를 개략적으로 도시하는 단면도이다.8 is a cross-sectional view schematically showing an example of the TiON film-forming apparatus 11.

이 TiON막 성막 장치(11)는, 기밀하게 구성된 대략 원통 형상의 챔버(31)를 갖고 있다. 챔버(31)의 내부에는, 피처리 기판인 웨이퍼(W)를 수평하게 지지하기 위한 스테이지로서, AlN 등의 세라믹스로 구성된 서셉터(32)가 그 중앙 하부에 설치된 원통 형상의 지지 부재(33)에 의해 지지된 상태로 배치되어 있다. 서셉터(32)의 외연부에는, 웨이퍼(W)를 가이드하기 위한 가이드 링(34)이 설치되어 있다. 또한, 서셉터(32)에는 히터(35)가 매립되어 있고, 이 히터(35)는, 히터 전원(36)으로부터 전력을 공급받음으로써 피처리 기판인 웨이퍼(W)를 소정의 온도로 가열한다. 또한, 서셉터(32)에는, 웨이퍼(W)를 지지해서 승강시키기 위한 복수의 웨이퍼 승강 핀(도시하지 않음)이, 서셉터(32)의 표면에 대하여 돌출 함몰 가능하게 설치되어 있다.The TiON film-forming apparatus 11 has a substantially cylindrical chamber 31 made airtight. Inside the chamber 31, a susceptor 32 made of ceramics such as AlN is provided as a stage for horizontally supporting the wafer W as a substrate to be processed, and a cylindrical support member 33, As shown in Fig. A guide ring 34 for guiding the wafer W is provided at the outer edge of the susceptor 32. [ A heater 35 is embedded in the susceptor 32. The heater 35 receives power from the heater power supply 36 to heat the wafer W to be processed to a predetermined temperature . The susceptor 32 is provided with a plurality of wafer lift pins (not shown) for holding and lifting the wafer W so as to protrude and retract from the surface of the susceptor 32.

챔버(31)의 천장벽(31a)에는, 샤워 헤드(40)가 설치되어 있다. 샤워 헤드(40)는, 베이스 부재(41)와 샤워 플레이트(42)를 갖고 있으며, 샤워 플레이트(42)의 외주부는, 중간 부재(43)를 개재해서 베이스 부재(41)에 나사 고정되어 있다. 샤워 플레이트(42)는, 플랜지 형상을 이루고, 그 내부에 오목부가 형성되어 있고, 베이스 부재(41)와 샤워 플레이트(42)와의 사이에 가스 확산 공간(44)이 형성된다. 베이스 부재(41)는 그 외주에 플랜지부(41a)가 형성되어 있고, 이 플랜지부(41a)가 챔버(31)의 천장벽(31a)에 설치되어 있다. 샤워 플레이트(42)에는 복수의 가스 토출 구멍(45)이 형성되어 있고, 베이스 부재(41)에는 2개의 가스 도입 구멍(46 및 47)이 형성되어 있다.A showerhead 40 is provided on the ceiling wall 31a of the chamber 31. [ The shower head 40 has a base member 41 and a shower plate 42. The outer periphery of the shower plate 42 is screwed to the base member 41 with the intermediate member 43 interposed therebetween. The shower plate 42 has a flange shape and has a recess formed therein and a gas diffusion space 44 is formed between the base member 41 and the shower plate 42. The base member 41 has a flange portion 41a formed on its outer periphery and the flange portion 41a is provided on the ceiling wall 31a of the chamber 31. [ A plurality of gas discharging holes 45 are formed in the shower plate 42 and two gas introducing holes 46 and 47 are formed in the base member 41. [

가스 공급 기구(50)는, Ti 함유 가스로서의 TiCl4 가스를 공급하는 TiCl4 가스 공급원(51)과, 질화 가스로서의 NH3 가스를 공급하는 NH3 가스 공급원(53)을 갖고 있다. TiCl4 가스 공급원(51)에는 TiCl4 가스 공급 라인(52)이 접속되어 있고, 이 TiCl4 가스 공급 라인(52)은 제1 가스 도입 구멍(46)에 접속되어 있다. NH3 가스 공급원(53)에는 NH3 가스 공급 라인(54)이 접속되어 있고, 이 NH3 가스 공급 라인(54)은 제2 가스 도입 구멍(47)에 접속되어 있다.The gas supply mechanism 50 has a TiCl 4 gas supply source 51 for supplying TiCl 4 gas as a Ti containing gas and an NH 3 gas supply source 53 for supplying NH 3 gas as a nitrifying gas. TiCl 4 gas supply source 51, the TiCl 4 gas supply line 52 is connected, and the TiCl 4 gas supply line 52 is connected to the introduction of the first gas holes (46). NH 3 gas supply source 53, the NH 3 gas supply line 54 is connected, and the NH 3 gas supply line 54 is connected to the second gas inlet hole (47).

TiCl4 가스 공급 라인(52)에는 N2 가스 공급 라인(56)이 접속되어 있고, 이 N2 가스 공급 라인(56)에는, N2 가스 공급원(55)으로부터 N2 가스가 캐리어 가스 또는 퍼지 가스로서 공급되도록 되어 있다.TiCl 4 gas supply line 52, the N 2 gas and the supply line 56 is connected, the N 2 gas supply line 56 is, N 2 gas and the carrier gas or a purge gas from a N 2 gas supply source 55 As shown in FIG.

NH3 가스 공급 라인(54)에는 산화제 공급 라인(58)이 접속되어 있고, 이 산화제 공급 라인(58)에는, 산화제 공급원(57)으로부터, 산화제로서 상술한 바와 같은 산소 함유 가스가 공급되도록 되어 있다. 산소 함유 가스를 플라즈마화해도 된다. 이때, 산화제 공급원(57)으로부터 미리 산소 함유 가스를 플라즈마화해서 공급해도 되고, 산소 함유 가스를 샤워 헤드(40) 내에서 플라즈마화해도 된다. NH3 가스 공급 라인(54)에는 N2 가스 공급 라인(60)이 접속되어 있고, 이 N2 가스 공급 라인(60)에는, N2 가스 공급원(59)으로부터 N2 가스가 캐리어 가스 또는 퍼지 가스로서 공급되도록 되어 있다.The NH 3 gas supply line 54 is connected to an oxidant supply line 58 through which an oxygen-containing gas as described above is supplied as an oxidant from the oxidant supply source 57 . The oxygen-containing gas may be converted into plasma. At this time, an oxygen-containing gas may be supplied in advance from the oxidizing agent supply source 57 in a plasma state, or the oxygen-containing gas may be plasmaized in the showerhead 40. NH 3 gas supply line 54, the N 2 gas is supplied to line 60 are connected, and the N 2 gas supply line (60), N 2 gas and the carrier gas or a purge gas from a N 2 gas supply source 59 As shown in FIG.

TiCl4 가스 공급 라인(52), NH3 가스 공급 라인(54), 산화제 공급 라인(58), N2 가스 공급 라인(56, 60)에는, 매스 플로우 컨트롤러(63) 및 매스 플로우 컨트롤러(63)를 사이에 둔 2개의 밸브(64)가 설치되어 있다.The mass flow controller 63 and the mass flow controller 63 are connected to the TiCl 4 gas supply line 52, the NH 3 gas supply line 54, the oxidant supply line 58 and the N 2 gas supply lines 56 and 60, Two valves 64 are provided between the two valves.

따라서, TiCl4 가스 공급원(51)으로부터의 TiCl4 가스 및 N2 가스 공급원(55)으로부터의 N2 가스는, TiCl4 가스 공급 라인(52)을 통해서 샤워 헤드(40)의 제1 가스 도입 구멍(46)으로부터 샤워 헤드(40) 내의 가스 확산 공간(44)에 이르고, 또한 NH3 가스 공급원(53)으로부터의 NH3 가스, 산화제 공급원(57)으로부터의 산화제 및 N2 가스 공급원(59)으로부터의 N2 가스는, NH3 가스 공급 라인(54)을 통해서 샤워 헤드(40)의 제2 가스 도입 구멍(47)으로부터 샤워 헤드(40) 내의 가스 확산 공간(44)에 이르고, 이들 가스는 샤워 플레이트(42)의 가스 토출 구멍(45)으로부터 챔버(31) 내에 토출되도록 되어 있다. 또한, 샤워 헤드(40)는, TiCl4 가스와 NH3 가스가 독립적으로 챔버(31) 내에 공급되는 포스트 믹스 타입이어도 된다.Thus, TiCl 4 N 2 gas from the TiCl 4 gas and N 2 gas supply source (55) from the gas supply source 51, TiCl 4 gas supply line 52, the first gas supply of the shower head 40 through the hole from 46 reaches the gas diffusion space in the shower head 40 (44), and from an oxidizing agent and N 2 gas supply source (59) from the NH 3 gas, the oxidant source 57 from the NH 3 gas supply source 53 The N 2 gas of the showerhead 40 reaches the gas diffusion space 44 in the showerhead 40 from the second gas introduction hole 47 of the showerhead 40 through the NH 3 gas supply line 54, And is discharged from the gas discharge hole 45 of the plate 42 into the chamber 31. The shower head 40 may be a post mix type in which TiCl 4 gas and NH 3 gas are independently supplied into the chamber 31.

샤워 헤드(40)의 베이스 부재(41)에는, 샤워 헤드(40)를 가열하기 위한 히터(75)가 설치되어 있다. 이 히터(75)에는 히터 전원(76)이 접속되어 있고, 히터 전원(76)으로부터 히터(75)에 전력을 공급함으로써 샤워 헤드(40)가 원하는 온도로 가열된다. 베이스 부재(41)의 상부에 형성된 오목부에는, 히터(75)에 의한 가열 효율을 높이기 위해서 단열 부재(77)가 설치되어 있다.The base member 41 of the showerhead 40 is provided with a heater 75 for heating the showerhead 40. A heater power supply 76 is connected to the heater 75 and the showerhead 40 is heated to a desired temperature by supplying electric power to the heater 75 from the heater power supply 76. A heat insulating member 77 is provided in the concave portion formed on the upper portion of the base member 41 in order to increase the heating efficiency by the heater 75.

챔버(31)의 저벽(31b)의 중앙부에는 원형의 구멍(65)이 형성되어 있고, 저벽(31b)에는 이 구멍(65)을 덮도록 하방을 향해서 돌출되는 배기 실(66)이 설치되어 있다. 배기 실(66)의 측면에는 배기관(67)이 접속되어 있고, 이 배기관(67)에는 배기 장치(68)가 접속되어 있다. 그리고, 이 배기 장치(68)를 작동시킴으로써, 챔버(31) 내를 소정의 진공도까지 감압하는 것이 가능하게 되어 있다.A circular hole 65 is formed at the center of the bottom wall 31b of the chamber 31 and an exhaust chamber 66 is provided at the bottom wall 31b so as to project downward to cover the hole 65 . An exhaust pipe 67 is connected to the side surface of the exhaust chamber 66 and an exhaust device 68 is connected to the exhaust pipe 67. By operating the exhaust device 68, it is possible to reduce the pressure in the chamber 31 to a predetermined degree of vacuum.

챔버(31)의 측벽에는, 진공 반송실(10)과의 사이에서 웨이퍼(W)를 반출입하기 위한 반입출구(72)가 형성되어 있고, 상술한 바와 같이, 이 반입출구(72)는, 게이트 밸브(G)에 의해 개폐되도록 되어 있다.The transfer opening 72 for transferring the wafer W into and out of the vacuum transfer chamber 10 is formed in the side wall of the chamber 31. As described above, And is opened and closed by a valve G.

TiON막 성막 장치(11)는, 그 각 구성부, 예를 들어 히터 전원(36 및 76), 밸브(64), 매스 플로우 컨트롤러(63) 등을 제어하는 제어부(80)를 갖고 있다. 제어부(80)는, 전체 제어부(21)의 명령에 의해 각 구성부를 제어한다.The TiON film-forming apparatus 11 has a control section 80 for controlling the respective components, for example, the heater power sources 36 and 76, the valve 64, the mass flow controller 63, and the like. The control unit 80 controls each component by an instruction from the overall control unit 21. [

이렇게 구성되는 TiON막 성막 장치(11)에서는, 게이트 밸브(G)를 개방으로 하고, 진공 반송실(10)로부터 반송 기구(18)에 의해 반입출구(72)를 통해서 웨이퍼(W)를 챔버(31) 내에 반입하여, 서셉터(32)에 적재한다. 서셉터(32)는, 히터(35)에 의해 소정 온도로 가열되어 있고, 웨이퍼(W)가 서셉터(32)에 적재된 상태에서 챔버(31) 내에 N2 가스를 공급함으로써 웨이퍼(W)를 가열하여, 웨이퍼(W)의 온도가 거의 안정된 시점에서, TiON막의 성막을 개시한다.In the TiON film-forming apparatus 11 thus constructed, the gate valve G is opened and the wafer W is transferred from the vacuum transfer chamber 10 to the chamber (not shown) through the transfer- 31 and loaded on the susceptor 32. [ The susceptor 32 is heated to a predetermined temperature by the heater 35 and supplies N 2 gas into the chamber 31 in a state in which the wafer W is loaded on the susceptor 32, And the film formation of the TiON film is started when the temperature of the wafer W is almost stabilized.

우선, TiCl4 가스 공급원(51)으로부터 TiCl4 가스를 챔버(31)에 공급해서 TiCl4 가스를 웨이퍼(W)에 흡착시키고, 계속해서, TiCl4 가스의 공급을 정지하고, N2 가스에 의해 챔버(31) 내를 퍼지하고, 계속해서, NH3 가스 공급원(53)으로부터 NH3 가스를 챔버(31)에 공급하여, 흡착된 TiCl4와 반응시켜서 TiN을 형성하고, 계속해서, NH3 가스를 정지하고, N2 가스에 의해 챔버(31) 내를 퍼지하고, 이들 스텝을 X회 반복한다. 그 후, 산화제 공급원(57)으로부터 산화제(예를 들어 O2 가스)를 챔버(31)에 공급해서 산화 처리를 행하고, 이어서 챔버(31) 내를 퍼지한다. 이 사이클을 1 사이클로 하고, 이것을 Y 사이클 반복함으로써, 소정의 막 두께의 TiON막을 형성한다.First, by a TiCl 4 gas supply source (51) TiCl 4 gas supply to the chamber 31 to adsorb the TiCl 4 gas to the wafer (W), continuously, and stops the supply of the TiCl 4 gas, N 2 gas from the by purging the inside of the chamber 31, and subsequently, to supply the NH 3 gas from the NH 3 gas supply source 53 to the chamber 31, by reacting the adsorbed TiCl 4 to form a TiN, and continuously, NH 3 gas And the inside of the chamber 31 is purged by N 2 gas, and these steps are repeated X times. Thereafter, an oxidizing agent (for example, O 2 gas) is supplied from the oxidizing agent supply source 57 to the chamber 31 to perform the oxidation treatment, and then the inside of the chamber 31 is purged. This cycle is taken as one cycle, and this cycle is repeated Y cycles to form a TiON film having a predetermined film thickness.

이때, 상술한 바와 같이, X의 횟수 등을 제어함으로써, TiON막의 O량을 제어하여, TiON막에 작용하는 응력을 제어할 수 있다.At this time, as described above, by controlling the number of times of X and the like, the O amount of the TiON film can be controlled to control the stress acting on the TiON film.

성막 처리 종료 후, 챔버(31) 내를 퍼지하고, 게이트 밸브(G)를 열고, 반송 기구(18)에 의해, 반입출구(72)를 통해서 웨이퍼(W)를 반출한다.The inside of the chamber 31 is purged and the gate valve G is opened and the wafer W is carried out by the carrying mechanism 18 through the loading /

(Ru막 성막 장치)(Ru film forming apparatus)

이어서, 상기 성막 시스템(1)의 Ru막 성막 장치(13)에 대해서 설명한다.Next, the Ru film-forming apparatus 13 of the film forming system 1 will be described.

도 9는 Ru막 성막 장치(13)의 일례를 개략적으로 도시하는 단면도이다.9 is a cross-sectional view schematically showing an example of the Ru film-forming apparatus 13.

이 Ru막 성막 장치(13)는, 기밀하게 구성된 대략 원통 형상의 챔버(101)를 갖고 있으며, 그 안에는 피처리 기판인 웨이퍼(W)를 수평으로 지지하기 위한 서셉터(102)가, 챔버(101)의 저벽 중앙에 설치된 원통 형상의 지지 부재(103)에 의해 지지되어 배치되어 있다. 서셉터(102)에는 히터(105)가 매립되어 있고, 이 히터(105)는, 히터 전원(106)으로부터 전력을 공급받음으로써 피처리 기판인 웨이퍼(W)를 소정의 온도로 가열한다. 또한, 서셉터(102)에는, 웨이퍼(W)를 지지해서 승강시키기 위한 복수의 웨이퍼 승강 핀(도시하지 않음)이 서셉터(102)의 표면에 대하여 돌출 함몰 가능하게 설치되어 있다.The Ru film-forming apparatus 13 has an airtight chamber 101 having a substantially cylindrical shape. A susceptor 102 for horizontally supporting the wafer W, which is a substrate to be processed, 101 supported by a cylindrical support member 103 provided at the center of the bottom wall of the housing 101. A heater 105 is buried in the susceptor 102. The heater 105 heats the wafer W which is a substrate to be processed to a predetermined temperature by receiving electric power from the heater power supply 106. [ A plurality of wafer lift pins (not shown) for supporting and lifting the wafer W are provided on the susceptor 102 so as to protrude and retract from the surface of the susceptor 102.

챔버(101)의 천장벽에는, Ru막을 CVD 성막하기 위한 처리 가스를 챔버(101) 내에 샤워 형상으로 도입하기 위한 샤워 헤드(110)가, 서셉터(102)와 대향하도록 설치되어 있다. 샤워 헤드(110)는, 후술하는 가스 공급 기구(130)로부터 공급된 가스를 챔버(101) 내에 토출하기 위한 것이고, 그 상부에는 가스를 도입하기 위한 가스 도입구(111)가 형성되어 있다. 또한, 샤워 헤드(110)의 내부에는 가스 확산 공간(112)이 형성되어 있고, 샤워 헤드(110)의 저면에는 가스 확산 공간(112)에 연통한 다수의 가스 토출 구멍(113)이 형성되어 있다.A showerhead 110 for introducing a process gas for CVD film formation of a Ru film into the chamber 101 in a shower shape is provided on the ceiling wall of the chamber 101 so as to face the susceptor 102. The shower head 110 is for discharging the gas supplied from the gas supply mechanism 130 to be described later into the chamber 101 and a gas inlet 111 for introducing the gas thereinto is formed in the upper part thereof. A gas diffusion space 112 is formed in the showerhead 110 and a plurality of gas discharge holes 113 communicating with the gas diffusion space 112 are formed on the bottom surface of the showerhead 110 .

챔버(101)의 저벽에는, 하방을 향해서 돌출되는 배기 실(121)이 설치되어 있다. 배기 실(121)의 측면에는 배기 배관(122)이 접속되어 있고, 이 배기 배관(122)에는, 진공 펌프나 압력 제어 밸브 등을 갖는 배기 장치(123)가 접속되어 있다. 그리고, 이 배기 장치(123)를 작동시킴으로써, 챔버(101) 내를 소정의 감압(진공) 상태로 하는 것이 가능하게 되어 있다.On the bottom wall of the chamber 101, an exhaust chamber 121 protruding downward is provided. An exhaust pipe 122 is connected to the side surface of the exhaust chamber 121. An exhaust device 123 having a vacuum pump or a pressure control valve is connected to the exhaust pipe 122. [ By operating the exhaust device 123, it is possible to set the inside of the chamber 101 to a predetermined reduced pressure (vacuum) state.

챔버(101)의 측벽에는, 진공 반송실(10)과의 사이에서 웨이퍼(W)를 반출입하기 위한 반입출구(127)가 형성되어 있고, 반입출구(127)는, 게이트 밸브(G)에 의해 개폐되도록 되어 있다.A loading / unloading port 127 for loading / unloading the wafer W between the chamber 101 and the vacuum transfer chamber 10 is formed in the side wall of the chamber 101. The loading / And is opened and closed.

가스 공급 기구(130)는, 고체 상태의 성막 원료(S)로서 루테늄 카르보닐(Ru3(CO)12)을 수용하는 성막 원료 용기(131)를 갖고 있다. 성막 원료 용기(131)의 주위에는 히터(132)가 설치되어 있다. 성막 원료 용기(131)에는, 상방으로부터 캐리어 가스를 공급하는 캐리어 가스 공급 배관(133)이 삽입되어 있다. 캐리어 가스 공급 배관(133)에는, 캐리어 가스를 공급하는 캐리어 가스 공급원(134)이 접속되어 있다. 캐리어 가스로서는, Ar 가스나 N2 가스 등의 불활성 가스, 또는 CO 가스를 사용할 수 있다. 또한, 성막 원료 용기(131)에는, 성막 원료 가스 공급 배관(135)이 삽입되어 있다. 이 성막 원료 가스 공급 배관(135)은, 샤워 헤드(110)의 가스 도입구(111)에 접속되어 있다. 따라서, 캐리어 가스 공급원(134)으로부터 캐리어 가스 공급 배관(133)을 통해서 성막 원료 용기(131) 내에 캐리어 가스가 불어 넣어져, 성막 원료 용기(131) 내에서 승화한 루테늄 카르보닐(Ru3(CO)12) 가스가 캐리어 가스에 의해 반송되어, 성막 원료 가스 공급 배관(135) 및 샤워 헤드(110)를 통해서 챔버(101) 내에 공급된다. 캐리어 가스 공급 배관(133)에는, 유량 제어용 매스 플로우 컨트롤러(136)와 그 전후의 밸브(137a, 137b)가 설치되어 있다. 또한, 성막 원료 가스 공급 배관(135)에는, 루테늄 카르보닐(Ru3(CO)12)의 가스량을 측정하기 위한 유량계(138)와, 그 전후의 밸브(139a, 139b)가 설치되어 있다.The gas supply mechanism 130 has a film forming material container 131 for containing ruthenium carbonyl (Ru 3 (CO) 12 ) as a film forming material S in a solid state. A heater 132 is provided around the film forming material container 131. A carrier gas supply pipe 133 for supplying a carrier gas from above is inserted into the film forming material container 131. A carrier gas supply source 134 for supplying a carrier gas is connected to the carrier gas supply pipe 133. As the carrier gas, an inert gas such as Ar gas or N 2 gas, or CO gas may be used. A film forming material gas supply pipe 135 is inserted into the film forming material container 131. The film forming material gas supply pipe 135 is connected to the gas inlet 111 of the shower head 110. Therefore, a carrier gas is blown into the film forming material container 131 from the carrier gas supply source 134 through the carrier gas supply pipe 133, and ruthenium carbonyl (Ru 3 (CO 12 ) gas is transported by the carrier gas, and is supplied into the chamber 101 through the film forming material gas supply pipe 135 and the shower head 110. In the carrier gas supply pipe 133, a mass flow controller 136 for flow rate control and valves 137a and 137b before and after the flow rate controller 136 are provided. A flow meter 138 for measuring the amount of gas of ruthenium carbonyl (Ru 3 (CO) 12 ) and valves 139a and 139b before and after the film are provided in the film forming material gas supply pipe 135.

가스 공급 기구(130)는, 또한 희석 가스 공급원(144)과, 희석 가스 공급원(144)에 접속된 희석 가스 공급 배관(145)을 갖는다. 희석 가스 공급 배관(145)의 타단은, 성막 원료 가스 공급 배관(135)에 접속되어 있다. 희석 가스는 성막 원료 가스를 희석하기 위한 가스이며, 희석 가스로서 예를 들어 Ar 가스, N2 가스 등의 불활성 가스가 사용된다. 희석 가스는, 성막 원료 가스 공급 배관(135)이나 챔버(101)의 잔류 가스를 퍼지하는 퍼지 가스로서도 기능한다. 희석 가스 공급 배관(145)에는, 유량 제어용 매스 플로우 컨트롤러(146)와, 그 전후의 밸브(147a, 147b)가 설치되어 있다.The gas supply mechanism 130 also has a dilution gas supply source 144 and a dilution gas supply piping 145 connected to the dilution gas supply source 144. The other end of the diluting gas supply pipe 145 is connected to the film forming material gas supply pipe 135. The diluting gas is a gas for diluting the film forming source gas, and an inert gas such as Ar gas or N 2 gas is used as the diluting gas. The diluting gas also functions as a purge gas for purging the residual gas in the film forming material gas supply pipe 135 or the chamber 101. The dilution gas supply pipe 145 is provided with a mass flow controller 146 for flow rate control and valves 147a and 147b before and after the flow rate controller.

Ru막 성막 장치(13)는, 그 각 구성부, 예를 들어 히터 전원(106), 배기 장치(123), 가스 공급 기구(130)의 밸브(137a, 137b, 139a, 139b, 147a, 147b), 매스 플로우 컨트롤러(136, 146) 등의 각 구성부를 제어하기 위한 제어부(150)를 갖고 있다. 제어부(150)는, 전체 제어부(21)의 명령에 의해 각 구성부를 제어한다.The Ru film-forming apparatus 13 includes valves 137a, 137b, 139a, 139b, 147a, 147b of the respective components, for example, the heater power source 106, the exhaust device 123, And a mass flow controller 136, 146, and the like. The control unit 150 controls each component by an instruction from the overall control unit 21. [

이렇게 구성되는 Ru막 성막 장치(13)에서는, 게이트 밸브(G)를 개방으로 해서 반입출구(127)로부터 웨이퍼(W)를 챔버(101) 내에 반입하고, 서셉터(102) 상에 적재한다. 서셉터(102)는, 히터(105)에 의해 소정 온도, 예를 들어 130 내지 250℃의 범위 내의 온도로 가열되어 있어, 챔버(101) 내에 불활성 가스가 도입됨으로써 웨이퍼(W)가 가열된다. 그리고, 배기 장치(123)의 진공 펌프에 의해 챔버(101) 내를 배기하여, 챔버(101) 내의 압력을 2 내지 67Pa로 조정한다.In the Ru film forming apparatus 13 configured as described above, the wafer W is carried into the chamber 101 from the loading / unloading port 127 with the gate valve G opened and loaded on the susceptor 102. The susceptor 102 is heated by the heater 105 to a predetermined temperature, for example, within a range of 130 to 250 占 폚, and the inert gas is introduced into the chamber 101 to heat the wafer W. Then, the inside of the chamber 101 is evacuated by the vacuum pump of the evacuation device 123, and the pressure in the chamber 101 is adjusted to 2 to 67 Pa.

계속해서, 밸브(137a, 137b)를 개방으로 해서 캐리어 가스 공급 배관(133)을 통해서 성막 원료 용기(131)에 캐리어 가스를 불어 넣고, 성막 원료 용기(131) 내에서 히터(132)의 가열에 의해 승화해서 생성된 Ru3(CO)12 가스를 캐리어 가스에 의해 반송하여, 성막 원료 가스 공급 배관(135) 및 샤워 헤드(110)를 통해서 챔버(101) 내에 도입한다. 이에 의해, 웨이퍼(W) 표면에서는, Ru3(CO)12 가스가 열분해해서 생성된 Ru가 퇴적되어, 소정의 막 두께를 갖는 Ru막을 성막한다.Subsequently, the valves 137a and 137b are opened and a carrier gas is blown into the film forming material container 131 through the carrier gas supply pipe 133 and the heating of the heater 132 in the film forming material container 131 And the Ru 3 (CO) 12 gas generated by sublimation is introduced into the chamber 101 through the film forming material gas supply pipe 135 and the shower head 110 by the carrier gas. As a result, on the surface of the wafer W, Ru produced by thermal decomposition of Ru 3 (CO) 12 gas is deposited to form a Ru film having a predetermined film thickness.

성막 처리 종료 후, 챔버(101) 내를 퍼지하고, 게이트 밸브(G)를 열고, 반송 기구(18)에 의해, 반입출구(127)를 통해서 웨이퍼(W)를 반출한다.After the film forming process is completed, the inside of the chamber 101 is purged, the gate valve G is opened, and the wafer W is carried out by the carrying mechanism 18 through the loading / unloading port 127.

<제2 실시 형태>&Lt; Second Embodiment >

이어서, 본 발명의 제2 실시 형태에 대해서 설명한다.Next, a second embodiment of the present invention will be described.

[제2 실시 형태에 관한 Ru 배선의 제조 방법][Manufacturing method of Ru wiring according to the second embodiment]

우선, 본 발명의 제2 실시 형태에 관한 Ru 배선의 제조 방법에 대해서 설명한다. 도 10은 본 발명의 제2 실시 형태에 관한 Ru 배선의 제조 방법을 개략적으로 나타내는 흐름도, 도 11은 그 공정 단면도이다.First, a method of manufacturing the Ru wiring according to the second embodiment of the present invention will be described. FIG. 10 is a flow chart schematically showing a method of manufacturing an Ru wiring according to a second embodiment of the present invention, and FIG. 11 is a process sectional view thereof.

제2 실시 형태에 관한 Ru 배선의 제조 방법의 기본적인 공정은, 제1 실시 형태와 마찬가지이지만, 하지막이 TiON막에 한정되지 않는 점, 및 평탄화 공정이 Ar 이온 스퍼터링으로 행하여지는 점이 제1 실시 형태와는 상이하다.The basic steps of the Ru wiring manufacturing method according to the second embodiment are the same as those of the first embodiment, except that the underlying film is not limited to the TiON film, and that the planarization step is performed by Ar ion sputtering. .

먼저, 제1 실시 형태의 스텝 S1과 마찬가지로, 하부 구조를 갖는 기체(201) 상에 SiO2막, 저유전율(Low-k)막(SiCO, SiCOH 등) 등으로 이루어지는 층간 절연막(202)이 형성되고, 층간 절연막(202)에 트렌치(203)가 소정 패턴으로 형성되고, 트렌치(203)의 저부와 기체(201) 상의 하부 구조(도시하지 않음)와의 사이에 소정 간격으로 비아 홀(204)이 형성된 웨이퍼(W)를 준비한다(스텝 S11, 도 11의 (a)).First, an interlayer insulating film 202 made of an SiO 2 film, a low-k film (SiCO, SiCOH or the like) or the like is formed on a substrate 201 having a substructure in the same manner as in the step S1 of the first embodiment A via hole 204 is formed at a predetermined interval between the bottom of the trench 203 and the lower structure (not shown) on the base 201, and the trench 203 is formed in the interlayer insulating film 202 in a predetermined pattern. And the wafer W thus formed is prepared (step S11, FIG. 11 (a)).

이어서, 이 웨이퍼(W)에 대하여, 필요에 따라, 전처리로서 디가스(Degas) 프로세스나 전세정(프리클린; Pre-Clean) 프로세스를 행한 후, 트렌치(203)나 비아 홀(204)의 표면을 포함하는 전체의 노출된 면에 Ru막의 밀착성을 양호하게 하기 위한 하지막(211)을 성막한다(스텝 S12, 도 11의 (b)).Then, the wafer W is subjected to a degassing process or a pre-clean process as a pretreatment, if necessary, and then the surface of the trenches 203 and the via holes 204 (Step S12, FIG. 11 (b)). In this step, as shown in FIG.

하지막(211)은, Ru막의 밀착성을 양호하게 할 수 있는 것이면 되고, 종래부터 Cu 배선 형성 시에 Cu막의 배리어막으로서 사용되고 있는, TiN막, Ta막, TaN막, TaAlN막, 및 제1 실시 형태에서 사용한 TiON막 등을 적합하게 사용할 수 있다. 하지막(211)의 두께는 0.1 내지 10nm가 바람직하고, 0.5 내지 5nm가 보다 바람직하다. 하지막은, ALD, CVD, 이온화 PVD(Ionized physical vapor deposition; iPVD) 등으로 성막할 수 있다. TiN막, TaN막, TiON막은 ALD에 의해 성막하는 것이 바람직하고, Ta막은 iPVD로 성막하는 것이 바람직하다.The underlying film 211 may be any material as long as it can improve the adhesion of the Ru film. The TiN film, the Ta film, the TaN film, the TaAlN film, and the first implementation A TiON film used in the form of TiO 2 may be suitably used. The thickness of the base film 211 is preferably 0.1 to 10 nm, more preferably 0.5 to 5 nm. The underlying film can be formed by ALD, CVD, ionized PVD (Ionized physical vapor deposition), or the like. The TiN film, the TaN film, and the TiON film are preferably formed by ALD, and the Ta film is preferably formed by iPVD.

그 후, 예를 들어 화학 증착법(CVD)에 의해 Ru막(206)을 형성해서 트렌치(203) 및 비아 홀(204) 내에 Ru막(206)을 매립한다(스텝 S13, 도 11의 (c)). 이때의 Ru막의 성막은, 제1 실시 형태의 스텝 S3과 마찬가지로 행하여진다.Thereafter, a Ru film 206 is formed by chemical vapor deposition (CVD), for example, and the Ru film 206 is buried in the trench 203 and the via hole 204 (step S13, FIG. 11C) ). The formation of the Ru film at this time is performed in the same manner as in step S3 of the first embodiment.

Ru막(206)의 형성 후, 제1 실시 형태와 마찬가지로, 필요에 따라 어닐 처리를 행하고(스텝 S14, 도 11의 (d)), Ru막(206)을 안정화시킨다.After the formation of the Ru film 206, the Ru film 206 is stabilized, as necessary, in the same manner as in the first embodiment (step S14, FIG. 11 (d)).

이후, Ar 플라즈마 처리를 포함하는 제거 처리에 의해, 표면의 Ru막(206) 및 하지막(211)을 제거해서 평탄화한다(스텝 S15, 도 11의 (e)). 이에 의해 트렌치(203) 및 비아 홀(204) 내에 하지막(211) 및 Ru막(206)으로 이루어지는 Ru 배선(212)이 형성된다.Thereafter, the Ru film 206 and the underlying film 211 on the surface are removed by planarization by the removal treatment including the Ar plasma treatment (step S15, FIG. 11 (e)). The Ru wiring 212 composed of the underlying film 211 and the Ru film 206 is formed in the trenches 203 and the via holes 204. [

종래의 Cu 배선에서는, 트렌치에 Cu막을 매립한 후, 표면의 배리어막이나 Cu막을 제거해서 평탄화를 행할 때 CMP를 사용하고 있었다. 그러나, Ru는 귀금속으로 이온화 경향이 낮기 때문에, Ru막은 CMP에 의해 제거하기 어려워, 트렌치에 Ru막을 매립한 후의 평탄화를 CMP만으로 행하면 막대한 시간이 걸려버린다.In the conventional Cu wiring, CMP is used for planarization by removing a barrier film or a Cu film on the surface after embedding a Cu film in the trench. However, since Ru is a noble metal and ionization tendency is low, it is difficult to remove the Ru film by CMP. Therefore, when the Ru film is buried in the trench and planarization is performed only by CMP, a considerable time is required.

따라서, 본 실시 형태에서는, 평탄화 처리에 Ar 플라즈마 처리를 사용한다. Ar 플라즈마에 의해 표면의 Ru막(206) 및 하지막(211)을 효율적으로 제거할 수 있다.Therefore, in the present embodiment, the Ar plasma process is used for the planarization process. The surface of the Ru film 206 and the underlying film 211 can be efficiently removed by the Ar plasma.

Ar 플라즈마 처리로서는, Ar 이온 스퍼터링이 바람직하다. Ar 이온 스퍼터링은, 진공으로 유지한 챔버 내에 아르곤 플라즈마를 생성하고, 플라즈마 중의 Ar 이온을 챔버 내에 배치된 웨이퍼에 인입하여, 그때의 Ar 이온의 충격에 의해 물리적으로 대상물을 제거한다. Ar 이온은 스퍼터링 효과가 높기 때문에, 용이하게 Ru막 등을 제거할 수 있어, 단시간에 평탄화 처리를 행할 수 있다.As the Ar plasma treatment, Ar ion sputtering is preferable. In the Ar ion sputtering, an argon plasma is generated in a vacuum maintained chamber, Ar ions in the plasma are drawn into a wafer placed in the chamber, and the object is physically removed by the impact of the Ar ions at that time. Since the Ar ion has a high sputtering effect, the Ru film and the like can be easily removed, and the planarization process can be performed in a short time.

또한, 상기 특허문헌 2에는, Ru막을 성막한 후에 평탄화하는 예가 기재되어 있으며, 그 예로서 CMP 외에, 에치백 법이 기재되어 있지만, Ru막은 SN 전극으로서 사용되고 있고, Ru 배선을 제조하기 위한 평탄화 처리에 대해서는 기재되어 있지 않다. 그 밖에, 재공표 일본 특허 97/35341호 공보에는, Ru를 Ar 이온 스퍼터로 건식 에칭하는 것이 기재되어 있지만, 여기에 기재되어 있는 것은, 상부 금속 전극을 형성하기 위한 이방성 에칭이며, Ru 배선을 제조하기 위한 평탄화 처리와는 관계없는 기술이다.Patent Document 2 discloses an example of planarization after depositing a Ru film. An etchback method is described in addition to CMP as an example thereof. However, the Ru film is used as an SN electrode, and a planarization process Is not described. In addition, Japanese Laid-Open Patent Publication No. 97/35341 discloses dry etching of Ru with Ar ion sputtering. However, what is described here is anisotropic etching for forming the upper metal electrode, Which is not related to the planarization process.

평탄화 처리는 Ar 플라즈마 처리만으로 행해도 되지만, Ar 플라즈마 처리만의 경우에는, 처리 후에 표면이 거칠어져 원하는 표면 평활성이 얻어지지 않는 경우가 있다.The planarization treatment may be performed only by the Ar plasma treatment, but in the case of only the Ar plasma treatment, the surface becomes rough after treatment and the desired surface smoothness may not be obtained in some cases.

이러한 경우에는, 평탄화 처리로서, Ar 이온 스퍼터링 후, CMP를 행하는 것이 바람직하다. 즉, Ar 플라즈마 처리에 의해 효율적으로 처리를 행한 후, 마무리로 CMP를 행함으로써, 원하는 표면 평활성을 얻을 수 있다. 이 경우, CMP는 마무리에만 사용하고, 연마량은 수 nm 정도이면 충분하다. 이 때문에, 평탄화 처리가 장시간화되지 않는다.In such a case, it is preferable to perform CMP after Ar ion sputtering as the planarization treatment. That is, after the treatment is efficiently performed by the Ar plasma treatment, CMP is performed by finishing, and desired surface smoothness can be obtained. In this case, CMP is used only for finishing, and the polishing amount is sufficient to be several nm. Therefore, the flattening process is not prolonged.

[Ar 플라즈마 처리 장치][Ar plasma processing apparatus]

이어서, 이러한 Ar 플라즈마 처리를 행하기 위한 장치 예에 대해서 설명한다. 도 12는, 평탄화 처리에 사용되는 Ar 플라즈마 처리 장치로서의 Ar 이온 스퍼터링 장치의 일례를 나타내는 단면도이다.Next, an example of an apparatus for performing such Ar plasma processing will be described. 12 is a cross-sectional view showing an example of an Ar ion sputtering apparatus as an Ar plasma processing apparatus used for planarization processing.

여기에서는, ICP(Inductively Coupled Plasma) 스퍼터 장치를 Ar 이온 스퍼터 장치로서 사용한 예를 나타낸다.In this example, an ICP (Inductively Coupled Plasma) sputtering apparatus is used as an Ar ion sputtering apparatus.

도 12에 도시한 바와 같이, 이 Ar 이온 스퍼터 장치(400)는, 알루미늄 등의 금속으로 이루어지는 접지된 챔버(401)를 갖고 있으며, 챔버(401)의 저부에는 배기구(402) 및 가스 도입구(403)가 형성되어 있다. 배기구(402)에는 배기관(404)이 접속되어 있고, 배기관(404)에는 압력 조정을 행하는 스로틀 밸브 및 진공 펌프 등으로 이루어지는 배기 기구(405)가 접속되어 있다. 또한, 가스 도입구(403)에는 가스 공급 배관(406)이 접속되어 있고, 가스 공급 배관(406)에는, Ar 가스 및 N2 가스 등의 가스를 공급하기 위한 가스 공급 기구(407)가 접속되어 있다.12, the Ar ion sputtering apparatus 400 has a grounded chamber 401 made of a metal such as aluminum. At the bottom of the chamber 401, an exhaust port 402 and a gas inlet port 403 are formed. An exhaust pipe 404 is connected to the exhaust port 402. An exhaust mechanism 405 including a throttle valve and a vacuum pump for adjusting the pressure is connected to the exhaust pipe 404. A gas supply pipe 406 is connected to the gas inlet 403 and a gas supply mechanism 407 for supplying a gas such as Ar gas and N 2 gas is connected to the gas supply pipe 406 have.

챔버(401) 내에는, 피처리 기판인 웨이퍼(W)를 적재하기 위한 도전성 재료로 이루어지는 스테이지(410)가 설치되어 있다. 스테이지(410)에는, 웨이퍼 흡착용의 정전 척 및 웨이퍼를 온도 조절하기 위한 온도 조절 기구(모두 도시하지 않음)가 설치되어 있다. 스테이지(410)의 하면 중앙에는 원통 형상을 이루는 지주(411)가 설치되어 있다. 지주(411)의 하부는, 챔버(401)의 저부의 중심부에 형성된 삽입 관통 구멍(412)을 관통해서 하방으로 연장되어 있다.In the chamber 401, a stage 410 made of a conductive material for mounting a wafer W as a substrate to be processed is provided. The stage 410 is provided with an electrostatic chuck for adsorbing the wafer and a temperature adjusting mechanism (not shown) for adjusting the temperature of the wafer. A columnar support 411 is provided at the bottom center of the stage 410. The lower portion of the column 411 extends downward through an insertion through hole 412 formed in the center of the bottom portion of the chamber 401.

지주(411)는, 승강 기구(도시하지 않음)에 의해 승강 가능하게 되어 있고, 이에 의해 스테이지(410)가 승강된다. 스테이지(410)와 챔버(401)의 저부와의 사이에는 지주(411)를 둘러싸도록 벨로우즈(413)가 설치되어 있다.The column 411 can be raised and lowered by an elevating mechanism (not shown), whereby the stage 410 is raised and lowered. A bellows 413 is provided between the stage 410 and the bottom of the chamber 401 so as to surround the column 411.

스테이지(410)에는 급전 라인(414)이 접속되어 있고, 급전 라인(414)은, 지주(411)의 내부를 통해서 하방으로 연장되어 있다. 급전 라인(414)에는, 바이어스용 고주파 전원(415)이 접속되어 있고, 바이어스용 고주파 전원(415)으로부터 스테이지(410)를 통해서 웨이퍼(W)에, 예를 들어 13.56MHz의 고주파 바이어스가 인가되도록 되어 있다.The feeding line 414 is connected to the stage 410 and the feeding line 414 extends downward through the inside of the support column 411. [ A bias high frequency power source 415 is connected to the feed line 414 so that a high frequency bias of, for example, 13.56 MHz is applied to the wafer W from the bias high frequency power source 415 through the stage 410 .

챔버(401)의 저부에는, 상방을 향해서 예를 들어 3개(2개만 도시)의 지지 핀(416)이 수직으로 설치되어 있고, 지지 핀(416)이 스테이지(410)에 설치된 핀 삽입 관통 구멍(도시하지 않음)에 삽입 관통하도록 되어 있어, 스테이지(410)를 강하시켰을 때, 지지 핀(416)의 상단에서 웨이퍼(W)가 지지된 상태로 되어 웨이퍼(W)의 반송이 가능하게 된다.Support pins 416 are vertically provided on the bottom of the chamber 401 in the upward direction for example three (two shown), and the support pins 416 are provided in the bottom of the chamber 401, (Not shown). When the stage 410 is lowered, the wafer W is supported at the upper end of the support pin 416, and the wafer W can be conveyed.

챔버(401)의 하부 측벽에는 웨이퍼(W)를 반출입하기 위한 반입출구(417)가 형성되고, 반입출구(417)는 게이트 밸브(418)에 의해 개폐된다.A loading / unloading port 417 for loading / unloading the wafer W is formed on the lower side wall of the chamber 401, and the loading / unloading port 417 is opened / closed by the gate valve 418.

한편, 챔버(401)의 천장부에는, 유전체로 이루어지는 투과판(420)이 기밀하게 설치되고, 이 투과판(420)의 상면측에, 챔버(401) 내의 처리 공간(P)에 Ar 가스의 플라즈마를 생성하기 위한 플라즈마 발생원(421)이 설치되어 있다. 플라즈마 발생원(421)은, 투과판(420)의 상면을 따라 설치된 유도 코일(422)과, 이 유도 코일(422)에 접속된 플라즈마 생성용 고주파 전원(423)을 갖고 있다. 그리고, 유도 코일(422)에 플라즈마 생성용 고주파 전원(423)으로부터 예를 들어 13.56MHz의 고주파 전력이 인가됨으로써, 투과판(420)을 통해서 처리 공간(P)에 유도 전계가 형성된다.A transparent plate 420 made of a dielectric material is hermetically provided on the ceiling of the chamber 401 and a plasma of Ar gas is applied to the processing space P in the chamber 401 on the upper surface side of the transparent plate 420. [ A plasma generating source 421 for generating plasma is provided. The plasma generating source 421 has an induction coil 422 provided along the upper surface of the transmitting plate 420 and a plasma generating high frequency power source 423 connected to the induction coil 422. A high frequency power of, for example, 13.56 MHz is applied to the induction coil 422 from the plasma generating high frequency power source 423 to induce an induction field in the processing space P through the transmitting plate 420.

챔버(401)의 상부는 경사부(401a)로 되어 있고, 그 내측에 단면이 내측을 향해서 경사진 환상(절두원추각 형상)을 이루는 타깃이 설치되도록 되어 있고, 또한 타깃에 직류 전압을 인가하는 직류 전원 및 타깃의 외주측에 설치된 자석이 설치되어, PVD 장치로서 구성되어 있지만, Ar 이온 스퍼터를 행하는 경우에는 이들은 필요가 없으므로, 도시 및 설명을 생략한다.An upper portion of the chamber 401 is an inclined portion 401a and a target having an annular shape (frusto-conical shape) inclined inward in cross section is provided inside the inclined portion 401a. A DC voltage is applied to the target A direct current power source, and a magnet provided on the outer peripheral side of the target are provided as a PVD device. However, these are not necessary in the case of performing Ar ion sputtering, and therefore, illustration and description thereof are omitted.

Ar 이온 스퍼터 장치(400)는, 그 각 구성부, 예를 들어 배기 기구(405)나 가스 공급 기구(407)의 밸브, 바이어스용 고주파 전원(415), 플라즈마 생성용 고주파 전원(423), 승강 기구 등을 제어하는 제어부(430)를 갖고 있다.The Ar ion sputtering apparatus 400 has a structure in which components of the respective components, for example, the exhaust mechanism 405 and the valves of the gas supply mechanism 407, the bias high frequency power source 415, the plasma generating high frequency power source 423, And a control unit 430 for controlling the mechanism and the like.

이러한 Ar 이온 스퍼터 장치(400)에서는, 가스 공급 기구(407)로부터 챔버(401) 내에 Ar 가스를 공급하고, 유도 코일(422)에 플라즈마 생성용 고주파 전원(423)으로부터 고주파 전력을 인가함으로써, 챔버(401) 내의 처리 공간(P)에 Ar 플라즈마를 생성함과 함께, 바이어스용 고주파 전원(415)으로부터 스테이지(410)에 바이어스용 고주파 전력을 인가함으로써 Ar 이온을 웨이퍼(W)에 인입하여, 웨이퍼(W) 표면에 Ar 이온 스퍼터 처리를 실시한다.In this Ar ion sputtering apparatus 400, Ar gas is supplied from the gas supply mechanism 407 into the chamber 401 and high frequency power is supplied from the plasma generating high frequency power source 423 to the induction coil 422, Ar plasma is generated in the processing space P in the wafer stage 401 and Ar ions are introduced into the wafer W by applying bias high frequency power from the bias high frequency power supply 415 to the stage 410, (W) surface is subjected to Ar ion sputtering.

Ar 이온 스퍼터 장치(400)에서의 Ar 이온 스퍼터 처리에 대해서는, 이하와 같은 범위의 조건을 사용할 수 있다.As to the Ar ion sputtering process in the Ar ion sputtering apparatus 400, the following range of conditions can be used.

압력: 1 내지 10mTorr(0.13 내지 1.3Pa)Pressure: 1 to 10 mTorr (0.13 to 1.3 Pa)

플라즈마 생성용 고주파 파워: 0.5 내지 3kWHigh frequency power for plasma generation: 0.5 to 3 kW

바이어스용 고주파 파워: 0.4 내지 2kWHigh frequency power for bias: 0.4 to 2 kW

온도: 10 내지 55℃Temperature: 10 to 55 DEG C

[성막 시스템][Tape formation system]

본 실시 형태에서는, Ar 이온 스퍼터링 장치를 인터그레이션하지 않고 별개로 설치하는 경우라면, 제1 실시 형태에서의 도 7의 성막 시스템(1)을 적용할 수 있다. 이 경우에는, TiON막 성막 장치(11)를, TiN막, Ta막, TaN막, TaAlN막, TiON막 등의 임의의 하지막을 성막하는 장치로 적절히 치환하는 것 외에, 도 7의 성막 시스템(1)과 동일한 구성으로 할 수 있다.In this embodiment, when the Ar ion sputtering apparatus is installed separately without being interposed, the film forming system 1 of FIG. 7 in the first embodiment can be applied. In this case, the TiON film-forming apparatus 11 is appropriately replaced with an apparatus for forming an arbitrary underlying film such as a TiN film, a Ta film, a TaN film, a TaAlN film or a TiON film, ).

한편, Ar 이온 스퍼터링 장치를 인터그레이션하는 경우에는, 도 13에 예시한 성막 시스템(500)을 사용할 수 있다.On the other hand, when the Ar ion sputtering apparatus is to be implanted, the film forming system 500 exemplified in Fig. 13 can be used.

성막 시스템(500)은, 하지막 성막 및 Ru막 성막을 위한 제1 처리부(501)와, Ar 이온 스퍼터링을 위한 제2 처리부(502)와, 반출입부(503)를 갖고 있다.The film forming system 500 has a first processing portion 501 for forming a base film and a Ru film, a second processing portion 502 for Ar ion sputtering, and a carrying-in / out portion 503.

제1 처리부(501)는, 제1 진공 반송실(511)과, 이 제1 진공 반송실(511)의 벽부에 접속된, 2개의 하지막 성막 장치(512a, 512b), 및 2개의 Ru막 성막 장치(514a, 514b)를 갖고 있다. 하지막 성막 장치(512a) 및 Ru막 성막 장치(514a)와, 하지막 성막 장치(512b) 및 Ru막 성막 장치(514b)는, 수직 축을 따라 선 대칭으로 배치되어 있다.The first processing section 501 includes a first vacuum transport chamber 511 and two underlying film deposition devices 512a and 512b connected to the wall portion of the first vacuum transport chamber 511, Film forming devices 514a and 514b. The under film formation apparatus 512a and the Ru film formation apparatus 514a and the under film formation apparatus 512b and the Ru film formation apparatus 514b are arranged in line symmetry along the vertical axis.

제1 진공 반송실(511)의 다른 벽부에는, 웨이퍼(W)의 디가스 처리를 행하는 디가스 실(505a, 505b)이 접속되어 있다. 또한, 상기 디가스 실(505a와 505b)이 연결된 벽부의 사이의 벽부에는, 제1 진공 반송실(511)과 후술하는 제2 진공 반송실(521)과의 사이에서 웨이퍼(W)의 수수를 행하는 수수 실(505)이 접속되어 있다.Degassing chambers 505a and 505b for degassing the wafers W are connected to the other wall portions of the first vacuum transfer chamber 511. [ The wall portion between the wall portions to which the degassing chambers 505a and 505b are connected is provided with a plurality of chambers for receiving the wafer W between the first vacuum transport chamber 511 and a second vacuum transport chamber 521 And a transfer chamber 505 is connected.

하지막 성막 장치(512a, 512b), Ru막 성막 장치(514a, 514b), 디가스 실(505a, 505b) 및 수수 실(505)은, 제1 진공 반송실(511)의 각 변에 게이트 밸브(G)를 통해서 접속되어 있다.The film forming apparatuses 512a and 512b, the Ru film forming apparatuses 514a and 514b, the degassing chambers 505a and 505b, and the transfer chamber 505 are connected to the respective sides of the first vacuum transfer chamber 511, (G).

제1 진공 반송실(511) 내는 소정의 진공 분위기로 유지되도록 되어 있고, 그 안에는, 웨이퍼(W)를 반송하는 제1 반송 기구(516)가 설치되어 있다. 이 제1 반송 기구(516)는, 회전·신축부(517)과, 그 선단에 설치된 2개의 웨이퍼 반송 아암(518a, 518b)을 갖는다. 제1 반송 기구(516)는, 웨이퍼(W)를 하지막 성막 장치(512a, 512b), Ru막 성막 장치(514a, 514b), 디가스 실(505a, 505b) 및 수수 실(505)에 대하여 반출입한다.The first vacuum transport chamber 511 is maintained in a predetermined vacuum atmosphere and a first transport mechanism 516 for transporting the wafer W is provided therein. The first transfer mechanism 516 has a rotation / expansion unit 517 and two wafer transfer arms 518a and 518b provided at the tip thereof. The first transfer mechanism 516 is configured to transfer the wafer W to the lower film forming apparatuses 512a and 512b, the Ru film forming apparatuses 514a and 514b, the degas chambers 505a and 505b, and the transfer chamber 505 Import and export.

제2 처리부(502)는, 제2 진공 반송실(521)과, 이 제2 진공 반송실(521)의 대향하는 벽부에 접속된 2개의 Ar 이온 스퍼터 장치(522a, 522b)를 갖고 있다.The second processing section 502 has a second vacuum transport chamber 521 and two Ar ion sputtering apparatuses 522a and 522b connected to the opposing wall sections of the second vacuum transport chamber 521.

제2 진공 반송실(521)의 제1 처리부(501)측의 2개의 벽부에는, 각각 상기 디가스 실(505a, 505b)이 접속되고, 상기 디가스 실(505a와 505b)이 연결된 벽부의 사이의 벽부에는, 상기 수수 실(505)이 접속되어 있다. 즉, 수수 실(505) 및 디가스 실(505a 및 505b)은, 모두 제1 진공 반송실(511)과 제2 진공 반송실(521)과의 사이에 설치되어 있다. 또한, 제2 진공 반송실(521)의 반출입부(503)측의 2개의 벽부에는, 각각 대기 반송 및 진공 반송 가능한 로드 로크 실(506a, 506b)이 접속되어 있다.The degassing chambers 505a and 505b are connected to the two wall portions of the second vacuum transfer chamber 521 on the side of the first processing portion 501 and between the walls of the degassing chambers 505a and 505b, The transfer chamber 505 is connected to the wall portion of the housing. That is, both the transfer chamber 505 and the degassing chambers 505a and 505b are provided between the first vacuum transfer chamber 511 and the second vacuum transfer chamber 521. [ In addition, load lock chambers 506a and 506b are connected to the two wall portions of the second vacuum transfer chamber 521 on the side of the loading / unloading section 503, respectively.

Ar 이온 스퍼터 장치(522a, 522b), 디가스 실(505a, 505b) 및 로드 로크 실(506a, 506b)은, 제2 진공 반송실(521)의 각 벽부에 게이트 밸브(G)를 통해서 접속되어 있다. 또한, 수수 실(505)은 게이트 밸브를 통하지 않고 제2 진공 반송실(521)에 접속되어 있다.The Ar ion sputtering apparatuses 522a and 522b, the degassing chambers 505a and 505b and the load lock chambers 506a and 506b are connected to the respective wall portions of the second vacuum transfer chamber 521 through the gate valve G have. Further, the transfer chamber 505 is connected to the second vacuum transfer chamber 521 without passing through the gate valve.

제2 진공 반송실(521) 내는 소정의 진공 분위기로 유지되도록 되어 있고, 그 안에는, 웨이퍼(W)를 반송하는 제2 반송 기구(526)가 설치되어 있다. 이 제2 반송 기구(526)는, 회전·신축부(527)와, 그 선단에 설치된 2개의 웨이퍼 반송 아암(528a, 528b)을 갖는다. 제2 진공 반송실(521)은, Ar 이온 스퍼터 장치(522a, 522b), 디가스 실(505a, 505b), 로드 로크 실(506a, 506b) 및 수수 실(505)에 대하여 웨이퍼(W)의 반출입을 행한다.The second vacuum transport chamber 521 is maintained in a predetermined vacuum atmosphere and a second transport mechanism 526 for transporting the wafer W is provided therein. The second transport mechanism 526 has a rotation / extension portion 527 and two wafer transfer arms 528a and 528b provided at the tip thereof. The second vacuum transfer chamber 521 is provided in the vicinity of the wafer W with respect to the Ar ion sputtering apparatuses 522a and 522b, the degassing chambers 505a and 505b, the load lock chambers 506a and 506b, And carry out loading and unloading.

반출입부(503)는, 상기 로드 로크 실(506a, 506b)을 사이에 두고 제2 처리부(502)와 반대측에 설치되어 있고, 로드 로크 실(506a, 506b)이 접속되는 대기 반송실(531)을 갖고 있다. 로드 로크 실(506a, 506b)과 대기 반송실(531)과의 사이의 벽부에는 게이트 밸브(G)가 설치되어 있다. 대기 반송실(531)의 로드 로크 실(506a, 506b)이 접속된 벽부와 대향하는 벽부에는, 웨이퍼(W)를 수용하는 캐리어(C)를 접속하는 2개의 접속 포트(532, 533)가 설치되어 있다. 또한, 대기 반송실(531)의 측면에는, 웨이퍼(W)의 얼라인먼트를 행하는 얼라인먼트 챔버(534)가 설치되어 있다. 대기 반송실(531) 내에는, 캐리어(C)에 대한 웨이퍼(W)의 반출입 및 로드 로크 실(506a, 506b)에 대한 웨이퍼(W)의 반출입을 행하는 대기 반송용 반송 기구(536)가 설치되어 있다. 이 대기 반송용 반송 기구(536)는, 2개의 다관절 아암을 갖고 있으며, 캐리어(C)의 배열 방향을 따라서 레일(538) 상을 주행 가능하게 되어 있어, 각각의 선단의 핸드(537) 상에 웨이퍼(W)를 얹어서 그 반송을 행하도록 되어 있다.The loading / unloading section 503 is provided on the opposite side of the second processing section 502 with the load lock chambers 506a and 506b interposed therebetween and is provided with an atmospheric transfer chamber 531 to which the load lock chambers 506a and 506b are connected, Lt; / RTI &gt; A gate valve G is provided in a wall portion between the load lock chambers 506a and 506b and the atmospheric transfer chamber 531. [ Two connection ports 532 and 533 for connecting the carrier C accommodating the wafer W are installed in the wall portion facing the wall portion to which the load lock chambers 506a and 506b of the atmospheric transfer chamber 531 are connected . An alignment chamber 534 for aligning the wafer W is provided on the side surface of the atmospheric transfer chamber 531. An atmospheric carrying transport mechanism 536 for carrying the wafer W to and from the carrier C and carrying the wafer W in and out of the load lock chambers 506a and 506b is installed in the standby transport chamber 531 . This atmospheric carrying transport mechanism 536 has two articulated arms and is capable of traveling on the rails 538 along the arrangement direction of the carriers C, So that the wafer W is transported.

이 성막 시스템(500)은, 전체 제어부(540)를 갖고 있다. 전체 제어부(540)는, 하지막 성막 장치(512a, 512b), Ru막 성막 장치(514a, 514b), Ar 이온 스퍼터 장치(522a, 522b)의 각 구성부, 진공 반송실(511, 521)의 배기 기구나 반송 기구(516, 526), 디가스 실(505a, 505b), 로드 로크 실(506a, 506b)의 배기 기구나 가스 공급 기구, 대기 반송실(531)의 반송 기구(536), 게이트 밸브(G)의 구동계 등을 제어하는 CPU(컴퓨터)를 갖는 주 제어부와, 입력 장치(키보드, 마우스 등), 출력 장치(프린터 등), 표시 장치(디스플레이 등), 기억 장치(기억 매체)를 갖고 있다. 전체 제어부(540)의 주 제어부는, 예를 들어 기억 장치에 내장된 기억 매체, 또는 기억 장치에 세팅된 기억 매체에 기억된 처리 레시피에 기초하여, 성막 시스템(500)에, 소정의 동작을 실행시킨다.This film-forming system 500 has a total control unit 540. The overall control unit 540 controls the components of the under film film forming apparatuses 512a and 512b, the Ru film forming apparatuses 514a and 514b, the Ar ion sputtering apparatuses 522a and 522b, and the components of the vacuum transfer chambers 511 and 521 The exhaust mechanism and the gas supply mechanism of the air lock chambers 531 and 531 and the gas supply mechanism of the air lock chambers 531 and 536. The exhaust mechanism and the transfer mechanisms 516 and 526, the degassing chambers 505a and 505b, the exhaust mechanisms and the gas supply mechanisms of the load lock chambers 506a and 506b, (A keyboard, a mouse, etc.), an output device (a printer and the like), a display device (a display and the like), a storage device (a storage medium) I have. The main control unit of the overall control unit 540 executes a predetermined operation on the film forming system 500 based on a processing recipe stored in, for example, a storage medium built in the storage apparatus or a storage medium set in the storage apparatus .

또한, 하지막 성막 장치(512a, 512b)는, TiN막, Ta막, TaN막, TaAlN막, TiON막 등으로 이루어지는 하지막을 성막하는 것이며, 제1 실시 형태의 TiON막 성막 장치(11)와 마찬가지의 ALD 장치, ALD 장치와 마찬가지의 구성의 CVD 장치, 또는 iPVD 장치를 사용할 수 있다. iPVD 장치로서는, 상기 Ar 이온 스퍼터 장치(400)에, 성막하고자 하는 재료로 이루어지는 타깃을 장착한 구성의 장치를 사용할 수 있다. 또한, Ru막 성막 장치(514a, 514b)로서는, 도 9에 나타내는 제1 실시 형태의 Ru막 성막 장치(13)와 마찬가지의 장치가 사용된다. 또한, Ar 이온 스퍼터 장치(522a, 522b)로서는, 도 12에 나타내는 Ar 이온 스퍼터 장치(400)와 마찬가지의 장치가 사용된다.The under film forming apparatuses 512a and 512b are for forming a base film composed of a TiN film, a Ta film, a TaN film, a TaAlN film, a TiON film, or the like, and are similar to the TiON film forming apparatus 11 of the first embodiment An ALD device of the same type as the ALD device, a CVD device of the same configuration as the ALD device, or an iPVD device. As the iPVD apparatus, an apparatus having a configuration in which a target made of a material to be deposited is mounted on the Ar ion sputtering apparatus 400 can be used. As the Ru film forming apparatuses 514a and 514b, the same apparatus as the Ru film forming apparatus 13 of the first embodiment shown in Fig. 9 is used. As the Ar ion sputtering apparatuses 522a and 522b, the same apparatus as the Ar ion sputtering apparatus 400 shown in FIG. 12 is used.

이어서, 이상과 같이 구성되는 성막 시스템(500)의 동작에 대해서 설명한다. 이하의 처리 동작은 전체 제어부(540)에서의 기억 매체에 기억된 처리 레시피에 기초하여 실행된다.Next, the operation of the film forming system 500 configured as described above will be described. The following processing operation is executed based on the processing recipe stored in the storage medium in the overall control section 540. [

먼저, 캐리어(C)로부터 대기 반송용 반송 기구(536)에 의해 웨이퍼(W)를 취출하여, 로드 로크 실(506a 또는 506b)에 반송하고, 그 로드 로크 실을 제2 진공 반송실(521)과 동일 정도의 진공도로 감압한 후, 제2 반송 기구(526)에 의해 로드 로크 실의 웨이퍼(W)를 디가스 실(505a 또는 505b)에 반송하여, 웨이퍼(W)의 디가스 처리를 행한다. 그 후, 제1 반송 기구(516)에 의해 디가스 실의 웨이퍼(W)를 취출하여, 하지막 성막 장치(512a 또는 512b)에 반입하고, TiN막, Ta막, TaN막, TaAlN막, TiON막 등으로 이루어지는 하지막을 성막한다. 하지막은, ALD, CVD 또는 iPVD로 성막된다. 하지막 성막 후, 제1 반송 기구(516)에 의해 웨이퍼(W)를 Ru막 성막 장치(514a 또는 514b)에 반송하여, CVD에 의해 Ru막을 성막하고, 웨이퍼(W)에 형성된 트렌치 및 홀을 매립한다.First, the wafer W is taken out from the carrier C by the atmospheric carrying transport mechanism 536 and is transported to the load lock chamber 506a or 506b. The load lock chamber is moved to the second vacuum transport chamber 521, The wafer W in the load lock chamber is transferred to the degassing chamber 505a or 505b by the second transfer mechanism 526 to degas the wafer W . Thereafter, the wafer W in the degassing chamber is taken out by the first transporting mechanism 516 and brought into the under film forming apparatus 512a or 512b, and a TiN film, a Ta film, a TaN film, a TaAlN film, A base film made of a film or the like is formed. The underlying film is formed by ALD, CVD, or iPVD. After the under film formation, the wafer W is transported to the Ru film formation apparatus 514a or 514b by the first transport mechanism 516 to form a Ru film by CVD, and the trench and the hole formed in the wafer W Landfill.

Ru막 성막 후, 제1 반송 기구(516)에 의해 Ru막 성막 장치(514a 또는 514b)로부터 웨이퍼(W)를 수수 실(505)에 반송하고, 그 후, 제2 반송 기구(526)에 의해 웨이퍼(W)를 취출하여, Ar 이온 스퍼터 장치(522a 또는 522b)에 반입한다. 그리고, Ar 이온 스퍼터 장치(522a 또는 522b)에 의해, 웨이퍼(W)에 대하여 평탄화 처리를 행한다. 평탄화 처리에 앞서, 디가스 실(505a, 505b) 등, 웨이퍼(W)를 가열할 수 있는 적절한 장치에 웨이퍼(W)를 반송해서 어닐 처리를 실시해도 된다.After the Ru film is formed, the wafer W is transferred from the Ru film forming apparatus 514a or 514b to the transfer chamber 505 by the first transfer mechanism 516, and thereafter is transferred by the second transfer mechanism 526 The wafer W is taken out and brought into the Ar ion sputtering apparatus 522a or 522b. Then, the Ar ion sputtering apparatus 522a or 522b performs planarization processing on the wafer W. The wafer W may be transferred to an appropriate apparatus capable of heating the wafer W such as the degassing chambers 505a and 505b and subjected to the annealing process prior to the flattening process.

평탄화 처리 후, 제2 반송 기구(526)에 의해 웨이퍼(W)를 로드 로크 실(506a 또는 506b)에 반송하고, 그 로드 로크 실을 대기압으로 복귀시킨 후, 대기 반송용 반송 기구(536)에 의해 웨이퍼(W)를 취출하여, 캐리어(C)로 되돌린다. 이러한 처리를 캐리어 내의 웨이퍼(W)의 수만큼 반복한다.After the flattening process, the wafer W is transferred to the load lock chamber 506a or 506b by the second transfer mechanism 526 and returned to the atmospheric pressure. Thereafter, the wafer W is transferred to the atmospheric transfer transport mechanism 536 The wafer W is taken out and returned to the carrier C. This process is repeated for the number of wafers W in the carrier.

이러한 성막 시스템(500)에 의하면, 대기 개방하지 않고 진공 중에서 하지막 성막, Ru막의 성막, 평탄화 처리를 연속해서 행할 수 있어, 산화를 방지하면서 고속으로 Ru 배선을 얻을 수 있다.With this film formation system 500, it is possible to continuously form the underlying film, the Ru film, and the planarization process in vacuum without opening to the atmosphere, and Ru wiring can be obtained at high speed while preventing oxidation.

[실험예][Experimental Example]

이어서, 제2 실시 형태의 실험예에 대해서 설명한다.Next, an experimental example of the second embodiment will be described.

여기에서는, Si 기체 상의 층간 절연막에 폭이 약 20nm인 트렌치가 형성된 웨이퍼에 대하여, iPVD에 의해 TaN막으로 이루어지는 하지막을 약 0.5nm 성막한 후, CVD에 의해 Ru막을 20nm의 두께로 성막하여, 트렌치를 매립하였다. 그때의 SEM 사진을 도 14에 도시한다. 이 SEM 사진으로부터, 웨이퍼 표면에 Ru막이 성막되어 있고, 트렌치 내에 Ru막이 매립되어 있는 것을 알 수 있다.Here, an underlying film made of a TaN film is formed to a thickness of about 0.5 nm on a wafer having a trench having a width of about 20 nm formed on an Si-based interlayer insulating film by an iPVD method, and then a Ru film is formed to a thickness of 20 nm by CVD, Respectively. An SEM photograph at that time is shown in Fig. From this SEM photograph, it can be seen that a Ru film is formed on the wafer surface, and a Ru film is embedded in the trench.

그 후, Ar 이온 스퍼터링을 행하여, 웨이퍼 표면의 Ru막 및 TaN막을 제거하였다. 이때의 조건은, 압력: 2.5mTorr(0.33Pa), 플라즈마 생성용 고주파 파워: 1kW, 바이어스용 고주파 파워: 1kW, 온도: 10℃로 하였다. 그때의 SEM 사진을 도 15에 도시한다. 이 SEM 사진으로부터, 웨이퍼 표면의 Ru막 및 TaN막이 제거되고, Ru막이 트렌치 내에만 매립되어 있는 것을 알 수 있다. 이에 의해, Ar 이온 스퍼터링에 의해 평탄화 처리를 행할 수 있음이 확인되었다.Thereafter, Ar ion sputtering was performed to remove the Ru film and the TaN film on the wafer surface. The conditions at this time were a pressure of 2.5 mTorr (0.33 Pa), a high frequency power for generating plasma: 1 kW, a high frequency power for bias: 1 kW, and a temperature of 10 캜. An SEM photograph at that time is shown in Fig. From this SEM photograph, it can be seen that the Ru film and the TaN film on the wafer surface are removed, and the Ru film is buried only in the trench. As a result, it was confirmed that the planarization treatment can be performed by Ar ion sputtering.

이어서, 다양한 폭의 트렌치에, 하지막으로서 iPVD에 의해 0.5nm의 두께의 TaN막을 성막하고, 그 후, 두께 20nm의 Ru막을 성막해서 트렌치를 매립한 후, Ar 이온 스퍼터링에 의한 평탄화를 행해서 Ru 배선을 형성하여, 전기 특성을 파악하였다.Then, a TaN film having a thickness of 0.5 nm was formed as a base film by iPVD as a base film in various widths, and thereafter a Ru film having a thickness of 20 nm was formed to fill the trench and planarized by Ar ion sputtering, And electric characteristics were obtained.

먼저, 배선 저항을 측정한 결과에 대해서 설명한다. 여기에서는, Ar 이온 스퍼터링에서의 Ru막 스퍼터링량을 Ta막 환산으로 80nm 및 120nm로 한 경우의 배선 저항을 측정하였다. 도 16은, 트렌치 폭과 배선 저항과의 관계를 도시하는 도면이다. 이 도에 도시한 바와 같이, 스퍼터링량이 80nm보다도 120nm 쪽이 배선 저항이 더 높아지고, 또한 트렌치 폭이 작을수록 배선 저항이 높아지는 경향이 나타나, 건전한 Ru 배선이 형성되어 있는 것이 확인되었다.First, the results of measurement of wiring resistance will be described. Here, the wiring resistance was measured in the case where the Ru film sputtering amount in Ar ion sputtering was 80 nm and 120 nm in terms of Ta film. 16 is a diagram showing the relationship between the trench width and the wiring resistance. As shown in the figure, the wiring resistance tends to increase as the sputtering amount increases from 120 nm to 120 nm, and as the trench width becomes smaller, it is confirmed that a sound Ru wiring is formed.

이어서, 누설 전류를 측정한 결과에 대해서 설명한다. 여기에서는, 배선 폭이 32nm, 37nm, 42nm인 경우에 대해서 누설 전류를 측정하였다. 도 17은, 인가 전압과 누설 전류와의 관계를 도시하는 도면이다. 이 도에 도시한 바와 같이, 인가 전압이 상승함에 따라서 누설 전류가 증가하는데, 30V 인가해도 누설 전류는 1×10-8A 이하로, 배선간이 양호하게 절연되어 있는 것이 확인되었다.Next, the results of measuring the leakage current will be described. Here, the leakage current was measured when the wiring width was 32 nm, 37 nm, and 42 nm. 17 is a diagram showing the relationship between the applied voltage and the leakage current. As shown in this figure, as the applied voltage increases, the leakage current increases. Even when 30 V is applied, it is confirmed that the leakage current is 1 10 -8 A or less and the wiring is well insulated.

<다른 적용><Other applications>

이상, 본 발명의 실시 형태에 대해서 설명했지만, 본 발명은 상기 실시 형태에 한정되지 않고, 본 발명의 기술 사상의 범위 내에서 다양하게 변형 가능하다. 예를 들어, 상기 실시 형태에서 설명한 성막 시스템, TiON막 성막 장치, Ru막 성막 장치, Ar 플라즈마 처리 장치로서의 Ar 이온 스퍼터 장치는, 어디까지나 예시이며, 본 실시 형태에 한정하는 것은 아니다. 특히, Ar 플라즈마 처리 장치로서 ICP 플라즈마 스퍼터 장치를 예시했지만, 이에 한정하지 않고, 평행 평판형 등, 다른 플라즈마원을 사용해서 Ar 플라즈마 처리를 행하는 것이어도 된다.Although the embodiments of the present invention have been described above, the present invention is not limited to the above-described embodiments, but can be modified in various ways within the scope of the technical idea of the present invention. For example, the film formation system, the TiON film formation apparatus, the Ru film formation apparatus, and the Ar ion sputtering apparatus as the Ar plasma processing apparatus described in the above embodiments are merely examples, and the present invention is not limited to this embodiment. In particular, an ICP plasma sputtering apparatus is exemplified as an Ar plasma processing apparatus, but the present invention is not limited thereto, and Ar plasma processing may be performed using another plasma source such as a parallel plate type.

또한, 상기 실시 형태에서는, 트렌치 및 비아가 형성된 층간 절연막에 대하여 하지막을 성막하고, 그 후 Ru막을 매립함으로써 Ru 배선을 제조하는 경우에 대해서 설명했지만, 이에 한정하지 않고, 오목부를 갖는 기판에 대하여 하지막을 성막하고, 그 후 Ru막을 매립함으로써 Ru 배선을 제조하는 경우이면 적용 가능하다.In the above embodiment, a case has been described in which a Ru film is formed by depositing a base film on an interlayer insulating film in which trenches and vias are formed, and then filling the Ru film. However, the present invention is not limited to this, Film is formed, and then a Ru film is buried to fabricate a Ru wiring.

또한, 피처리 기판으로서 반도체 웨이퍼를 예시했지만, 본 발명의 원리상, 이것에 한정되는 것은 아니며, 예를 들어 액정 표시 장치용 기판으로 대표되는 FPD용 기판 등의 다른 기판이어도 되는 것은 물론이다.Although the semiconductor wafer is exemplified as the substrate to be processed, the present invention is not limited to this, and it goes without saying that the substrate may be another substrate such as an FPD substrate typified by a substrate for a liquid crystal display device.

1; 성막 시스템 10; 진공 반송실
11; TiON막 성막 장치 12; 냉각 장치
13; Ru막 성막 장치 14; 로드 로크 실
201; 기체 202; 층간 절연막
203; 트렌치 204; 비아 홀
205; TiON막 206; Ru막
207, 212; Ru 배선 211; 하지막
301; 단위 TiN막 400; Ar 이온 스퍼터 장치
W; 반도체 웨이퍼
One; Film deposition system 10; Vacuum conveying room
11; TiON film forming apparatus 12; Cooling device
13; Ru film forming apparatus 14; Road lock room
201; Gas 202; The interlayer insulating film
203; Trench 204; Via hole
205; TiON film 206; Ru film
207, 212; Ru wiring 211; Bottom membrane
301; Unit TiN film 400; Ar ion sputtering device
W; Semiconductor wafer

Claims (26)

기판 표면의 미리 정해진 막에 형성된 오목부에, 하지막으로서 형성된 TiON막과, 상기 TiON막 상에 상기 오목부를 매립하도록 형성된 루테늄 막을 포함하는 루테늄 배선.A ruthenium wiring including a TiON film formed as a base film and a ruthenium film formed on the TiON film so as to fill the concave portion in a recess formed in a predetermined film on the surface of the substrate. 제1항에 있어서,
상기 미리 정해진 막은 층간 절연막이며, 상기 층간 절연막에 상기 오목부로서 트렌치 및 비아 홀이 형성되어 있는, 루테늄 배선.
The method according to claim 1,
Wherein the predetermined film is an interlayer insulating film, and a trench and a via hole are formed in the interlayer insulating film as the concave portion.
제1항에 있어서,
상기 TiON막은 ALD에 의해 형성된 막이며, 상기 루테늄 막은 CVD에 의해 형성된 막인, 루테늄 배선.
The method according to claim 1,
The TiON film is a film formed by ALD, and the ruthenium film is a film formed by CVD.
제1항 내지 제3항 중 어느 한 항에 있어서,
상기 TiON막은, 산소량이 50at% 이상인, 루테늄 배선.
4. The method according to any one of claims 1 to 3,
The TiON film has an oxygen content of 50 at% or more.
표면에 오목부가 형성된 미리 정해진 막을 포함하는 기판에 대하여, 상기 오목부를 매립해서 루테늄 배선을 제조하는 루테늄 배선의 제조 방법으로서,
적어도 상기 오목부의 표면에, 하지막으로서 TiON막을 형성하는 공정과,
상기 TiON막 상에 상기 오목부를 매립하도록 루테늄 막을 형성하는 공정
을 포함하는 루테늄 배선의 제조 방법.
A ruthenium wiring manufacturing method for manufacturing a ruthenium wiring by embedding said concave portion in a substrate including a predetermined film having a concave portion formed on its surface,
Forming a TiON film as a base film on at least a surface of the concave portion;
A step of forming a ruthenium film to bury the concave portion on the TiON film
&Lt; / RTI &gt;
제5항에 있어서,
상기 미리 정해진 막은 층간 절연막이며, 상기 층간 절연막에 상기 오목부로서 트렌치 및 비아 홀이 형성되어 있는, 루테늄 배선의 제조 방법.
6. The method of claim 5,
Wherein the predetermined film is an interlayer insulating film and trenches and via holes are formed in the interlayer insulating film as the recessed portions.
제5항에 있어서,
상기 루테늄 막을 형성해서 상기 오목부를 매립한 후, 상기 기판의 표면의 상기 루테늄 막 및 상기 TiON막을 제거해서 상기 기판의 표면을 평탄화하는 공정을 더 포함하는, 루테늄 배선의 제조 방법.
6. The method of claim 5,
Further comprising the step of forming the ruthenium film to fill the recess, and then removing the ruthenium film and the TiON film on the surface of the substrate to planarize the surface of the substrate.
제7항에 있어서,
상기 평탄화하는 공정은, 상기 기판의 표면의 상기 루테늄 막 및 상기 TiON막을 CMP에 의해 연마함으로써 행하여지는, 루테늄 배선의 제조 방법.
8. The method of claim 7,
Wherein the step of planarizing is performed by polishing the ruthenium film and the TiON film on the surface of the substrate by CMP.
제7항에 있어서,
상기 평탄화하는 공정은, 상기 기판의 표면의 상기 루테늄 막 및 상기 TiON막을, 아르곤 플라즈마 처리를 포함하는 처리에 의해 제거함으로써 행하여지는, 루테늄 배선의 제조 방법.
8. The method of claim 7,
Wherein the step of planarizing is performed by removing the ruthenium film and the TiON film on the surface of the substrate by a treatment including an argon plasma treatment.
제9항에 있어서,
상기 평탄화하는 공정은, 상기 기판의 표면의 상기 루테늄 막 및 상기 TiON막을, 아르곤 플라즈마 처리에 의해 제거한 후, CMP에 의해 연마함으로써 행하여지는, 루테늄 배선의 제조 방법.
10. The method of claim 9,
Wherein the step of planarizing is performed by removing the ruthenium film and the TiON film on the surface of the substrate by an argon plasma treatment and then polishing by CMP.
제9항 또는 제10항에 있어서,
상기 아르곤 플라즈마 처리는, 아르곤 이온 스퍼터 처리인, 루테늄 배선의 제조 방법.
11. The method according to claim 9 or 10,
Wherein the argon plasma treatment is an argon ion sputtering treatment.
제7항 내지 제10항 중 어느 한 항에 있어서,
상기 루테늄 막을 형성한 후, 상기 평탄화 전에, 어닐 처리를 실시하는 공정을 더 포함하는, 루테늄 배선의 제조 방법.
11. The method according to any one of claims 7 to 10,
Further comprising the step of performing an annealing process after forming the ruthenium film and before the planarization.
제5항 내지 제10항 중 어느 한 항에 있어서,
상기 TiON막의 산소량을 조정함으로써, 상기 TiON막에 작용하는 응력을 제어하는, 루테늄 배선의 제조 방법.
11. The method according to any one of claims 5 to 10,
Wherein the stress acting on the TiON film is controlled by adjusting the amount of oxygen in the TiON film.
제5항 내지 제10항 중 어느 한 항에 있어서,
상기 TiON막의 산소량을 50at% 이상으로 하는, 루테늄 배선의 제조 방법.
11. The method according to any one of claims 5 to 10,
Wherein an oxygen amount of the TiON film is 50 at% or more.
제5항 내지 제10항 중 어느 한 항에 있어서,
상기 TiON막은, 처리 용기 내에 기판을 배치하고, 상기 처리 용기 내를 감압 상태로 유지하고, 미리 정해진 처리 온도에서, 상기 처리 용기 내에 Ti 함유 가스를 공급하는 스텝과, 상기 처리 용기 내에 질화 가스를 공급하는 스텝을 교대로 X회 반복해서 단위 TiN막을 성막한 후, 상기 처리 용기 내에 산화제를 공급해서 상기 단위 TiN막을 산화하는 일련의 처리를 1 사이클로 하고, 이 사이클을 원하는 막 두께가 되도록 복수 사이클 반복함으로써 형성하고, X의 횟수에 의해 상기 TiON막 내의 산소량을 조정하는, 루테늄 배선의 제조 방법.
11. The method according to any one of claims 5 to 10,
Wherein the TiON film includes a step of disposing a substrate in a processing vessel, maintaining the inside of the processing vessel in a reduced pressure state, supplying Ti-containing gas into the processing vessel at a predetermined processing temperature, Are repeated alternately X times to form a unit TiN film, and then the oxidizing agent is supplied into the processing vessel to oxidize the unit TiN film. Thereafter, this cycle is repeated for a plurality of cycles so as to obtain a desired film thickness And adjusting the amount of oxygen in the TiON film by the number of times of X.
제15항에 있어서,
상기 TiON막을 형성할 때 사용하는, 상기 Ti 함유 가스가 TiCl4 가스이며, 상기 질화 가스가 NH3 가스인, 루테늄 배선의 제조 방법.
16. The method of claim 15,
The TiON, and the Ti-containing gas is TiCl 4 gas used to form a film, the method for manufacturing the nitride gas is NH 3 gas, ruthenium wiring.
제15항에 있어서,
상기 TiON막을 형성할 때의 상기 산화제로서, O2 가스, O3 가스, H2O, NO2로 이루어지는 군에서 선택되는 산소 함유 가스, 또는, 상기 산소 함유 가스를 플라즈마화한 것을 사용하는, 루테늄 배선의 제조 방법.
16. The method of claim 15,
The oxygen-containing gas selected from the group consisting of O 2 gas, O 3 gas, H 2 O, and NO 2 , or ruthenium A method of manufacturing a wiring.
제15항에 있어서,
상기 TiON막을 형성할 때의 상기 처리 온도가 300 내지 500℃의 범위인, 루테늄 배선의 제조 방법.
16. The method of claim 15,
Wherein the processing temperature when the TiON film is formed is in the range of 300 to 500 占 폚.
표면에 오목부가 형성된 미리 정해진 막을 포함하는 기판에 대하여, 상기 오목부를 매립해서 루테늄 배선을 제조하는 루테늄 배선의 제조 방법으로서,
적어도 상기 오목부의 표면에, 하지막을 형성하는 공정과,
상기 하지막 상에 상기 오목부를 매립하도록 루테늄 막을 형성하는 공정과,
상기 루테늄 막을 형성해서 상기 오목부를 매립한 후, 상기 기판의 표면의 상기 루테늄 막 및 상기 하지막을, 아르곤 플라즈마 처리를 포함하는 처리에 의해 제거해서 상기 기판의 표면을 평탄화하는 공정
을 포함하는 루테늄 배선의 제조 방법.
A ruthenium wiring manufacturing method for manufacturing a ruthenium wiring by embedding said concave portion in a substrate including a predetermined film having a concave portion formed on its surface,
Forming a base film on at least a surface of the concave portion;
Forming a ruthenium film so as to fill the concave portion on the base film;
A step of forming the ruthenium film and filling the concave portion and then removing the ruthenium film and the underlying film on the surface of the substrate by a treatment including an argon plasma treatment to planarize the surface of the substrate
&Lt; / RTI &gt;
제19항에 있어서,
상기 평탄화하는 공정은, 상기 기판의 표면의 상기 루테늄 막 및 상기 하지막을 상기 아르곤 플라즈마 처리에 의해 제거한 후, CMP에 의해 연마함으로써 행하여지는, 루테늄 배선의 제조 방법.
20. The method of claim 19,
Wherein the step of planarizing is performed by removing the ruthenium film and the underlying film on the surface of the substrate by the argon plasma treatment and then polishing by CMP.
제19항에 있어서,
상기 아르곤 플라즈마 처리는, 아르곤 이온 스퍼터 처리인, 루테늄 배선의 제조 방법.
20. The method of claim 19,
Wherein the argon plasma treatment is an argon ion sputtering treatment.
제19항에 있어서,
상기 루테늄 막을 형성한 후, 상기 평탄화하는 공정 전에, 어닐 처리를 실시하는 공정을 더 포함하는, 루테늄 배선의 제조 방법.
20. The method of claim 19,
Further comprising a step of performing annealing before forming the ruthenium film and before the step of planarizing the ruthenium film.
제19항 내지 제22항 중 어느 한 항에 있어서,
상기 하지막은, TiN막, Ta막, TaN막, TaAlN막 및 TiON막 중 어느 하나인, 루테늄 배선의 제조 방법.
23. The method according to any one of claims 19 to 22,
Wherein the underlying film is any one of a TiN film, a Ta film, a TaN film, a TaAlN film, and a TiON film.
제5항 내지 제10항 및 제19항 내지 제22항 중 어느 한 항에 있어서,
상기 루테늄 막은, CVD에 의해 형성하는, 루테늄 배선의 제조 방법.
23. The method according to any one of claims 5 to 10 and 19 to 22,
Wherein the ruthenium film is formed by CVD.
제24항에 있어서,
상기 루테늄 막을 CVD에 의해 형성할 때, 성막 원료로서 루테늄 카르보닐을 사용하는, 루테늄 배선의 제조 방법.
25. The method of claim 24,
Wherein the ruthenium film is formed by CVD, and ruthenium carbonyl is used as a film formation raw material.
제25항에 있어서,
상기 루테늄 막을 형성할 때의 처리 온도가 130 내지 250℃의 범위인, 루테늄 배선의 제조 방법.
26. The method of claim 25,
Wherein the process temperature for forming the ruthenium film is in the range of 130 to 250 占 폚.
KR1020170083687A 2016-07-06 2017-06-30 Ruthenium wiring and manufacturing method thereof KR102096143B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2016-134043 2016-07-06
JP2016134043 2016-07-06
JP2016216142A JP6785130B2 (en) 2016-07-06 2016-11-04 Ruthenium wiring and its manufacturing method
JPJP-P-2016-216142 2016-11-04

Publications (2)

Publication Number Publication Date
KR20180005607A true KR20180005607A (en) 2018-01-16
KR102096143B1 KR102096143B1 (en) 2020-04-01

Family

ID=61019733

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170083687A KR102096143B1 (en) 2016-07-06 2017-06-30 Ruthenium wiring and manufacturing method thereof

Country Status (3)

Country Link
JP (1) JP6785130B2 (en)
KR (1) KR102096143B1 (en)
TW (1) TWI742098B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200028299A (en) * 2018-09-06 2020-03-16 도쿄엘렉트론가부시키가이샤 Embedding method and processing system
KR20200033742A (en) * 2018-09-20 2020-03-30 도쿄엘렉트론가부시키가이샤 Embedding method and processing system
KR20200038854A (en) * 2018-10-04 2020-04-14 도쿄엘렉트론가부시키가이샤 Surface processing method and processing system
US11094586B2 (en) 2019-01-25 2021-08-17 Samsung Electronics Co., Ltd. Semiconductor device including interconnections having different structures and method of fabricating the same
US11387112B2 (en) 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019192892A (en) 2018-04-18 2019-10-31 東京エレクトロン株式会社 Processing system and processing method
JP7278164B2 (en) 2019-07-11 2023-05-19 東京エレクトロン株式会社 Method for forming ruthenium film and substrate processing system
JP7330046B2 (en) * 2019-09-30 2023-08-21 東京エレクトロン株式会社 SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000114474A (en) 1998-08-07 2000-04-21 Toshiba Corp Semiconductor device and manufacture thereof
US20030129309A1 (en) * 1999-09-13 2003-07-10 Hayashi Otsuki Method for depositing metallic nitride series thin film
KR20040002012A (en) * 2002-06-29 2004-01-07 주식회사 하이닉스반도체 Method of forming a metal wiring in a semiconductor device
US20040080051A1 (en) * 2002-10-29 2004-04-29 Renesas Technology Corp. Semiconductor device
JP2006148075A (en) 2004-10-19 2006-06-08 Tokyo Electron Ltd Method of depositing film and device for plasma-deposing film
US20070222066A1 (en) * 2006-03-24 2007-09-27 International Business Machines Corporation Structure and method of forming electrodeposited contacts
US20120074513A1 (en) * 2010-09-27 2012-03-29 Fujifilm Corporation Photoelectric conversion element, solid-sate imaging element, imaging apparatus, and method for manufacturing photoelectric conversion element
US20120091588A1 (en) * 2009-06-16 2012-04-19 Tokyo Electron Limited Barrier layer, film forming method, and processing system
JP2012184499A (en) * 2011-02-18 2012-09-27 Hitachi Kokusai Electric Inc Manufacturing method, substrate processing device, and substrate processing method of semiconductor device
US20150255293A1 (en) * 2012-11-30 2015-09-10 Institute of Microelectronics, Chinese Academy of Sciences Planarization process
US20150318243A1 (en) * 2014-04-30 2015-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Composite Contact Plug Structure and Method of Making Same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001156024A (en) * 1999-09-13 2001-06-08 Tokyo Electron Ltd TiN-BASED THIN FILM AND FILM-FORMING METHOD THEREFOR, FILM-FORMING APPARATUS, FILM STRUCTURAL BODY INCLUDING TiN-BASED THIN FILM AND MANUFACTURING METHOD THEREFOR, AND SEMICONDUCTOR DEVICE
JP2003113500A (en) * 2001-10-03 2003-04-18 Toshiba Corp Electrolytic polishing method

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000114474A (en) 1998-08-07 2000-04-21 Toshiba Corp Semiconductor device and manufacture thereof
US20030129309A1 (en) * 1999-09-13 2003-07-10 Hayashi Otsuki Method for depositing metallic nitride series thin film
KR20040002012A (en) * 2002-06-29 2004-01-07 주식회사 하이닉스반도체 Method of forming a metal wiring in a semiconductor device
US20040080051A1 (en) * 2002-10-29 2004-04-29 Renesas Technology Corp. Semiconductor device
JP2006148075A (en) 2004-10-19 2006-06-08 Tokyo Electron Ltd Method of depositing film and device for plasma-deposing film
US20070222066A1 (en) * 2006-03-24 2007-09-27 International Business Machines Corporation Structure and method of forming electrodeposited contacts
US20120091588A1 (en) * 2009-06-16 2012-04-19 Tokyo Electron Limited Barrier layer, film forming method, and processing system
US20120074513A1 (en) * 2010-09-27 2012-03-29 Fujifilm Corporation Photoelectric conversion element, solid-sate imaging element, imaging apparatus, and method for manufacturing photoelectric conversion element
JP2012184499A (en) * 2011-02-18 2012-09-27 Hitachi Kokusai Electric Inc Manufacturing method, substrate processing device, and substrate processing method of semiconductor device
US20150255293A1 (en) * 2012-11-30 2015-09-10 Institute of Microelectronics, Chinese Academy of Sciences Planarization process
US20150318243A1 (en) * 2014-04-30 2015-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Composite Contact Plug Structure and Method of Making Same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
L. G. Wen et al., Proceeding of IEEE IITC/AMC 2016, pp34-36

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200028299A (en) * 2018-09-06 2020-03-16 도쿄엘렉트론가부시키가이샤 Embedding method and processing system
KR20200033742A (en) * 2018-09-20 2020-03-30 도쿄엘렉트론가부시키가이샤 Embedding method and processing system
KR20200038854A (en) * 2018-10-04 2020-04-14 도쿄엘렉트론가부시키가이샤 Surface processing method and processing system
US11387112B2 (en) 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
US11094586B2 (en) 2019-01-25 2021-08-17 Samsung Electronics Co., Ltd. Semiconductor device including interconnections having different structures and method of fabricating the same

Also Published As

Publication number Publication date
TW201816162A (en) 2018-05-01
JP2018014477A (en) 2018-01-25
JP6785130B2 (en) 2020-11-18
KR102096143B1 (en) 2020-04-01
TWI742098B (en) 2021-10-11

Similar Documents

Publication Publication Date Title
KR102096143B1 (en) Ruthenium wiring and manufacturing method thereof
KR101709851B1 (en) Method and apparatus for film forming
KR102291990B1 (en) Method for depositing tungsten film with tungsten hexafluoride(wf6) etchback
JP6807251B2 (en) How to manufacture ruthenium wiring
US9595466B2 (en) Methods for etching via atomic layer deposition (ALD) cycles
KR20160068668A (en) Copper wiring forming method, film forming system, and storage medium
KR101882991B1 (en) Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method
US10490443B2 (en) Selective film forming method and method of manufacturing semiconductor device
US10600685B2 (en) Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
KR20150108316A (en) Method of forming copper wiring
US10522467B2 (en) Ruthenium wiring and manufacturing method thereof
KR101730229B1 (en) Ruthenium film forming method, ruthenium film forming apparatus, and semiconductor device manufacturing method
KR20170106461A (en) Method of forming Cu wiring and method of manufacturing semiconductor device
US20190385908A1 (en) Treatment And Doping Of Barrier Layers
KR102017944B1 (en) Manufacturing method of nickel wiring
KR101800487B1 (en) Method for forming copper wiring and storage mideum
KR20180068328A (en) METHOD OF MANUFACTURING Cu WIRING
JP2017050304A (en) Semiconductor device manufacturing method
US20210066064A1 (en) Methods and apparatus for cleaning metal contacts
US20160300731A1 (en) Methods of etchback profile tuning
TW202314800A (en) Methods and apparatus for selective etch stop capping and selective via open for fully landed via on underlying metal

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant