KR20180003826A - Method of depositing a thin film - Google Patents

Method of depositing a thin film Download PDF

Info

Publication number
KR20180003826A
KR20180003826A KR1020160083459A KR20160083459A KR20180003826A KR 20180003826 A KR20180003826 A KR 20180003826A KR 1020160083459 A KR1020160083459 A KR 1020160083459A KR 20160083459 A KR20160083459 A KR 20160083459A KR 20180003826 A KR20180003826 A KR 20180003826A
Authority
KR
South Korea
Prior art keywords
gas
chamber
supply
source gas
reaction
Prior art date
Application number
KR1020160083459A
Other languages
Korean (ko)
Inventor
조원태
이상두
황철주
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020160083459A priority Critical patent/KR20180003826A/en
Publication of KR20180003826A publication Critical patent/KR20180003826A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

Provided is a thin film deposition method which can increase productivity by increasing the process speed. The thin film deposition method comprises: a first process of supplying source gas to a reaction space in a chamber; a second process of removing the source gas from the reaction space in the chamber; a third process of supplying reaction gas to the reaction space in the chamber; and a fourth process of removing the reaction gas from the reaction space in the chamber. The thin film deposition method continuously generates plasma in the reaction space during the first to fourth processes.

Description

박막 증착 방법{Method of depositing a thin film}[0001] The present invention relates to a method of depositing a thin film,

본 발명은 박막 증착 방법에 관한 것으로서, 보다 구체적으로는 원자층 증착법을 이용하여 기판 상에 박막을 증착하는 방법에 관한 것이다. The present invention relates to a thin film deposition method, and more particularly, to a method of depositing a thin film on a substrate using atomic layer deposition.

기판 상에 박막을 증착하는 방법으로는 물리적 증착 방법 및 화학적 증착 방법이 있다. Methods for depositing a thin film on a substrate include a physical vapor deposition method and a chemical vapor deposition method.

상기 물리적 증착 방법의 대표적인 예는 스퍼터링(Sputtering) 증착 방법이다. 상기 스퍼터링 증착 방법은 증착하고자 하는 물질로 이루어진 타겟에 이온을 충돌시켜 타겟 물질을 상기 타겟에서 이탈시켜 기판 상에 증착하는 방법이다. A typical example of the physical vapor deposition method is a sputtering deposition method. In the sputtering deposition method, ions are collided with a target made of a material to be deposited, and the target material is separated from the target and deposited on the substrate.

상기 화학적 증착 방법의 대표적인 예는 화학적 기상 증착(Chemical Vapor Deposition; CVD) 방법이다. 상기 화학적 기상 증착 방법은 증착하고자 하는 물질을 기화시켜 기판 상에 증착하는 방법이다. 상기 화학적 기상 증착 방법은 비교적 짧은 시간에 박막을 형성할 수 있는 장점이 있지만 막질이 우수하지 못한 단점이 있다. 따라서, 보다 우수한 막질을 얻기 위해서 원자층 증착 방법(Atomic Layer Deposition: ALD)이 제안되었다. A typical example of the chemical vapor deposition method is a chemical vapor deposition (CVD) method. The chemical vapor deposition method is a method of vaporizing a material to be deposited and depositing the material on a substrate. The chemical vapor deposition method has an advantage that a thin film can be formed in a relatively short time, but the film quality is not excellent. Therefore, atomic layer deposition (ALD) has been proposed to obtain better film quality.

상기 화학적 기상 증착 방법은 챔버 내에 소스 가스(Source Gas)와 반응 가스(Reactant Gas)를 함께 투입하여 소스 가스와 반응 가스 사이의 화학반응을 통해 박막을 형성하는 방법인데 반하여, 상기 원자층 증착 방법은 챔버 내에 소스 가스와 반응 가스를 분리하여 공급하여 하나의 사이클(cycle)을 거치면서 소스 가스와 반응 가스 사이의 표면 반응에 의해 원하는 박막을 형성하는 방법이다. 따라서, 상기 원자층 증착 방법의 경우, 소스 가스와 반응 가스를 시간차를 두고 번갈아가며 공급하게 되며, 그에 따라 소스 가스로 이루어진 원자층이 증착되고 그 이후 상기 원자층의 표면이 상기 반응 가스와 반응함으로써, 막질이 우수한 박막을 얻을 수 있다. In the chemical vapor deposition method, a source gas and a reactant gas are simultaneously introduced into a chamber to form a thin film through a chemical reaction between a source gas and a reactive gas, A source gas and a reactive gas are separated and supplied into the chamber, and a desired thin film is formed by a surface reaction between the source gas and the reactive gas through one cycle. Accordingly, in the case of the atomic layer deposition method, the source gas and the reactive gas are alternately supplied at a time difference, whereby an atomic layer made of a source gas is deposited, and then the surface of the atomic layer reacts with the reactive gas , A thin film excellent in film quality can be obtained.

이하, 도면을 참조로 종래의 원자층 증착 방법을 이용한 박막 증착 방법을 설명하기로 한다. Hereinafter, a thin film deposition method using a conventional atomic layer deposition method will be described with reference to the drawings.

도 1은 종래의 원자층 증착 방법에서 시간에 따라 챔버 내에 가스를 공급하는 과정을 보여주는 가스 공급 사이클을 도시한 것이다. FIG. 1 shows a gas supply cycle showing a process of supplying gas into a chamber with time in a conventional atomic layer deposition method.

도 1에서 알 수 있듯이, 종래의 경우 우선 소스 가스(S)를 챔버 내에 공급하고, 그 후 상기 소스 가스(S)의 공급을 차단한 후 상기 챔버 내에 퍼지 가스(Purge Gas; P)를 공급하여 상기 챔버 내의 소스 가스(S)를 제거한다. 그 후 상기 퍼지 가스(P)의 공급을 차단한 후 상기 챔버 내에 반응 가스(R)를 공급하고, 그 후 상기 반응 가스(R)의 공급을 차단한 후 상기 챔버 내에 퍼지 가스(P)를 공급하여 상기 챔버 내의 반응 가스(R)를 제거하여 가스 공급에 대한 1 사이클(cycle)을 완성하며, 이와 같은 사이클을 반복 수행하여 기판 상에 원하는 박막을 증착하게 된다. 1, in the conventional case, the source gas S is first supplied into the chamber, the supply of the source gas S is cut off, and then purge gas (P) is supplied into the chamber The source gas S in the chamber is removed. Thereafter, the supply of the purge gas P is stopped, the reactive gas R is supplied into the chamber, the supply of the reactive gas R is stopped, and the purge gas P is supplied into the chamber And the reaction gas R in the chamber is removed to complete one cycle of gas supply. Such a cycle is repeated to deposit a desired thin film on the substrate.

이와 같은 원자층 증착 방법은 박막의 두께 조절이 용이하고 박막의 스텝 커버리지(Step Coverage)가 우수하여 양질의 박막을 얻을 수 있는 장점이 있다. Such an atomic layer deposition method is advantageous in that it is easy to control the thickness of the thin film and the step coverage of the thin film is excellent, so that a good thin film can be obtained.

그러나, 상기 원자층 증착 방법은 전술한 화학적 기상 증착 방법에 비하여 증착 속도가 느린 단점이 있다. 즉, 상기 원자층 증착 방법은 소스 가스(S)와 반응 가스(R)를 교대로 반복 공급해야 하기 때문에, 소스 가스(S)와 반응 가스(R)를 함께 공급하는 화학적 기상 증착 방법에 비하여 공정 속도가 느릴 수밖에 없으며 그에 따라 생산성이 떨어지는 단점이 있다. However, the atomic layer deposition method has a disadvantage that the deposition rate is slower than the chemical vapor deposition method described above. That is, since the atomic layer deposition method needs to alternately and repeatedly supply the source gas S and the reactive gas R, the method of depositing the source gas S and the reactive gas R, It has a drawback that the speed is slow and the productivity is low accordingly.

본 발명은 전술한 종래의 문제점을 해결하기 위해 고안된 것으로서, 본 발명은 원자층 증착 방법을 이용함으로써 막질이 우수한 박막을 얻을 수 있으며 공정 속도를 증가시켜 생산성을 향상시킬 수 있는 박막 증착 방법을 제공하는 것을 목적으로 한다. The present invention has been devised to solve the problems of the prior art described above, and it is an object of the present invention to provide a thin film deposition method capable of obtaining a thin film having excellent film quality by using an atomic layer deposition method, .

상기 목적을 달성하기 위해서, 본 발명은 챔버 내부의 반응 공간에 소스 가스를 공급하는 제1 공정; 상기 챔버 내부의 반응 공간에서 상기 소스 가스를 제거하는 제2 공정; 상기 챔버 내부의 반응 공간에 반응 가스를 공급하는 제3 공정; 및 상기 챔부 내부의 반응 공간에서 상기 반응 가스를 제거하는 제4 공정을 포함하여 이루어지고, 상기 제1 공정, 상기 제2 공정, 상기 제3 공정, 및 상기 제4 공정을 수행하는 동안 상기 반응 공간 내에 연속적으로 플라즈마를 생성시키는 것을 특징으로 하는 박막 증착 방법을 제공한다. In order to accomplish the above object, the present invention provides a method of manufacturing a semiconductor device, comprising: a first step of supplying a source gas into a reaction space inside a chamber; A second step of removing the source gas in a reaction space inside the chamber; A third step of supplying a reaction gas to the reaction space inside the chamber; And a fourth step of removing the reaction gas in a reaction space inside the chamber, wherein during the first process, the second process, the third process, and the fourth process, And the plasma is continuously generated in the chamber.

상기 제2 공정은 상기 챔버 내부의 반응 공간에 퍼지 가스를 공급하는 공정으로 이루어질 수 있다. And the second step may include a step of supplying purge gas to the reaction space inside the chamber.

상기 퍼지 가스를 공급하는 공정은 상기 소스 가스의 공급을 차단한 이후 및 상기 반응 가스를 공급하기 이전에 수행하고, 상기 제3 공정은 상기 퍼지 가스의 공급을 차단한 이후에 수행할 수 있다. The purge gas may be supplied after the supply of the source gas is stopped and before the supply of the reactive gas, and the third process may be performed after the supply of the purge gas is cut off.

상기 플라즈마를 생성시키는 시점은 상기 소스 가스를 공급하는 시점과 일치할 수 있다. The time point at which the plasma is generated may coincide with the time point at which the source gas is supplied.

본 발명은 또한, 챔버 내부의 반응 공간에 소스 가스를 공급하는 제1 공정; 및 상기 챔버 내부의 반응 공간에 반응 가스를 공급하는 제2 공정을 포함하여 이루어지고, 상기 제1 공정 및 상기 제2 공정을 수행하는 동안 상기 반응 공간 내에 연속적으로 퍼지 가스를 공급하고 연속적으로 플라즈마를 생성시키는 것을 특징으로 하는 박막 증착 방법을 제공한다. The present invention also relates to a method of manufacturing a semiconductor device, comprising: a first step of supplying a source gas into a reaction space inside a chamber; And a second step of supplying a reaction gas into the reaction space inside the chamber, wherein during the first process and the second process, continuously purge gas is supplied into the reaction space and plasma Thereby forming a thin film.

상기 제2 공정은 상기 소스 가스의 공급을 차단하고 소정 시간이 지난 후에 수행할 수 있다. The second process may be performed after a predetermined time has elapsed after the supply of the source gas has been cut off.

상기 제1 공정과 상기 제2 공정 사이의 시간 동안에 상기 퍼지 가스에 의해서 상기 챔버 내부의 반응 공간에서 상기 소스 가스가 제거될 수 있다. The source gas can be removed from the reaction space inside the chamber by the purge gas during a period of time between the first process and the second process.

상기 퍼지 가스를 공급하는 시점 및 상기 플라즈마를 생성시키는 시점은 상기 소스 가스를 공급하는 시점과 일치할 수 있다. The time of supplying the purge gas and the time of generating the plasma may coincide with the time of supplying the source gas.

이상과 같은 본 발명에 따르면 다음과 같은 효과가 있다. According to the present invention as described above, the following effects can be obtained.

본 발명의 일 실시예에 따르면, 가스 공급 사이클을 수행하는 모든 과정에서 플라즈마를 생성시킴으로써 증착 속도를 증가시켜 원자층 증착 방법의 단점인 낮은 증착속도 문제를 개선할 수 있다. According to one embodiment of the present invention, the deposition rate can be increased by generating plasma in all the processes of performing the gas supply cycle, thereby improving the low deposition rate problem, which is a disadvantage of the atomic layer deposition method.

본 발명의 일 실시예에 따르면, 소스 가스(S) 공급시 플라즈마를 생성함으로써 상기 소스 가스(S)로 이루어진 원자층을 기판 상에 보다 빠르게 형성할 수 있고, 또한, 반응 가스(R) 공급시 플라즈마를 생성함으로써 상기 소스 가스(S)로 이루어진 원자층과 상기 반응 가스(R) 사이의 반응속도를 향상시킬 수 있다. According to an embodiment of the present invention, an atomic layer made of the source gas (S) can be formed on the substrate more quickly by generating plasma at the time of supplying the source gas (S) The reaction rate between the atomic layer made of the source gas (S) and the reactive gas (R) can be improved by generating a plasma.

본 발명의 일 실시예에 따르면, 가스 공급 사이클을 수행하는 모든 과정에서 플라즈마를 생성시킴으로써, 상기 플라즈마를 안정적으로 유지할 수 있는 장점이 있다. According to an embodiment of the present invention, plasma is generated in all the processes of performing the gas supply cycle, so that the plasma can be stably maintained.

본 발명의 일 실시예에 따르면, 소스 가스(S)의 공급을 차단한 이후 상기 퍼지 가스(P)를 공급하는 동안에도 상기 플라즈마를 생성시킴으로써, 상기 소스 가스(S)로 이루어진 원자층의 표면에 대한 개질 효과를 얻을 수 있고 또한 상기 원자층의 표면 균일도가 향상될 수 있다. According to an embodiment of the present invention, by generating the plasma even while supplying the purge gas (P) after interrupting the supply of the source gas (S), the surface of the atomic layer made of the source gas And the surface uniformity of the atomic layer can be improved.

본 발명의 일 실시예에 따르면, 반응 가스(R)의 공급을 차단한 이후 상기 퍼지 가스(P)를 공급하는 동안에도 상기 플라즈마를 생성시킴으로써, 상기 소스 가스(S)로 이루어진 원자층과 상기 반응 가스(R)가 반응하여 생성된 원자층의 표면에 대한 개질 효과 및 표면 균일도 향상 효과를 얻을 수 있다. According to an embodiment of the present invention, by generating the plasma during the supply of the purge gas (P) after shutting off the supply of the reactive gas (R), the atomic layer of the source gas (S) The effect of modifying the surface of the atomic layer formed by the reaction of the gas (R) and improving the surface uniformity can be obtained.

도 1은 종래의 원자층 증착 방법에서 시간에 따라 챔버 내에 가스를 공급하는 과정을 보여주는 가스 공급 사이클을 도시한 것이다.
도 2는 본 발명의 일 실시예에 따른 원자층 증착 방법에서 시간에 따라 챔버 내에 가스를 공급하는 과정을 보여주는 가스 공급 사이클을 도시한 것이다.
도 3은 본 발명의 다른 실시예에 따른 원자층 증착 방법에서 시간에 따라 챔버 내에 가스를 공급하는 과정을 보여주는 가스 공급 사이클을 도시한 것이다.
도 4는 본 발명의 일 실시예에 따른 원자층 증착 장비의 개략적인 단면도이다.
도 5는 본 발명의 일 실시예에 따른 원자층 증착 장비에 적용되는 제1 전극과 제2 전극의 분해 사시도이다.
FIG. 1 shows a gas supply cycle showing a process of supplying gas into a chamber with time in a conventional atomic layer deposition method.
FIG. 2 illustrates a gas supply cycle showing the process of supplying gas into the chamber with time in the atomic layer deposition method according to one embodiment of the present invention.
FIG. 3 illustrates a gas supply cycle showing the process of supplying gas into the chamber with time in the atomic layer deposition method according to another embodiment of the present invention.
4 is a schematic cross-sectional view of an atomic layer deposition apparatus according to an embodiment of the present invention.
5 is an exploded perspective view of a first electrode and a second electrode applied to an atomic layer deposition apparatus according to an embodiment of the present invention.

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. BRIEF DESCRIPTION OF THE DRAWINGS The advantages and features of the present invention and the manner of achieving them will become apparent with reference to the embodiments described in detail below with reference to the accompanying drawings. The present invention may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. Is provided to fully convey the scope of the invention to those skilled in the art, and the invention is only defined by the scope of the claims.

본 발명의 실시예를 설명하기 위한 도면에 개시된 형상, 크기, 비율, 각도, 개수 등은 예시적인 것이므로 본 발명이 도시된 사항에 한정되는 것은 아니다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다. 또한, 본 발명을 설명함에 있어서, 관련된 공지 기술에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우 그 상세한 설명은 생략한다. 본 명세서 상에서 언급한 '포함한다', '갖는다', '이루어진다' 등이 사용되는 경우 '~만'이 사용되지 않는 이상 다른 부분이 추가될 수 있다. 구성 요소를 단수로 표현한 경우에 특별히 명시적인 기재 사항이 없는 한 복수를 포함하는 경우를 포함한다.The shapes, sizes, ratios, angles, numbers, and the like disclosed in the drawings for describing the embodiments of the present invention are illustrative, and thus the present invention is not limited thereto. Like reference numerals refer to like elements throughout the specification. In the following description, well-known functions or constructions are not described in detail since they would obscure the invention in unnecessary detail. In the case where the word 'includes', 'having', 'done', etc. are used in this specification, other parts can be added unless '~ only' is used. Unless the context clearly dictates otherwise, including the plural unless the context clearly dictates otherwise.

구성 요소를 해석함에 있어서, 별도의 명시적 기재가 없더라도 오차 범위를 포함하는 것으로 해석한다.In interpreting the constituent elements, it is construed to include the error range even if there is no separate description.

위치 관계에 대한 설명일 경우, 예를 들어, '~상에', '~상부에', '~하부에', '~옆에' 등으로 두 부분의 위치 관계가 설명되는 경우, '바로' 또는 '직접'이 사용되지 않는 이상 두 부분 사이에 하나 이상의 다른 부분이 위치할 수도 있다.In the case of a description of the positional relationship, for example, if the positional relationship between two parts is described as 'on', 'on top', 'under', and 'next to' Or " direct " is not used, one or more other portions may be located between the two portions.

시간 관계에 대한 설명일 경우, 예를 들어, '~후에', '~에 이어서', '~다음에', '~전에' 등으로 시간적 선후 관계가 설명되는 경우, '바로' 또는 '직접'이 사용되지 않는 이상 연속적이지 않은 경우도 포함할 수 있다.In the case of a description of a temporal relationship, for example, if the temporal relationship is described by 'after', 'after', 'after', 'before', etc., May not be continuous unless they are not used.

제1, 제2 등이 다양한 구성요소들을 서술하기 위해서 사용되나, 이들 구성요소들은 이들 용어에 의해 제한되지 않는다. 이들 용어들은 단지 하나의 구성 요소를 다른 구성요소와 구별하기 위하여 사용하는 것이다. 따라서, 이하에서 언급되는 제1 구성요소는 본 발명의 기술적 사상 내에서 제2 구성요소일 수도 있다.The first, second, etc. are used to describe various components, but these components are not limited by these terms. These terms are used only to distinguish one component from another. Therefore, the first component mentioned below may be the second component within the technical spirit of the present invention.

본 발명의 여러 실시예들의 각각 특징들이 부분적으로 또는 전체적으로 서로 결합 또는 조합 가능하고, 기술적으로 다양한 연동 및 구동이 가능하며, 각 실시예들이 서로에 대하여 독립적으로 실시 가능할 수도 있고 연관 관계로 함께 실시할 수도 있다. It is to be understood that each of the features of the various embodiments of the present invention may be combined or combined with each other, partially or wholly, technically various interlocking and driving, and that the embodiments may be practiced independently of each other, It is possible.

이하, 도면을 참조로 본 발명의 바람직한 실시예에 대해서 상세히 설명하기로 한다. Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the drawings.

도 2는 본 발명의 일 실시예에 따른 원자층 증착 방법에서 시간에 따라 챔버 내에 가스를 공급하는 과정을 보여주는 가스 공급 사이클을 도시한 것이다. FIG. 2 illustrates a gas supply cycle showing the process of supplying gas into the chamber with time in the atomic layer deposition method according to one embodiment of the present invention.

우선, 챔버 내에 소스 가스(S)를 공급하여 기판 상에 소스 가스로 이루어진 원자층을 형성하다. 상기 소스 가스는 최종적으로 얻고자 하는 박막에 따라 다양하게 변경될 수 있다. 예를 들어, 최종적으로 얻고자 하는 박막이 실리콘 산화물 또는 실리콘 질화물과 같은 실리콘계 화합물인 경우, 상기 소스 가스로는 실란(Silane; SiH4), 디실란(Disilane; Si2H6), 트리실란(Trisilane; Si3H8), TEOS(Tetraethylorthosilicate), DCS(Dichlorosilane), HCD(Hexachlorosilane), TriDMAS(Tri-dimethylaminosilane) 및 TSA(Trisilylamine), HMDSO(Hexamethyldisiloxane), 및 HMDSN(Hexamethyldisilazane)로 이루어진 가스 중 적어도 하나의 가스를 이용할 수 있지만, 반드시 그에 한정되는 것은 아니다. First, a source gas S is supplied into the chamber to form an atomic layer made of source gas on the substrate. The source gas may be variously changed depending on the thin film to be finally obtained. For example, when the thin film to be finally obtained is a silicon compound such as silicon oxide or silicon nitride, the source gas may include silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane Si 3 H 8 ), TEOS (Tetraethylorthosilicate), DCS (Dichlorosilane), HCD (Hexachlorosilane), TriDMAS (Tri-dimethylaminosilane) and TSA (Trisilylamine), HMDSO (Hexamethyldisiloxane) and HMDSN (Hexamethyldisilazane) Gas, but it is not necessarily limited thereto.

다음, 상기 소스 가스(S)의 공급을 차단한 후, 상기 챔버 내에 퍼지 가스(Purge Gas; P)를 공급하여 상기 챔버 내의 소스 가스(S)를 제거한다. 상기 퍼지 가스는 질소(N2), 아르곤(Ar), 제논(Ze), 및 헬륨(He) 등과 같은 당업계에 공지된 다양한 퍼지 가스를 이용할 수 있다. Next, after the supply of the source gas S is cut off, purge gas (P) is supplied into the chamber to remove the source gas S in the chamber. The purge gas may be various purge gases known in the art such as nitrogen (N 2), argon (Ar), xenon (Ze), and helium (He)

상기 소스 가스(S)의 공급을 차단하는 시점과 상기 퍼지 가스(Purge Gas; P)의 공급을 개시하는 시점을 일치하는 것이 공정효율상 바람직할 수 있지만, 반드시 그에 한정되는 것은 아니다. It is preferable that the time point at which the supply of the source gas S is interrupted and the time point at which the supply of the purge gas P start are coincident with each other in terms of process efficiency.

다음, 상기 퍼지 가스(P)의 공급을 차단한 후, 상기 챔버 내에 반응 가스(R)를 공급하여 상기 기판 상에 상기 소스 가스로 이루어진 원자층과 상기 반응 가스(R) 사이에 표면 반응을 시킨다. 상기 반응 가스는 최종적으로 얻고자 하는 박막에 따라 다양하게 변경될 수 있다. 예를 들어, 최종적으로 얻고자 하는 박막이 실리콘 질화물인 경우, 상기 반응 가스로는 암모니아(NH3) 또는 질소(N2)와 같은 질소 함유 가스를 이용할 수 있다. Next, after the supply of the purge gas (P) is stopped, a reactive gas (R) is supplied into the chamber to cause a surface reaction between the atomic layer made of the source gas and the reactive gas (R) . The reaction gas may be variously changed depending on the thin film to be finally obtained. For example, when the thin film to be finally obtained is silicon nitride, a nitrogen-containing gas such as ammonia (NH 3 ) or nitrogen (N 2 ) may be used as the reaction gas.

상기 퍼지 가스(P)의 공급을 차단하는 시점과 상기 반응 가스(R)의 공급을 개시하는 시점을 일치하는 것이 공정효율상 바람직할 수 있지만, 반드시 그에 한정되는 것은 아니다. It is preferable that the time point at which the supply of the purge gas P is interrupted and the point at which the supply of the reactive gas R are started is preferable from the viewpoint of process efficiency.

다음, 상기 반응 가스(R)의 공급을 차단한 후, 상기 챔버 내에 퍼지 가스(Purge Gas; P)를 공급하여 상기 챔버 내의 반응 가스(R)를 제거한다. 상기 퍼지 가스는 질소(N2), 아르곤(Ar), 제논(Ze), 및 헬륨(He) 등과 같은 당업계에 공지된 다양한 퍼지 가스를 이용할 수 있다. Next, the supply of the reaction gas (R) is stopped, and then purge gas (P) is supplied into the chamber to remove the reaction gas (R) in the chamber. The purge gas may be various purge gases known in the art such as nitrogen (N 2), argon (Ar), xenon (Ze), and helium (He)

상기 반응 가스(R)의 공급을 차단하는 시점과 상기 퍼지 가스(P)의 공급을 개시하는 시점을 일치하는 것이 공정효율상 바람직할 수 있지만, 반드시 그에 한정되는 것은 아니다. It is preferable that the timing of interrupting the supply of the reaction gas R and the timing of starting the supply of the purge gas P are preferable from the viewpoint of process efficiency.

본 발명의 일 실시예에 따르면, 상기 소스 가스(S)를 공급하는 동안, 상기 퍼지 가스(P)를 공급하여 상기 소스 가스(S)를 제거하는 동안, 상기 반응 가스(R)를 공급하는 동안, 그리고, 상기 퍼지 가스(P)를 공급하여 상기 반응 가스(R)를 제거하는 동안에 플라즈마를 발생시킨다. 상기 플라즈마를 발생시키는 시점은 상기 소스 가스(S)를 공급하는 시점과 일치하게 되며, 상기 발생된 플라즈마는 공정 진행 중에 계속해서 유지된다. According to an embodiment of the present invention, during the supply of the source gas S, while the purge gas P is supplied to remove the source gas S, during the supply of the reactive gas R And the plasma is generated while the purge gas P is supplied to remove the reaction gas R. The time point at which the plasma is generated coincides with the time point at which the source gas S is supplied, and the generated plasma is continuously maintained during the process.

이와 같이, 본 발명의 일 실시예에 따르면, 가스 공급 사이클을 수행하는 모든 과정에서 플라즈마를 생성시킴으로써 증착 속도를 증가시켜 원자층 증착 방법의 단점인 낮은 증착속도 문제를 개선할 수 있다. 상기 플라즈마는 RF전원을 이용하여 생성시킬 수 있지만, 반드시 그에 한정되는 것은 아니고, 당업계에 공지된 다양한 전원을 이용하여 생성시킬 수 있다. Thus, according to one embodiment of the present invention, the deposition rate can be increased by generating plasma in all the processes of performing the gas supply cycle, thereby improving the low deposition rate problem, which is a disadvantage of the atomic layer deposition method. The plasma may be generated using an RF power source, but it is not limited thereto and may be generated using various power sources known in the art.

즉, 본 발명의 일 실시예에 따르면, 상기 소스 가스(S) 공급시 플라즈마를 생성함으로써 상기 소스 가스(S)로 이루어진 원자층을 기판 상에 보다 빠르게 형성할 수 있고, 또한, 상기 반응 가스(R) 공급시 플라즈마를 생성함으로써 상기 소스 가스(S)로 이루어진 원자층과 상기 반응 가스(R) 사이의 반응속도를 향상시킬 수 있다. That is, according to an embodiment of the present invention, an atomic layer made of the source gas S can be formed on the substrate more quickly by generating the plasma when the source gas S is supplied, R), the reaction rate between the atomic layer made of the source gas (S) and the reactive gas (R) can be improved.

또한, 본 발명의 일 실시예에 따르면, 가스 공급 사이클을 수행하는 모든 과정에서 플라즈마를 생성시킴으로써, 상기 플라즈마를 안정적으로 유지할 수 있는 장점이 있다. 예를 들어, 상기 퍼지 가스(P)를 공급하는 동안에는 상기 플라즈마를 생성시키지 않고 상기 소스 가스(S)를 공급하는 동안 및 상기 반응 가스(R)를 공급하는 동안에만 상기 플라즈마를 생성시키게 되면, 상기 플라즈마의 생성과 차단을 반복해야 하기 때문에 상기 플라즈마를 안정적으로 생성시키는데 한계가 있고 그에 따라 빠른 시간 내에 균일한 양질의 박막을 형성하지 못할 수 있다. 그에 반하여, 본 발명의 일 실시예에 따르면, 가스 공급 사이클을 수행하는 모든 과정에서 플라즈마를 생성시킴으로써, 상기 플라즈마를 안정적으로 유지할 수 있어 빠른 시간 내에 균일한 양질의 박막을 얻을 수 있다. Also, according to the embodiment of the present invention, plasma is generated in all the processes of performing the gas supply cycle, so that the plasma can be stably maintained. For example, when the purge gas P is supplied, the plasma is generated only during the supply of the source gas S and during the supply of the reaction gas R without generating the plasma, It is necessary to repeatedly generate and block the plasma. Therefore, there is a limit to stably generate the plasma, and accordingly, a uniform high-quality thin film may not be formed within a short period of time. On the other hand, according to the embodiment of the present invention, plasma is generated in all the processes of performing the gas supply cycle, so that the plasma can be stably maintained, so that a uniform high-quality thin film can be obtained in a short time.

또한, 본 발명의 일 실시예에 따르면, 상기 소스 가스(S)의 공급을 차단한 이후 상기 퍼지 가스(P)를 공급하는 동안에도 상기 플라즈마를 생성시킴으로써, 상기 소스 가스(S)로 이루어진 원자층의 표면에 대한 개질 효과를 얻을 수 있고 또한 상기 원자층의 표면 균일도가 향상될 수 있다. According to an embodiment of the present invention, by generating the plasma even while supplying the purge gas (P) after the supply of the source gas (S) is cut off, the atomic layer And the surface uniformity of the atomic layer can be improved.

또한, 본 발명의 일 실시예에 따르면, 상기 반응 가스(R)의 공급을 차단한 이후 상기 퍼지 가스(P)를 공급하는 동안에도 상기 플라즈마를 생성시킴으로써, 상기 소스 가스(S)로 이루어진 원자층과 상기 반응 가스(R)가 반응하여 생성된 원자층의 표면에 대한 개질 효과 및 표면 균일도 향상 효과를 얻을 수 있다. According to an embodiment of the present invention, by generating the plasma even during the supply of the purge gas (P) after the supply of the reactive gas (R) is stopped, the atomic layer And the reaction gas (R) are reacted with each other, the effect of modifying the surface of the atomic layer and improving the surface uniformity can be obtained.

도 3은 본 발명의 다른 실시예에 따른 원자층 증착 방법에서 시간에 따라 챔버 내에 가스를 공급하는 과정을 보여주는 가스 공급 사이클을 도시한 것이다. FIG. 3 illustrates a gas supply cycle showing the process of supplying gas into the chamber with time in the atomic layer deposition method according to another embodiment of the present invention.

우선, 챔버 내에 소스 가스(S)를 공급하여 기판 상에 소스 가스로 이루어진 원자층을 형성하다. 상기 소스 가스는 전술한 실시예와 마찬가지로 최종적으로 얻고자 하는 박막에 따라 다양하게 변경될 수 있다. First, a source gas S is supplied into the chamber to form an atomic layer made of source gas on the substrate. The source gas may be variously changed depending on the thin film to be finally obtained as in the above-described embodiment.

다음, 상기 소스 가스(S)의 공급을 차단한 후, 상기 챔버 내에 반응 가스(R)를 공급하여 상기 기판 상에 상기 소스 가스로 이루어진 원자층과 상기 반응 가스(R) 사이에 표면 반응을 시킨다. 상기 반응 가스도 전술한 실시예와 마찬가지로 최종적으로 얻고자 하는 박막에 따라 다양하게 변경될 수 있다. Next, after the supply of the source gas S is cut off, a reaction gas (R) is supplied into the chamber to cause a surface reaction between the atomic layer made of the source gas and the reaction gas (R) on the substrate . Like the above-described embodiment, the reaction gas can be variously changed depending on the thin film to be finally obtained.

상기 소스 가스(S)의 공급을 차단하는 시점과 상기 반응 가스(R)의 공급을 개시하는 시점은 서로 일치하지 않는다. 즉, 상기 소스 가스(S)의 공급을 차단한 이후 소정 시간이 지난 시점에서 상기 반응 가스(R)의 공급을 개시한다. 이는 기판 상에 잔존하는 소스 가스(S)를 제거한 후 상기 반응 가스(R)를 공급하기 위함으로써, 상기 소스 가스(S)의 공급을 차단하는 시점과 상기 반응 가스(R)의 공급을 개시하는 시점 사이에는 상기 기판 상에 잔존하는 소스 가스(S)를 제거하기 위해서 상기 챔버 내에 퍼지 가스(Purge Gas; P)를 공급하게 된다. The timing at which the supply of the source gas S is stopped and the timing at which the supply of the reactive gas R are started do not coincide with each other. That is, the supply of the reaction gas R is started at a predetermined time after the supply of the source gas S is cut off. This is because the source gas S remaining on the substrate is removed and then the reaction gas R is supplied so that the supply of the source gas S is stopped and the supply of the reaction gas R is started A purge gas (P) is supplied into the chamber to remove the source gas (S) remaining on the substrate.

본 발명의 다른 실시예에 따르면, 상기 소스 가스(S)를 공급하는 동안, 상기 반응 가스(R)를 공급하는 동안, 그리고 상기 소스 가스(S)의 공급과 상기 반응 가스(R)의 공급 사이의 시간 동안에 상기 챔버 내에 퍼지 가스(Purge Gas; P)를 공급한다. 상기 퍼지 가스를 공급하는 시점은 상기 소스 가스(S)를 공급하는 시점과 일치하게 되며, 상기 퍼지 가스는 공정 진행 중에 계속해서 공급된다. According to another embodiment of the present invention, during the supply of the source gas S and during the supply of the reaction gas R and between the supply of the source gas S and the supply of the reaction gas R, Purge gas (P) is supplied into the chamber for a period of time equal to or longer than a predetermined time. The time point at which the purge gas is supplied corresponds to the time point at which the source gas S is supplied, and the purge gas is continuously supplied during the process.

또한, 본 발명의 다른 실시예에 따르면, 상기 소스 가스(S)를 공급하는 동안, 상기 반응 가스(R)를 공급하는 동안, 그리고 상기 소스 가스(S)의 공급과 상기 반응 가스(R)의 공급 사이의 시간 동안에 플라즈마를 발생시킨다. 상기 플라즈마를 발생시키는 시점은 상기 소스 가스(S)를 공급하는 시점과 일치하게 되며, 상기 발생된 플라즈마는 공정 진행 중에 계속해서 유지된다. According to another embodiment of the present invention, during the supply of the source gas S, the supply of the source gas S and the supply of the source gas S, Thereby generating a plasma during the time between supply. The time point at which the plasma is generated coincides with the time point at which the source gas S is supplied, and the generated plasma is continuously maintained during the process.

이와 같이, 본 발명의 다른 실시예에 따르면, 가스 공급 사이클을 수행하는 모든 과정에서 퍼지 가스를 공급하고 플라즈마를 생성시킴으로써 증착 속도를 증가시켜 원자층 증착 방법의 단점인 낮은 증착속도 문제를 개선할 수 있다. Thus, according to another embodiment of the present invention, the vapor deposition rate can be increased by supplying the purge gas and generating the plasma in all the processes of performing the gas supply cycle to improve the low deposition rate problem, which is a disadvantage of the atomic layer deposition method have.

즉, 본 발명의 다른 실시예에 따르면, 상기 소스 가스(S) 공급시 플라즈마를 생성함으로써 상기 소스 가스(S)로 이루어진 원자층을 기판 상에 보다 빠르게 형성할 수 있고, 또한, 상기 반응 가스(R) 공급시 플라즈마를 생성함으로써 상기 소스 가스(S)로 이루어진 원자층과 상기 반응 가스(R) 사이의 반응속도를 향상시킬 수 있다. That is, according to another embodiment of the present invention, an atomic layer made of the source gas S can be formed on the substrate more quickly by generating the plasma when the source gas S is supplied, R), the reaction rate between the atomic layer made of the source gas (S) and the reactive gas (R) can be improved.

또한, 본 발명의 다른 실시예에 따르면, 가스 공급 사이클을 수행하는 모든 과정에서 플라즈마를 생성시킴으로써, 상기 플라즈마를 안정적으로 유지할 수 있는 장점이 있다. In addition, according to another embodiment of the present invention, plasma is generated in all the processes of performing the gas supply cycle, so that the plasma can be stably maintained.

또한, 본 발명의 다른 실시예에 따르면, 상기 퍼지 가스(P)를 공급하면서 상기 플라즈마를 생성시키기 때문에, 상기 소스 가스(S)로 이루어진 원자층의 표면에 대한 개질 효과 및 상기 반응 가스(R)가 반응하여 생성된 원자층의 표면에 대한 개질 효과를 얻을 수 있고, 최종 박막의 표면 균일도도 향상될 수 있다. According to another embodiment of the present invention, since the plasma is generated while supplying the purge gas (P), the effect of modifying the surface of the atomic layer made of the source gas (S) And the surface uniformity of the final thin film can be improved.

도 4는 본 발명의 일 실시예에 따른 원자층 증착 장비의 개략적인 단면도이고, 도 5는 본 발명의 일 실시예에 따른 원자층 증착 장비에 적용되는 제1 전극과 제2 전극의 분해 사시도이다. 도 4 및 도 5는 전술한 도 2 및 도 3에 따른 원자층 증착 방법을 수행하기 위한 일 예이다. FIG. 4 is a schematic cross-sectional view of an atomic layer deposition apparatus according to an embodiment of the present invention, and FIG. 5 is an exploded perspective view of a first electrode and a second electrode applied to an atomic layer deposition apparatus according to an embodiment of the present invention . FIGS. 4 and 5 are examples for carrying out the atomic layer deposition method according to FIGS. 2 and 3 described above.

도 4 및 도 5에서 알 수 있듯이, 본 발명의 일 실시예에 따른 원자층 증착 장비는 공정 챔버(100), 챔버 리드(110), 기판 지지대(120), 구동 장치(130), 벨로우즈(140), 배기관(150), 제1 전극(210), 제2 전극(220), 전극 커버(230), 제1 가스 분사 라인(310), 및 제2 가스 분사 라인(320)을 포함하여 이루어진다. 4 and 5, the atomic layer deposition apparatus according to one embodiment of the present invention includes a process chamber 100, a chamber lid 110, a substrate support 120, a driving device 130, a bellows 140 A first electrode 210, a second electrode 220, an electrode cover 230, a first gas injection line 310, and a second gas injection line 320.

상기 공정 챔버(100)는 원자층 증착과 같은 박막 증착이 이루어지는 반응 공간을 제공한다. The process chamber 100 provides a reaction space in which thin film deposition occurs, such as atomic layer deposition.

상기 챔버 리드(110)는 상기 공정 챔버(100)의 상부에 연결되어 상기 반응 공간을 밀폐시킨다. The chamber lid 110 is connected to the upper portion of the process chamber 100 to seal the reaction space.

상기 기판 지지대(120)는 상기 공정 챔버(100) 내부에 설치되어 그 위에 기판(S)을 지지한다. 상기 기판 지지대(120)는 회전 가능하게 설치될 수 있다. 상기 기판 지지대(120)는 전기적으로 플로팅(Floating)될 수도 있고 접지(ground)될 수도 있다. 상기 기판 지지대(120)는 공정 챔버(100)의 바닥면을 관통하는 지지축(125)에 의해 지지된다.The substrate support 120 is installed inside the process chamber 100 to support the substrate S thereon. The substrate support 120 may be rotatably installed. The substrate support 120 may be electrically floated or grounded. The substrate support 120 is supported by a support shaft 125 passing through the bottom surface of the process chamber 100.

상기 구동 장치(130)는 상기 지지축(125)에 연결되어 상기 기판 지지대(120)를 승강시키거나 회전시키도록 구성될 수 있다. The driving unit 130 may be connected to the support shaft 125 to elevate or rotate the substrate support 120.

상기 벨로우즈(140)는 상기 공정 챔버(100)의 하면에 설치되어 상기 지지축(125)을 밀폐시킨다.The bellows 140 is installed on the lower surface of the process chamber 100 to seal the support shaft 125.

상기 배기관(150)은 상기 공정 챔버(100)의 바닥면 또는 측면에 설치되어 상기 공정 챔버(100) 내의 소스 가스 및 반응 가스 등을 배기시킨다. The exhaust pipe 150 is installed on a bottom surface or a side surface of the process chamber 100 to exhaust a source gas and a reactive gas in the process chamber 100.

상기 제1 전극(210)과 상기 제2 전극(220)은 상기 공정 챔버(100) 위쪽에 설치되어 상기 공정 챔버(100) 내부로 소스 가스 또는 반응 가스가 공급될 수 있도록 한다. 상기 제1 전극(210)과 상기 제2 전극(220) 중 어느 하나에는 플라즈마 전원선이 연결되고 나머지 하나는 접지되어 상기 공급된는 소스 가스 또는 반응 가스에 대해서 플라즈마를 생성시킬 수 있다. 상기 제1 전극(210) 또는 상기 제2 전극(220)에 연결되는 플라즈마 전원은 LF(Low Frequency) 전력, MF(Middle Frequency), HF(High Frequency) 전력, 또는 VHF(Very High Frequency) 전력과 같은 RF전력을 이용할 수 있지만, 반드시 그에 한정되는 것은 아니다. The first electrode 210 and the second electrode 220 are provided above the process chamber 100 so that a source gas or a reactive gas can be supplied into the process chamber 100. One of the first electrode 210 and the second electrode 220 may be connected to a plasma power source and the other may be grounded to generate a plasma with respect to the source gas or the reactive gas. The plasma power source connected to the first electrode 210 or the second electrode 220 may be a low frequency (HF) power, a middle frequency (MF), a high frequency (HF) The same RF power can be used, but it is not necessarily limited thereto.

상기 제1 전극(210)에는 복수 개의 관통홀(215)이 구비되어 있고, 상기 제2 전극(220)에는 상기 관통홀(215) 내로 삽입될 수 있는 복수 개의 돌출부(225)가 구비되어 있다. 또한, 상기 제2 전극(220)에는 제1 가스 공급홀(221) 및 제2 가스 공급홀(226)이 구비되어 있다. 상기 제1 가스 공급홀(221)은 상기 돌출부(225)의 주변에 구비되어 있으며 특히 상기 제1 전극(210)의 관통홀(215)을 통해 반응 공간과 연통되어 있다. 상기 제2 가스 공급홀(226)은 상기 돌출부(225) 내에 구비되어 있으며 따라서 제2 가스 공급홀(226)도 반응 공간과 연통되어 있다. 이와 같은 구조에 의해서, 소스 가스와 같은 제1 가스가 상기 제1 가스 공급홀(221)을 통해서 반응 공간으로 공급되고, 반응 가스와 같은 제2 가스가 상기 제2 가스 공급홀(226)을 통해서 반응 공간으로 공급되며, 이때, 상기 제1 가스와 상기 제2 가스가 독립적으로 반응 공간으로 공급될 수 있다. The first electrode 210 is provided with a plurality of through holes 215 and the second electrode 220 is provided with a plurality of protrusions 225 which can be inserted into the through holes 215. The second electrode 220 is provided with a first gas supply hole 221 and a second gas supply hole 226. The first gas supply hole 221 is provided around the protrusion 225 and communicates with the reaction space through the through hole 215 of the first electrode 210. The second gas supply hole 226 is provided in the protrusion 225 so that the second gas supply hole 226 is also in communication with the reaction space. With this structure, a first gas such as a source gas is supplied to the reaction space through the first gas supply hole 221, and a second gas such as a reaction gas is supplied through the second gas supply hole 226 And the first gas and the second gas may be independently supplied to the reaction space.

상기 전극 커버(230)는 상기 제2 전극(220)과 소정 간격으로 형성되면서, 제1 가스가 상기 제1 가스 분사 라인(310)을 통해서 상기 제1 가스 공급홀(221)로 이동하고, 제2 가스가 상기 제2 가스 분사 라인(320)을 통해서 상기 제2 가스 공급홀(226)로 이동하도록 구비된다. 따라서, 상기 전극 커버(230)에는 상기 제1 가스 분사 라인(310) 및 상기 제2 가스 분사 라인(320) 각각과 연통되는 연통홀을 구비하고 있다. 또한, 상기 제2 가스가 제1 가스가 서로 섞이지 않도록 하기 위해서, 상기 전극 커버(230)와 상기 제2 전극(220) 사이에는 제2 가스의 이송관(235)이 구비되어 있다. 상기 제2 가스의 이송관(235)은 제2 가스 분사 라인(320) 및 상기 돌출부(225) 내의 상기 제2 가스 공급홀(226)과 각각 연통되어 있다. The electrode cover 230 is formed at a predetermined distance from the second electrode 220 so that the first gas moves to the first gas supply hole 221 through the first gas injection line 310, 2 gas to flow into the second gas supply hole 226 through the second gas injection line 320. Therefore, the electrode cover 230 is provided with a communication hole communicating with the first gas injection line 310 and the second gas injection line 320, respectively. A second gas transfer pipe 235 is provided between the electrode cover 230 and the second electrode 220 to prevent the first gas from mixing with the second gas. The second gas transfer pipe 235 communicates with the second gas injection line 320 and the second gas supply hole 226 in the protrusion 225, respectively.

상기 제1 가스 분사 라인(310) 및 상기 제2 가스 분사 라인(320)은 상기 챔버 리드(110)를 관통하여 형성된다. The first gas injection line 310 and the second gas injection line 320 are formed through the chamber lid 110.

상기 제1 가스 분사 라인(310)은 상기 제1 가스 공급홀(221)과 연통되도록 형성되고, 상기 제2 가스 분사 라인(320)은 상기 제2 가스 공급홀(226)과 연통되도록 형성된다. 상기 제1 가스 분사 라인(310)을 통해 제공되는 제1 가스와 상기 제2 가스 분사 라인(320)을 통해 제공되는 제2 가스는 서로 섞이지 않으면서 각각 상기 제1 가스 공급홀(221)과 상기 제2 가스 공급홀(226)을 통해 개별적으로 반응 공간으로 공급될 수 있다. The first gas injection line 310 is formed to communicate with the first gas supply hole 221 and the second gas injection line 320 is formed to communicate with the second gas supply hole 226. The first gas supplied through the first gas injection line 310 and the second gas supplied through the second gas injection line 320 are supplied to the first gas supply hole 221 and the second gas supply line 221, And can be separately supplied to the reaction space through the second gas supply holes 226.

본 발명의 일 실시예에 따르면, 상기 제1 가스 분사 라인(310)과 상기 제2 가스 분사 라인(320)을 통해서 소스 가스(S), 반응 가스(R), 및 퍼지 가스(P)를 공급한다. 예를 들어, 상기 제1 가스 분사 라인(310)을 통해서 상기 소스 가스(S)가 공급되고, 상기 제2 가스 분사 라인(320)을 통해서 상기 반응 가스(R)가 공급될 수 있지만, 반드시 그에 한정되는 것은 아니다. 또한, 상기 제1 가스 분사 라인(310)과 상기 제2 가스 분사 라인(320)을 통해서 상기 퍼지 가스(P)가 공급될 수 있지만, 반드시 그에 한정되는 것은 아니다. 상기 퍼지 가스(P)를 위한 별도의 가스 분사 라인을 구비하는 것도 가능하다. According to an embodiment of the present invention, the source gas S, the reactive gas R, and the purge gas P are supplied through the first gas injection line 310 and the second gas injection line 320 do. For example, the source gas S may be supplied through the first gas injection line 310 and the reaction gas R may be supplied through the second gas injection line 320, But is not limited thereto. The purge gas P may be supplied through the first gas injection line 310 and the second gas injection line 320, but is not limited thereto. It is also possible to provide a separate gas injection line for the purge gas (P).

도 4 및 도 5에 따른 원자층 증착 장비는 전술한 도 2 및 도 3에 따른 원자층 증착 방법을 수행하기 위한 일 예에 해당하는 것으로서, 본 발명에 따른 원자층 증착 방법이 반드시 도 4 및 도 5에 따른 장비로만 수행되는 것은 아니다. The atomic layer deposition apparatus according to FIGS. 4 and 5 corresponds to one example of the atomic layer deposition method according to the above-described FIGS. 2 and 3, This is not the case only with equipment according to 5.

이상 첨부된 도면을 참조하여 본 발명의 실시예들을 더욱 상세하게 설명하였으나, 본 발명은 반드시 이러한 실시예로 국한되는 것은 아니고, 본 발명의 기술사상을 벗어나지 않는 범위 내에서 다양하게 변형 실시될 수 있다. 따라서, 본 발명에 개시된 실시예들은 본 발명의 기술 사상을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시예에 의하여 본 발명의 기술 사상의 범위가 한정되는 것은 아니다. 그러므로, 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. 본 발명의 보호 범위는 청구 범위에 의하여 해석되어야 하며, 그와 동등한 범위 내에 있는 모든 기술 사상은 본 발명의 권리 범위에 포함되는 것으로 해석되어야 할 것이다.Although the embodiments of the present invention have been described in detail with reference to the accompanying drawings, it is to be understood that the present invention is not limited to those embodiments and various changes and modifications may be made without departing from the scope of the present invention. . Therefore, the embodiments disclosed in the present invention are intended to illustrate rather than limit the scope of the present invention, and the scope of the technical idea of the present invention is not limited by these embodiments. Therefore, it should be understood that the above-described embodiments are illustrative in all aspects and not restrictive. The scope of protection of the present invention should be construed according to the claims, and all technical ideas within the scope of equivalents should be interpreted as being included in the scope of the present invention.

100: 공정 챔버 120: 기판 지지대
210: 제1 전극 220: 제2 전극
310: 제1 가스 분사 라인 320: 제2 가스 분사 라인
100: process chamber 120: substrate support
210: first electrode 220: second electrode
310: first gas injection line 320: second gas injection line

Claims (8)

챔버 내부의 반응 공간에 소스 가스를 공급하는 제1 공정;
상기 챔버 내부의 반응 공간에서 상기 소스 가스를 제거하는 제2 공정;
상기 챔버 내부의 반응 공간에 반응 가스를 공급하는 제3 공정; 및
상기 챔부 내부의 반응 공간에서 상기 반응 가스를 제거하는 제4 공정을 포함하여 이루어지고,
상기 제1 공정, 상기 제2 공정, 상기 제3 공정, 및 상기 제4 공정을 수행하는 동안 상기 반응 공간 내에 연속적으로 플라즈마를 생성시키는 것을 특징으로 하는 박막 증착 방법.
A first step of supplying a source gas into a reaction space inside the chamber;
A second step of removing the source gas in a reaction space inside the chamber;
A third step of supplying a reaction gas to the reaction space inside the chamber; And
And a fourth step of removing the reaction gas from the reaction space inside the chamber,
Wherein the plasma is continuously generated in the reaction space during the first process, the second process, the third process, and the fourth process.
제1항에 있어서,
상기 제2 공정은 상기 챔버 내부의 반응 공간에 퍼지 가스를 공급하는 공정으로 이루어진 것을 특징으로 하는 박막 증착 방법.
The method according to claim 1,
Wherein the second step comprises supplying purge gas to the reaction space inside the chamber.
제2항에 있어서,
상기 퍼지 가스를 공급하는 공정은 상기 소스 가스의 공급을 차단한 이후 및 상기 반응 가스를 공급하기 이전에 수행하고,
상기 제3 공정은 상기 퍼지 가스의 공급을 차단한 이후에 수행하는 것을 특징으로 하는 박막 증착 방법.
3. The method of claim 2,
Wherein the purge gas is supplied after the supply of the source gas is cut off and before the supply of the reaction gas,
Wherein the third step is performed after the supply of the purge gas is cut off.
제1항에 있어서,
상기 플라즈마를 생성시키는 시점은 상기 소스 가스를 공급하는 시점과 일치하는 것을 특징으로 하는 박막 증착 방법.
The method according to claim 1,
Wherein a time point at which the plasma is generated corresponds to a timing at which the source gas is supplied.
챔버 내부의 반응 공간에 소스 가스를 공급하는 제1 공정; 및
상기 챔버 내부의 반응 공간에 반응 가스를 공급하는 제2 공정을 포함하여 이루어지고,
상기 제1 공정 및 상기 제2 공정을 수행하는 동안 상기 반응 공간 내에 연속적으로 퍼지 가스를 공급하고 연속적으로 플라즈마를 생성시키는 것을 특징으로 하는 박막 증착 방법.
A first step of supplying a source gas into a reaction space inside the chamber; And
And a second step of supplying a reaction gas to a reaction space inside the chamber,
Wherein the purge gas is continuously supplied into the reaction space during the first process and the second process, and the plasma is continuously generated.
제5항에 있어서,
상기 제2 공정은 상기 소스 가스의 공급을 차단하고 소정 시간이 지난 후에 수행하는 것을 특징으로 하는 박막 증착 방법.
6. The method of claim 5,
Wherein the second step is performed after a predetermined time has elapsed after the supply of the source gas is cut off.
제6항에 있어서,
상기 제1 공정과 상기 제2 공정 사이의 시간 동안에 상기 퍼지 가스에 의해서 상기 챔버 내부의 반응 공간에서 상기 소스 가스가 제거되는 것을 특징으로 하는 박막 증착 방법.
The method according to claim 6,
Wherein the source gas is removed from the reaction space inside the chamber by the purge gas during a period of time between the first process and the second process.
제5항에 있어서,
상기 퍼지 가스를 공급하는 시점 및 상기 플라즈마를 생성시키는 시점은 상기 소스 가스를 공급하는 시점과 일치하는 것을 특징으로 하는 박막 증착 방법.
6. The method of claim 5,
Wherein a time point at which the purge gas is supplied and a time at which the plasma is generated correspond to a time point at which the source gas is supplied.
KR1020160083459A 2016-07-01 2016-07-01 Method of depositing a thin film KR20180003826A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020160083459A KR20180003826A (en) 2016-07-01 2016-07-01 Method of depositing a thin film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160083459A KR20180003826A (en) 2016-07-01 2016-07-01 Method of depositing a thin film

Publications (1)

Publication Number Publication Date
KR20180003826A true KR20180003826A (en) 2018-01-10

Family

ID=60998798

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160083459A KR20180003826A (en) 2016-07-01 2016-07-01 Method of depositing a thin film

Country Status (1)

Country Link
KR (1) KR20180003826A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200048024A (en) * 2018-10-29 2020-05-08 주식회사 무한 Method for processing substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200048024A (en) * 2018-10-29 2020-05-08 주식회사 무한 Method for processing substrate

Similar Documents

Publication Publication Date Title
US10622375B2 (en) Method of processing a substrate and a device manufactured by using the method
US10699903B2 (en) Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
KR102592699B1 (en) Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US9960033B1 (en) Method of depositing and etching Si-containing film
TWI688669B (en) Method of filling depressions
US8669185B2 (en) Method of tailoring conformality of Si-containing film
US20190333753A1 (en) PLASMA ENHANCED ATOMIC LAYER DEPOSITION (PEALD) OF SiN USING SILICON-HYDROHALIDE PRECURSORS
TW202026458A (en) Thin film deposition method with respect to substrate
US10900121B2 (en) Method of manufacturing semiconductor device and apparatus of manufacturing semiconductor device
KR102014877B1 (en) Substrate processing apparatus and substrate processing method
US11837445B2 (en) Substrate processing device and substrate processing method
TW201800597A (en) Film deposition method
KR101835755B1 (en) Manufacturing method for thin film and substrate process apparatus
US10483102B2 (en) Surface modification to improve amorphous silicon gapfill
KR20180003826A (en) Method of depositing a thin film
KR102125077B1 (en) Method of fabricating thin film using atomic layer deposition process
KR20190065934A (en) Method for forming a silicon nitride film and film forming apparatus
KR20170075163A (en) Gas distribution unit and atomic layer deposition apparatus having the gas distribution unit
US20230049118A1 (en) Substrate processing device and substrate processing method
KR102528178B1 (en) Method and Apparatus of forming a Low-K dielectric film
US20230142899A1 (en) Thin-film deposition method and system
KR102661733B1 (en) Apparatus for processing substrate using multiple plasma
KR20210055348A (en) Apparatus and Method for Deposition of Thin Film
KR102125076B1 (en) Method of fabricating thin film using atomic layer deposition process
KR102146793B1 (en) Apparatus for processing substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal