KR20170127358A - 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘 - Google Patents

다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘 Download PDF

Info

Publication number
KR20170127358A
KR20170127358A KR1020170052331A KR20170052331A KR20170127358A KR 20170127358 A KR20170127358 A KR 20170127358A KR 1020170052331 A KR1020170052331 A KR 1020170052331A KR 20170052331 A KR20170052331 A KR 20170052331A KR 20170127358 A KR20170127358 A KR 20170127358A
Authority
KR
South Korea
Prior art keywords
ring
gas
gas source
angle
substrate
Prior art date
Application number
KR1020170052331A
Other languages
English (en)
Other versions
KR102503328B1 (ko
Inventor
앤드류 스트라톤 브라보
조이딥 구하
자틴더 쿠마
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170127358A publication Critical patent/KR20170127358A/ko
Application granted granted Critical
Publication of KR102503328B1 publication Critical patent/KR102503328B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 프로세싱 시스템의 가스 분배 디바이스를 위한 측면 튜닝 링은 가스 분배 디바이스의 대면 플레이트에 인접한 제 1 링을 포함한다. 제 1 링은 대면 플레이트를 둘러싸고, 제 1 플레넘을 규정하고, 제 1 가스 소스와 연통하고, 그리고 제 1 가스 소스로부터 프로세스 챔버 내로 제 1 각도로 가스를 지향시키도록 배열된 제 1 복수의 홀들을 포함한다. 제 2 링은 제 1 링에 인접하다. 제 2 링은 제 1 링을 둘러싸고, 제 2 플레넘을 규정하고, 제 1 가스 소스 및 제 2 가스 소스 중 적어도 하나와 연통하고, 그리고 제 1 가스 소스 및 제 2 가스 소스 중 적어도 하나로부터 프로세스 챔버 내로 제 1 각도 또는 제 2 각도로 가스를 지향시키도록 배열된 제 2 복수의 홀들을 포함한다. 제 1 링 및 제 2 링은 가스 분배 디바이스의 대면 플레이트로부터 탈착가능하다.

Description

다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘{ADJUSTABLE SIDE GAS PLENUM FOR EDGE ETCH RATE CONTROL IN A DOWNSTREAM REACTOR}
본 개시는 기판 프로세싱, 보다 구체적으로 프로세스 재료들의 분배를 제어하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경 기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과, 뿐만 아니라 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼와 같은 기판 상에서 막을 에칭하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 프로세싱 챔버, 가스 분배 디바이스 및 기판 지지부를 포함한다. 프로세싱 동안, 기판은 기판 지지부 상에 배치된다. 상이한 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 그리고 RF (radio frequency) 플라즈마가 화학 반응들을 활성화시키도록 사용될 수도 있다.
가스 분배 디바이스 (예를 들어, 샤워헤드) 는 가스 분배 디바이스와 기판 사이에 고정 갭을 갖고 기판 지지부 위에 배치된다. 가스 분배 디바이스는 다양한 프로세스 단계들 동안 기판의 표면 위에 화학 반응물질들을 분배한다.
기판 프로세싱 시스템의 가스 분배 디바이스를 위한 측면 튜닝 링은 가스 분배 디바이스의 대면 플레이트에 인접한 제 1 링을 포함한다. 제 1 링은 대면 플레이트를 둘러싸고, 제 1 플레넘을 규정하고, 제 1 가스 소스와 연통하고, 그리고 제 1 가스 소스로부터 프로세스 챔버 내로 제 1 각도로 가스를 지향시키도록 배열된 제 1 복수의 홀들을 포함한다. 제 2 링은 제 1 링에 인접하다. 제 2 링은 제 1 링을 둘러싸고, 제 2 플레넘을 규정하고, 제 1 가스 소스 및 제 2 가스 소스 중 적어도 하나와 연통하고, 그리고 제 1 가스 소스 및 제 2 가스 소스 중 적어도 하나로부터 프로세스 챔버 내로 제 1 각도 또는 제 2 각도로 가스를 지향시키도록 배열된 제 2 복수의 홀들을 포함한다. 제 1 링 및 제 2 링은 가스 분배 디바이스의 대면 플레이트로부터 탈착가능하다.
기판 프로세싱 시스템의 가스 분배 디바이스를 동작시키기 위한 방법은 가스 분배 디바이스의 대면 플레이트에 인접한 제 1 링 및 제 1 링에 인접한 제 2 링을 가진 측면 튜닝 링을 제공하는 단계를 포함한다. 제 1 링은 대면 플레이트를 둘러싸고 제 1 플레넘을 규정하고 그리고 제 2 링은 제 1 링을 둘러싸고 제 2 플레넘을 규정한다. 방법은 제 1 가스 소스로부터 제 1 플레넘으로 제 1 가스를 제공하는 단계, 제 1 링을 사용하여, 제 1 가스 소스로부터 프로세스 챔버 내로 제 1 각도로 제 1 가스를 지향시키는 단계, 제 1 가스 소스로부터의 제 1 가스 및 제 2 가스 소스로부터의 제 2 가스 중 적어도 하나를 제 2 플레넘으로 제공하는 단계, 및 제 2 링을 사용하여, 프로세스 챔버 내로 제 1 각도 또는 제 2 각도로 제 1 가스 및 제 2 가스 중 적어도 하나를 지향시키는 단계를 더 포함한다.
본 개시의 적용 가능성의 추가의 영역들은 상세한 기술, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 기술 및 구체적인 예들은 오직 예시의 목적들을 위해 의도된 것이고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 예시적인 프로세싱 챔버의 기능적 블록도이다.
도 2는 가스 분배 디바이스의 예시적인 대면 플레이트이다.
도 3a 및 도 3b는 본 개시에 따른 예시적인 에칭 레이트들을 예시한다.
도 4는 본 개시에 따른 내측 링 및 외측 링을 포함한 예시적인 측면 튜닝 링이다.
도 5는 본 개시에 따른 예시적인 측면 튜닝 링의 상면도이다.
도 6a 내지 도 6h는 본 개시의 원리들에 따른 측면 튜닝 링의 예시적인 구성들을 예시한다.
도 7a, 도 7b, 및 도 7c는 본 개시의 원리들에 따른 측면 튜닝 링의 예시적인 장착 구성들을 예시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들 (elements) 을 식별하기 위해 재사용될 수도 있다.
기판 프로세싱 시스템 내에서 샤워헤드와 같은 가스 분배 디바이스는 기판의 표면 위에 화학 반응물질들 (예를 들어, 가스들) 을 분배한다. 기판은 가스 분배 디바이스 아래의 기판 지지부 상에 배치된다. 통상적으로, 가스 분배 디바이스는 대면 플레이트 위로부터 제공된 가스들을 분배하기 위한 복수의 개구부들 또는 홀들을 가진 대면 플레이트를 포함한다. 가스 분배는 이로 제한되지 않지만, 개구부들의 사이즈 및 밀도, 대면 플레이트 위의 플로우 균일성, 제공될 프로세스 가스들의 혼합, 가스들의 플로우 (예를 들어, 플로우 레이트들), 등을 포함한 다양한 요인들에 의해 영향을 받는다.
기판 위의 가스들의 균일한 분배 (즉, 플로우) 는 수행될 프로세스 단계의 정확도 및 효율에 상당히 영향을 준다. 따라서, 기판 균일성은 플로우 균일성에 의존적이다. 기판 균일성은 기판의 외측 구역, 또는 기판의 에지에서 플로우에 의해 영향을 더 받는다. 예를 들어, 기판의 외측 구역은 오로지 대면 플레이트의 외측 에지로부터 흐르는 프로세스 가스들과 상호작용할 수도 있다. 일부 예들에서, 대면 플레이트는 기판의 외측 구역으로 프로세스 가스들을 지향시키도록 구성된 외측 구역을 포함하고, 프로세스 가스들은 "측면 튜닝" 프로세스 가스들로서 지칭될 수도 있다.
다양한 피처들은 플로우 균일성 및 관련된 프로세싱을 개선하도록 가스들의 분배를 제어하게 구현될 수도 있다. 일부 예들에서, 대면 플레이트들은 교환 가능할 수도 있다. 예를 들어, 목표된 홀 패턴, 홀 사이즈, 등을 가진 대면 플레이트는 특정한 프로세스를 위해 선택 및 설치될 수도 있다. 그러나, 프로세스들 및/또는 프로세스 단계들 사이에서 대면 플레이트를 변화시키는 것은 생산성의 손실, 연장된 고장 시간들, 증가된 유지 보수 및 세정, 등을 야기할 수도 있다.
본 개시의 원리들에 따른 시스템들 및 방법들은 샤워헤드의 대면 플레이트의 외측 에지에 인접하게 배치된 각각의 플레넘들 및 2 개 이상의 링들을 포함한 외측 측면 튜닝 링을 제공한다. 측면 튜닝 링의 플레넘들은 각각의 소스들로부터 프로세스 가스들을 수용하도록 구성될 수도 있다. 프로세스 가스들은 동일하거나 상이할 수도 있고, 그리고 프로세스 가스들의 공급부는 동일하거나 상이할 수도 있다. 예를 들어, 제 1 프로세스 가스는 제 1 플로우 레이트로 제 1 외측 링 및 대응하는 플레넘 (내측 링 및/또는 내측 플레넘으로서 본 명세서에서 지칭될 수도 있음) 을 통해 제공될 수도 있고 반면에 제 2 프로세스 가스는 제 2 플로우 레이트로 제 2 외측 링 및 대응하는 플레넘을 통해 제공되고, 턴 오프 (turned off), 등이 된다. 링들 각각은 동일한 각도 또는 상이한 각도로 기판의 외측 에지로 각각의 프로세스 가스들을 지향시키도록 구성될 수도 있다. 외측 링들은 목표된 측면 튜닝 구성을 달성하도록 독립적으로 제거 및 교체되게 구성될 수도 있다. 즉, 외측 링들 및 플레넘들은 대면 플레이트의 내측 부분과 일체화되지 않고, 그리고 대면 플레이트로부터 그리고/또는 서로로부터 탈착가능할 수도 있다.
이제 도 1을 참조하면, 기판의 층을 에칭하기 위한 기판 프로세싱 챔버 (100) 의 예가 도시된다. 일부 예들에서, 층은 텅스텐 (W) 을 포함한다. 특정한 기판 프로세싱 챔버가 도시 및 기술되지만, 본 명세서에 기술된 방법들은 다른 타입들의 기판 프로세싱 시스템들 상에서 구현될 수도 있다.
기판 프로세싱 챔버 (100) 는 하부 챔버 구역 (102) 및 상부 챔버 구역 (104) 을 포함한다. 하부 챔버 구역 (102) 은 챔버 측벽 표면들 (108), 챔버 하단 표면 (110) 및 가스 분배 디바이스 (114) 의 하부 표면에 의해 규정된다.
상부 챔버 구역 (104) 은 가스 분배 디바이스 (114) 의 상부 표면 및 돔 (118) 의 내측 표면에 의해 규정된다. 일부 예들에서, 돔 (118) 은 제 1 환형 지지부 (121) 상에 놓인다. 일부 예들에서, 이하에 더 기술될 바와 같이, 제 1 환형 지지부 (121) 는 프로세스 가스를 상부 챔버 구역 (104) 으로 전달하기 위한 하나 이상의 이격된 홀들 (123) 을 포함한다. 일부 예들에서, 프로세스 가스는 가스 분배 디바이스 (114) 를 포함한 평면에 대해 예각으로 상향 방향으로 하나 이상의 이격된 홀들 (123) 에 의해 전달되지만, 다른 각도들/방향들이 사용될 수도 있다. 일부 예들에서, 제 1 환형 지지부 (121) 내의 가스 플로우 채널 (134) 은 가스를 하나 이상의 이격된 홀들 (123) 로 공급한다.
제 1 환형 지지부 (121) 는 가스 플로우 채널 (129) 로부터 하부 챔버 구역 (102) 으로 측면 튜닝 프로세스 가스를 전달하기 위한 하나 이상의 이격된 홀들 (127) 을 규정하는 제 2 환형 지지부 (124) 상에 놓일 수도 있다. 일부 예들에서, 가스 분배 디바이스 (114) 내의 홀들 (131) 은 홀들 (127) 과 정렬한다. 일부 예들에서, 프로세스 가스는 가스 분배 디바이스 (114) 를 포함한 평면에 대해 예각으로 기판을 향하여 하향 방향으로 하나 이상의 이격된 홀들 (127) 에 의해 전달되지만, 다른 각도들/방향들이 사용될 수도 있다. 이 방식으로, 홀들 (131) 은 측면 튜닝 프로세스 가스를 기판 (126) 의 외측 구역으로 제공하도록 가스 분배 디바이스 (114) 내에 배열된다.
다른 예들에서, 상부 챔버 구역 (104) 은 편평한 상단 표면을 가진 실린더형이고 그리고 하나 이상의 편평한 유도 코일들이 사용될 수도 있다. 여전히 다른 예들에서, 샤워헤드와 기판 지지부 사이에 위치된 스페이서를 가진 단일의 챔버가 사용될 수도 있다.
기판 지지부 (122) 는 하부 챔버 구역 (102) 내에 배치된다. 일부 예들에서, 기판 지지부 (122) 는 정전 척 (ESC) 을 포함하지만, 다른 타입들의 기판 지지부들이 사용될 수 있다. 기판 (126) 은 에칭 동안 기판 지지부 (122) 의 상부 표면 상에 배치된다. 일부 예들에서, 기판 (126) 의 온도는 가열기 플레이트 (125), 유체 채널들을 가진 선택 가능한 냉각 플레이트 및 하나 이상의 센서들 (미도시) 에 의해 제어될 수도 있지만; 임의의 다른 적합한 기판 지지부 온도 제어 시스템이 사용될 수도 있다.
일부 예들에서, 가스 분배 디바이스 (114) 는 샤워헤드 (예를 들어, 복수의 이격된 홀들 (130) 뿐만 아니라 홀들 (131) 을 가진 대면 플레이트 (128)) 를 포함한다. 복수의 이격된 홀들 (130) 은 대면 플레이트 (128) 의 상부 표면으로부터 대면 플레이트 (128) 의 하부 표면으로 연장한다. 일부 예들에서, 이격된 홀들 (130) 은 0.4" 내지 0.75" 범위 내의 직경을 갖고 그리고 샤워헤드는 전도성 재료로 이루어진 임베딩된 (embedded) 전극을 가지며 세라믹과 같은 비-전도성 재료 또는 알루미늄과 같은 전도성 재료로 이루어진다. 따라서, 대면 플레이트 (128) 는 기판 (126) 바로 위에 프로세스 가스를 제공하도록 배열된 복수의 이격된 홀들 (130) 및 측면 튜닝 프로세스 가스를 제공하도록 배열된 홀들 (131) 을 포함한다.
하나 이상의 유도 코일들 (140) 은 돔 (118) 의 외측 부분 주위에 배치된다. 에너자이징될 (energized) 때, 하나 이상의 유도 코일들 (140) 은 돔 (118) 의 내부에 전자기장을 생성한다. 일부 예들에서, 상부 코일 및 하부 코일이 사용된다. 가스 주입기 (142) 는 하나 이상의 가스 혼합물들을 가스 전달 시스템 (150-1) 으로부터 주입한다.
일부 예들에서, 가스 전달 시스템 (150-1) 은 하나 이상의 가스 소스들 (152), 하나 이상의 밸브들 (154), 하나 이상의 MFC들 (mass flow controllers) (156), 및 혼합 매니폴드 (158) 를 포함하지만, 다른 타입들의 가스 전달 시스템들이 사용될 수도 있다. 가스 스플리터 (미도시) 는 가스 혼합물의 플로우 레이트들을 가변하도록 사용될 수도 있다. 또 다른 가스 전달 시스템 (150-2) 은 에칭 가스 또는 에칭 가스 혼합물을 가스 플로우 채널들 (129 및/또는 134) 로 공급하도록 사용될 수도 있다 (가스 주입기 (142) 로부터의 에칭 가스에 더하여 또는 에칭 가스 대신에).
적합한 가스 전달 시스템들은 전체가 참조로서 본 명세서에 인용되는, 발명의 명칭이 "Gas Delivery System"이고 2015년 12월 4일 출원된 공동으로 양도된 미국 특허 출원 일련 번호 제 14/945,680 호에 도시 및 기술된다. 적합한 단일의 또는 이중 가스 주입기들 및 다른 가스 주입 위치들은 전체가 참조로서 본 명세서에 인용되는, 발명의 명칭이 "Substrate Processing System with Multiple Injection Points and Dual Injector"이고 2016년 1월 7일 출원된 공동으로 양도된 미국 특허 가출원 일련 번호 제 62/275,837 호에 도시 및 기술된다.
일부 예들에서, 가스 주입기 (142) 는 가스를 하향 방향으로 지향시키는 중심 주입 위치 및 가스를 하향 방향에 대해 비스듬히 주입하는 하나 이상의 측면 주입 위치들을 포함한다. 일부 예들에서, 가스 전달 시스템 (150-1) 은 제 1 플로우 레이트로 가스 혼합물의 제 1 부분을 중심 주입 위치로 전달하고 그리고 제 2 플로우 레이트로 가스 혼합물의 제 2 부분을 가스 주입기 (142) 의 측면 주입 위치(들)로 전달한다. 다른 예들에서, 상이한 가스 혼합물들이 가스 주입기 (142) 에 의해 전달된다. 일부 예들에서, 가스 전달 시스템 (150-1) 은 이하에 기술될 바와 같이, 튜닝 가스를 가스 플로우 채널들 (129 및 134) 로 그리고/또는 프로세싱 챔버 내의 다른 위치들로 전달한다.
플라즈마 생성기 (170) 는 하나 이상의 유도 코일들 (140) 로 출력되는 RF 전력을 생성하도록 사용될 수도 있다. 플라즈마 (190) 는 상부 챔버 구역 (104) 내에서 생성된다. 일부 예들에서, 플라즈마 생성기 (170) 는 RF 생성기 (172) 및 매칭 네트워크 (174) 를 포함한다. 매칭 네트워크 (174) 는 하나 이상의 유도 코일들 (140) 의 임피던스에 RF 생성기 (172) 의 임피던스를 매칭한다. 일부 예들에서, 가스 분배 디바이스 (114) 는 접지와 같은 기준 전위에 연결된다. 밸브 (178) 및 펌프 (180) 는 하부 및 상부 챔버 구역들 (102, 104) 의 내부의 압력을 제어하도록 그리고 반응물질들을 배기하도록 사용될 수도 있다.
제어기 (176) 는 프로세스 가스, 퍼지 가스, RF 플라즈마 및 챔버 압력의 플로우를 제어하도록 가스 전달 시스템들 (150-1 및 150-2), 밸브 (178), 펌프 (180), 및/또는 플라즈마 생성기 (170) 와 통신한다. 일부 예들에서, 플라즈마는 하나 이상의 유도 코일들 (140) 에 의해 돔 (118) 내부에서 유지된다. 하나 이상의 가스 혼합물들은 가스 주입기 (142) (및/또는 홀들 (123)) 를 사용하여 챔버의 상단 부분으로부터 도입되고 그리고 플라즈마는 가스 분배 디바이스 (114) 를 사용하여 돔 (118) 내에 한정된다.
돔 (118) 내에 플라즈마를 한정시키는 것은 플라즈마 종의 볼륨 재결합 및 가스 분배 디바이스 (114) 를 통한 목표된 에천트 종의 유출을 허용한다. 일부 예들에서, 기판 (126) 에 인가된 RF 바이어스는 없다. 그 결과, 기판 (126) 상에 활성 시스가 없고 그리고 임의의 유한한 에너지를 가진 이온들이 기판과 부딪치지 않는다. 일부 양의 이온들은 플라즈마 구역으로부터 가스 분배 디바이스 (114) 를 통해 확산할 것이다. 그러나, 확산하는 플라즈마의 양은 돔 (118) 내부에 위치된 플라즈마보다 한 자릿수 적다. 플라즈마 내의 대부분의 이온들은 고압들에서의 볼륨 재결합에 의해 손실된다. 가스 분배 디바이스 (114) 의 상부 표면에서의 표면 재결합 손실은 또한 가스 분배 디바이스 (114) 아래의 이온 밀도를 낮춘다.
다른 예들에서, RF 바이어스 생성기 (184) 가 제공되고 그리고 RF 생성기 (186) 및 매칭 네트워크 (188) 를 포함한다. RF 바이어스는 가스 분배 디바이스 (114) 와 기판 지지부 사이에서 플라즈마를 생성하도록 또는 이온들을 끌어당기기 위해 기판 (126) 상에 자기 바이어스 (self-bias) 를 생성하도록 사용될 수 있다. 제어기 (176) 는 RF 바이어스를 제어하도록 사용될 수도 있다.
이제 도 2를 참조하면, 기판 지지부 (204) 위에 배치된 예시적인 대면 플레이트 (200) 가 도시된다. 대면 플레이트 (200) 는 상단 플레이트 (208) 및 하단 플레이트 (212) 를 포함한다. 대면 플레이트 (200) 는 기판 지지부 (204) 상에 배치된 기판 (220) 을 향하여 곧장 하향으로 (directly downward) 프로세스 가스를 지향시키도록 대면 플레이트 (200) 의 내측 구역 내에 복수의 홀들 (216) 을 포함한다. 본 명세서에서 사용된 바와 같이, "곧장 하향으로"는 기판 (220) 의 법선 벡터에 평행한 벡터에 대응할 수도 있다. 대면 플레이트 (200) 는 기판 (220) 의 외측 구역으로 측면 튜닝 프로세스 가스를 지향시키도록 대면 플레이트 (200) 의 주변부 주위에 배치된 복수의 홀들 (224) 을 더 포함한다.
통상적으로, 대면 플레이트 (200) 는 상단 플레이트 (208) 및/또는 하단 플레이트 (212) 내에 분리된 플레넘들을 포함한다. 예를 들어, 상단 플레이트 (208) 는 제 1 플레넘으로서, 하단 플레이트 (212) 내의 측면 튜닝 홀들 (224) 로 프로세스 가스를 분배하기 위한 원형 홈 또는 채널 (228) 을 포함할 수도 있다. 따라서, 프로세스 가스는 채널 (228) 내로 제공되고, 채널 (228) 은 홀들 (224) 을 통해 프로세스 가스를 분배한다. 예를 들어, 프로세스 가스는 단일의 주입점에서 채널 (228) 내로 제공될 수도 있고 그리고 이어서 채널 (228) 전반에 걸쳐 그리고 이어서 홀들 (224) 을 통해 분배될 수도 있다. 정반대로, 상단 플레이트 (208) 는 제 2 플레넘으로서, 복수의 원형 홈들 또는 채널들 (232) (또는, 일부 예들에서, 하단 플레이트 (212) 내의 대응하는 홀들 (216) 과 정렬된 복수의 홀들, 또는 모든 홀들 (216) 을 오버랩하는 대면 플레이트 (200) 의 상부 표면 내의 단일의 리세스된 구역) 을 포함할 수도 있다. 따라서, 프로세스 가스는 채널들 (232) 내로 제공되고, 채널들 (232) 은 홀들 (216) 을 통해 프로세스 가스를 분배한다.
에칭 레이트 및 에칭 균일성은 기판 (220) 의 중심점으로부터 거리가 증가함에 따라 가변할 수도 있다. 도 3a 및 도 3b에서, 커브들은 예시적인 폴리실리콘 에칭 프로세스에서 에칭 레이트들 (300 및 304) 을 도시한다. 도 3a에 도시된 에칭 레이트들 (300) 은 측면 튜닝 프로세스 가스 (예를 들어, 아르곤, 또는 Ar) 가 제공되지 않은 프로세스에 대응한다. 정반대로, 도 3b에 도시된 에칭 레이트들 (304) 은 아르곤과 같은 측면 튜닝 프로세스 가스가 제공되는 프로세스에 대응한다. 도 3a 및 도 3b에서, x 축들은 Å/min (Angstroms per minute) 으로 ER (etch rate) 을 나타내고 반면에 y 축들은 기판 (220) 의 중심으로부터 방사상 거리 (㎜로) 를 나타낸다. 에칭 레이트들 (300 및 304) 각각은 기판의 상부 표면과 대면 플레이트 (200) 의 하단 표면 사이의 거리에 대응하는 복수의 에칭 레이트들을 포함한다.
도시된 바와 같이, 전체 에칭 레이트들 (300) 은 기판 (220) 의 중심으로부터 기판 (220) 의 외측 주변부/에지로 가변하고 (예를 들어, 일반적으로 감소함), 그리고 일반적으로 최소 값 그리고 중심으로부터 대략 140 ㎜의 변곡점에 다가간다. 이어서 에칭 레이트들 (300) 은 기판 (220) 의 중심으로부터 140 ㎜를 넘은 거리들에서 급격히 증가한다. 따라서, 측면 튜닝 프로세스 가스를 제공하지 않는 것은 기판 (220) 의 외측 에지들에서 에칭 레이트들 (300) 의 상당한 변화를 발생시킨다.
전체 에칭 레이트들 (304) 도 또한 기판 (220) 의 중심으로부터 기판 (220) 의 외측 주변부로 가변한다. 그러나, 측면 튜닝 프로세스 가스가 제공될 때, 기판 (220) 의 외측 에지들에서 에칭 레이트들 (304) 의 급격한 증가가 제거된다.
따라서, 측면 튜닝 프로세스 가스를 제공하는 것은 이로 제한되지 않지만, 측면 튜닝 프로세스 가스의 타입 및 플로우 레이트, 대면 플레이트 (200) 의 구성, 측면 튜닝 플레넘들 및 홀들의 배치 및 구성, 등을 포함한 하나 이상의 요인들에 따라 기판 (220) 의 외측 에지들에서 에칭 레이트들을 가변할 수도 있다.
도 4 및 도 5는 본 개시의 원리들에 따른 예시적인 대면 플레이트 (400) 및 측면 튜닝 링 (404) 을 도시한다. 도 4는 대면 플레이트 (400) 및 측면 튜닝 링 (404) 의 단면도를 도시하고 그리고 도 5는 대면 플레이트 (400) 및 측면 튜닝 링 (404) 의 상면도를 도시한다. 대면 플레이트 (400) 는 기판 지지부 (408) 위에 배치된다. 기판 (412) 은 기판 지지부 (408) 상에 배치될 수도 있다. 대면 플레이트 (400) 는 프로세스 가스를 기판 (412) 을 향하여 지향시키도록 배열된 복수의 홀들 (416) 을 포함한다. 예를 들어, 홀들 (416) 은 기판 (412) 으로 곧장 하향으로 프로세스 가스를 지향시키도록 배열될 수도 있다. 정반대로, 링 (404) 은 내측 링 (420) 및 외측 링 (424) 과 같은, 각각의 플레넘들을 포함한 복수의 (예를 들어, 2 개 이상의) 링들을 포함할 수도 있다. 도시된 바와 같이, 내측 링 (420) 및 외측 링 (424) 은 서로 독립적이고 그리고 개별적으로 제거 및 교체되도록 구성된다. 일부 예들에서, 내측 링 (420) 및 외측 링 (424) 은 단일의 이동식 링으로 형성된다. 단지 예를 들면, 측면 튜닝 링 (404) 은 알루미늄 및/또는 다른 적합한 재료들을 포함한다.
링들 (420 및 424) 각각은 기판 (412) 의 외측 에지를 향하여 프로세스 가스를 지향시키도록 배열된 각각의 복수의 홀들 (428 및 432) 을 포함한다. 도시된 바와 같이, 내측 링 (420) 은 프로세스 가스를 제 1 각도로 내향으로 기판 (412) 을 향하여 지향시키고 반면에 외측 링 (424) 은 프로세스 가스를 제 2 각도로 외향으로 지향시킨다. 그러나, 다른 예들에서, 링들 (420 및 424) 은 동일한 각도 또는 상이한 각도로 프로세스 가스를 지향시키도록 구성될 수도 있다. 프로세스 가스를 링들 (420 및 424) 내로 제공하기 위한 각각의 주입점들이 도 5에 도시된다. 예를 들어, 외측 링 (424) 은 외측 플레넘 (440) 에 대응하는 m 개의 주입점들 (436) 을 포함할 수도 있고 그리고 내측 링 (420) 은 내측 플레넘 (448) 에 대응하는 주입점들 (444) 을 포함할 수도 있다. 일부 예들에서, m ≠ n이지만, 다른 예들에서 m = n이다. 플레넘들 (440 및 448) 이 U-형상의 채널들 또는 홈들로서 도시되지만, 다른 타입들의 플레넘들이 링들 (420 및 424) 에서 구현될 수도 있다.
따라서, 링들 (420 및 424) 은 기판 (412) 으로 1 개, 2 개, 또는 그 이상의 상이한 각도들로 측면 튜닝 프로세스 가스를 지향시키도록 구성된다. 또한, 링들 (420 및 424) 각각은 독립적으로 제어되는 상이한 각각의 프로세스 가스 소스들 (예를 들어, Ar, N2, 등) 에 연결될 수도 있다. 예를 들어, 제어기 (176) 는 사용자 입력들, 등에 응답하여, 수행될 특정한 프로세스 단계에 기초하여 내측 링 (420) 및 외측 링 (424) 에 의해 제공된 프로세스 가스의 각각의 플로우 레이트들을 선택적으로 그리고 개별적으로 조정하도록 가스 소스들을 (예를 들어, 가스 전달 시스템 (150-2) 을 통해) 제어할 수도 있다. 일 예에서, 제어기 (176) 는 제어 데이터에 기초하여 각각의 링들 (420 및 424) 에 대한 플로우 레이트들을 자동으로 선택한다. 예를 들어, 제어 데이터는 다양한 프로세스 가스들, 프로세스 단계들, 프로세스 온도들, 페데스탈 높이들, 기판 직경 및 타입, 챔버 특성들, 등과 연관된 링들 (420 및 424) 각각에 대한 플로우 레이트들의 인덱스 또는 표에 대응할 수도 있다. 또 다른 예에서, 각각의 링들 (420 및 424) 의 플로우 레이트들은 사용자 입력부들 (예를 들어, 사용자 인터페이스, 제어 노브들, 등) 에 따라 독립적으로 조정될 수도 있다.
도 6a 내지 도 6h는 측면 튜닝 링 (404) 의 일부 예시적인 구성들을 예시한다. 예들에서, 내측 링 (420) 및 외측 링 (424) 은 측면 튜닝 프로세스 가스를 기판 (412) 으로 상이한 각도들로, 예컨대, 곧장 하향으로, 내향으로, 외향으로, 등으로 지향시키도록 독립적으로 구성된다. 내향으로 또는 외향으로 비스듬히 놓일 때, 링들 (420 및 424) 은 0 °(즉, 곧장 하향으로) 보다 큰 임의의 각도로 측면 튜닝 가스를 지향시키도록 구성될 수도 있다. 예를 들어, 대략 40 °의 예시적인 각도들로 도시되지만, 다른 예들에서, 링들 (420 및 424) 은 0 ° 내지 대략 80 °의 임의의 각도로 내향으로 또는 외향으로 측면 튜닝 프로세스 가스를 지향시킬 수도 있다.
이제 도 7a, 도 7b, 및 도 7c를 참조하면, 대면 플레이트 (700) 및 측면 튜닝 링 (704) 의 예시적인 장착 구성들이 도시된다. 도 7a에서, 프로세스 챔버는 측면 튜닝 링 (704) (예를 들어, 측면 튜닝 링 (704) 의 외측 링 (712)) 을 지지하도록 배치된 환형 지지부 (708) 를 포함할 수도 있다. 외측 링 (712) 은 결국 내측 링 (716) 을 지지하고 그리고 내측 링 (716) 은 대면 플레이트 (700) 를 지지한다. 시일링 부재들 (예를 들어, 테프론 (Teflon), 실리콘, 등 중 하나 이상으로 이루어짐) (720) 은 환형 지지부 (708) 와 외측 링 (712) 사이, 외측 링 (712) 과 내측 링 (716) 사이, 내측 링 (716) 과 대면 플레이트 (700) 사이, 등에 제공될 수도 있다.
정반대로, 도 7b에 도시된 바와 같이, 환형 지지부 (708), 외측 링 (712), 내측 링 (716), 및 대면 플레이트 (700) 중 하나 이상은 테이퍼진 프로파일을 가진 내측 측벽 또는 외측 측벽을 포함할 수도 있다. 단지 예를 들면, 도 7b에 도시된 바와 같이, 환형 지지부 (708) 는 외측 링 (712) 을 지지하도록 배치되고 그리고 외측 링 (712) 은 결국 내측 링 (716) 을 지지한다. 그러나, 내측 링 (716) 의 내경 측벽 (724) 은 제 1 방향으로 테이퍼지고 반면에 대면 플레이트 (700) 의 외경 측벽 (728) 은 제 2 방향으로 테이퍼진다.
도 7a 및 도 7b에 기술된 다른 적합한 장착 구성들 및/또는 구성들의 조합들은 이로 제한되지 않지만, 환형 지지부들, 테이퍼진 측벽들, 나사들, 볼트들, 등을 포함하여 구현될 수도 있다. 예를 들어, 일 구성에서, 환형 지지부 (708) 는 외측 링 (712) 을 지지하고 반면에 내측 링 (716) 은 리세스된 볼트들 또는 나사들 (732) 을 사용하여 외측 링 (712) 및/또는 대면 플레이트 (700) 에 부착된다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되지만, 본 개시의 임의의 실시예에 대해 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예와의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (19)

  1. 기판 프로세싱 시스템의 가스 분배 디바이스를 위한 측면 튜닝 링에 있어서,
    상기 가스 분배 디바이스의 대면 플레이트에 인접한 제 1 링으로서,
    상기 제 1 링은 상기 대면 플레이트를 둘러싸고, 제 1 플레넘을 규정하고, 그리고
    상기 제 1 링은 제 1 가스 소스와 연통하고, 그리고 상기 제 1 가스 소스로부터 프로세스 챔버 내로 제 1 각도로 가스를 지향시키도록 배열된 제 1 복수의 홀들을 포함하는, 상기 제 1 링; 및
    상기 제 1 링에 인접한 제 2 링으로서,
    상기 제 2 링은 상기 제 1 링을 둘러싸고, 제 2 플레넘을 규정하고, 그리고
    상기 제 2 링은 상기 제 1 가스 소스 및 제 2 가스 소스 중 적어도 하나와 연통하고, 그리고 상기 제 1 가스 소스 및 상기 제 2 가스 소스 중 적어도 하나로부터 상기 프로세스 챔버 내로 상기 제 1 각도 또는 제 2 각도로 가스를 지향시키도록 배열된 제 2 복수의 홀들을 포함하는, 상기 제 2 링을 포함하고,
    상기 제 1 링 및 상기 제 2 링은 상기 가스 분배 디바이스의 상기 대면 플레이트로부터 탈착가능한, 측면 튜닝 링.
  2. 제 1 항에 있어서,
    상기 제 1 링 및 상기 제 2 링은 함께 일체로 형성되는, 측면 튜닝 링.
  3. 제 1 항에 있어서,
    상기 제 1 링은 상기 제 2 링으로부터 탈착가능한, 측면 튜닝 링.
  4. 제 1 항에 있어서,
    상기 제 1 각도 및 상기 제 2 각도는 서로 상이한, 측면 튜닝 링.
  5. 제 1 항에 있어서,
    상기 제 1 각도는 상기 대면 플레이트에 대하여 내향, 상기 대면 플레이트에 대하여 외향, 그리고 상기 제 1 링으로부터 곧장 하향 (directly downward) 중 하나에 대응하고, 그리고 상기 제 2 각도는 상기 대면 플레이트에 대하여 내향, 상기 대면 플레이트에 대하여 외향, 그리고 상기 제 2 링으로부터 곧장 하향 중 하나에 대응하는, 측면 튜닝 링.
  6. 제 1 항에 있어서,
    상기 제 1 링 및 상기 제 2 링 중 적어도 하나는 상기 프로세스 챔버 내에서 기판의 외측 에지 위에 배치되는, 측면 튜닝 링.
  7. 제 1 항에 기재된 측면 튜닝 링을 포함하는 시스템에 있어서,
    상기 제 1 가스 소스;
    상기 제 2 가스 소스; 및
    제어기를 더 포함하는, 시스템.
  8. 제 7 항에 있어서,
    상기 제 1 가스 소스는 제 1 가스를 포함하고 그리고 상기 제 2 가스 소스는 상기 제 1 가스와 상이한 제 2 가스를 포함하는, 시스템.
  9. 제 7 항에 있어서,
    상기 제어기는 상기 제 1 가스 소스로부터 상기 제 1 링을 통한 제 1 가스 플로우 및 상기 제 2 가스 소스로부터 상기 제 2 링을 통한 제 2 가스 플로우를 독립적으로 제어하는, 시스템.
  10. 제 9 항에 있어서,
    상기 제 1 가스 플로우는 상기 제 1 링의 상단면 내의 제 1 복수의 주입점들로 제공되고 그리고 상기 제 2 가스 플로우는 상기 제 2 링의 상단면 내의 제 2 복수의 주입점들로 제공되는, 시스템.
  11. 기판 프로세싱 시스템의 가스 분배 디바이스를 동작시키기 위한 방법에 있어서,
    상기 가스 분배 디바이스의 대면 플레이트에 인접한 제 1 링 및 상기 제 1 링에 인접한 제 2 링을 가진 측면 튜닝 링을 제공하는 단계로서, 상기 제 1 링은 상기 대면 플레이트를 둘러싸고 제 1 플레넘을 규정하고 그리고 상기 제 2 링은 상기 제 1 링을 둘러싸고 제 2 플레넘을 규정하는, 상기 측면 튜닝 링을 제공하는 단계;
    제 1 가스 소스로부터 상기 제 1 플레넘으로 제 1 가스를 제공하는 단계;
    상기 제 1 링을 사용하여, 상기 제 1 가스 소스로부터 프로세스 챔버 내로 제 1 각도로 상기 제 1 가스를 지향시키는 단계;
    상기 제 1 가스 소스로부터의 상기 제 1 가스 및 상기 제 2 가스 소스로부터의 제 2 가스 중 적어도 하나를 상기 제 2 플레넘으로 제공하는 단계; 및
    상기 제 2 링을 사용하여, 상기 프로세스 챔버 내로 상기 제 1 각도 또는 제 2 각도로 상기 제 1 가스 및 상기 제 2 가스 중 적어도 하나를 지향시키는 단계를 포함하는, 가스 분배 디바이스를 동작시키기 위한 방법.
  12. 제 11 항에 있어서,
    상기 제 1 링 및 상기 제 2 링은 함께 일체로 형성되는, 가스 분배 디바이스를 동작시키기 위한 방법.
  13. 제 11 항에 있어서,
    상기 제 1 링은 상기 제 2 링으로부터 탈착가능한, 가스 분배 디바이스를 동작시키기 위한 방법.
  14. 제 11 항에 있어서,
    상기 제 1 각도 및 상기 제 2 각도는 서로 상이한, 가스 분배 디바이스를 동작시키기 위한 방법.
  15. 제 11 항에 있어서,
    상기 제 1 각도는 상기 대면 플레이트에 대하여 내향, 상기 대면 플레이트에 대하여 외향, 그리고 상기 제 1 링으로부터 곧장 하향 중 하나에 대응하고, 그리고 상기 제 2 각도는 상기 대면 플레이트에 대하여 내향, 상기 대면 플레이트에 대하여 외향, 그리고 상기 제 2 링으로부터 곧장 하향 중 하나에 대응하는, 가스 분배 디바이스를 동작시키기 위한 방법.
  16. 제 11 항에 있어서,
    상기 프로세스 챔버 내에서 기판의 외측 에지 위에 상기 제 1 링 및 상기 제 2 링 중 적어도 하나를 배치하는 단계를 더 포함하는, 가스 분배 디바이스를 동작시키기 위한 방법.
  17. 제 11 항에 있어서,
    상기 제 1 가스 및 상기 제 2 가스는 서로 상이한, 가스 분배 디바이스를 동작시키기 위한 방법.
  18. 제 11 항에 있어서,
    상기 제 1 가스 소스로부터 상기 제 1 링을 통한 제 1 가스 플로우 및 상기 제 2 가스 소스로부터 상기 제 2 링을 통한 제 2 가스 플로우를 독립적으로 제어하는 단계를 더 포함하는, 가스 분배 디바이스를 동작시키기 위한 방법.
  19. 제 18 항에 있어서,
    상기 제 1 링의 상단면 내의 제 1 복수의 주입점들로 상기 제 1 가스 플로우 그리고 상기 제 2 링의 상단면 내의 제 2 복수의 주입점들로 상기 제 2 가스 플로우를 제공하는 단계를 더 포함하는, 가스 분배 디바이스를 동작시키기 위한 방법.
KR1020170052331A 2016-05-11 2017-04-24 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘 KR102503328B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/151,650 US10622189B2 (en) 2016-05-11 2016-05-11 Adjustable side gas plenum for edge rate control in a downstream reactor
US15/151,650 2016-05-11

Publications (2)

Publication Number Publication Date
KR20170127358A true KR20170127358A (ko) 2017-11-21
KR102503328B1 KR102503328B1 (ko) 2023-02-23

Family

ID=60295307

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170052331A KR102503328B1 (ko) 2016-05-11 2017-04-24 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘

Country Status (4)

Country Link
US (1) US10622189B2 (ko)
KR (1) KR102503328B1 (ko)
CN (1) CN107452590B (ko)
TW (1) TWI731078B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11450545B2 (en) 2019-04-17 2022-09-20 Samsung Electronics Co., Ltd. Capacitively-coupled plasma substrate processing apparatus including a focus ring and a substrate processing method using the same

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11535936B2 (en) 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020154244A1 (en) * 2019-01-23 2020-07-30 Lam Research Corporation Substrate processing system including dual ion filter for downstream plasma
CN111785604A (zh) * 2019-04-04 2020-10-16 中微半导体设备(上海)股份有限公司 气体喷淋头、制作方法及包括气体喷淋头的等离子体装置
JP2021019201A (ja) * 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US20210176831A1 (en) * 2019-12-05 2021-06-10 Applied Materials, Inc. Gas distribution ceramic heater for deposition chamber
CN111599717B (zh) 2020-05-09 2024-03-26 北京北方华创微电子装备有限公司 一种半导体反应腔室及原子层等离子体刻蚀机
CN113871280B (zh) * 2020-06-30 2024-05-14 中微半导体设备(上海)股份有限公司 等离子体处理装置及其边缘气体组件
CN116710595A (zh) * 2020-12-22 2023-09-05 玛特森技术公司 具有气体喷头组件的工件处理装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03123767U (ko) * 1990-03-30 1991-12-16
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
KR20060033409A (ko) * 2004-10-15 2006-04-19 세메스 주식회사 플라즈마 처리 장치의 가스분사장치
KR20070016587A (ko) * 2005-08-04 2007-02-08 주성엔지니어링(주) 플라즈마 처리 장치
KR20090130006A (ko) * 2007-03-27 2009-12-17 도쿄엘렉트론가부시키가이샤 성막 장치, 성막 방법 및 기억 매체
US20110256729A1 (en) * 2010-04-19 2011-10-20 Texas Instruments Incorporated Showerhead for CVD Depositions
KR20120009596A (ko) * 2010-07-19 2012-02-02 엘지디스플레이 주식회사 박막처리장치
KR20120079962A (ko) * 2011-01-06 2012-07-16 주식회사 원익아이피에스 기판 처리 장치 및 그 동작 방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
KR20070012954A (ko) * 2005-07-25 2007-01-30 동부일렉트로닉스 주식회사 에칭 균일도 향상을 위한 포커스 링
US20070187363A1 (en) * 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
WO2014057793A1 (ja) * 2012-10-09 2014-04-17 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
US10100408B2 (en) * 2014-03-03 2018-10-16 Applied Materials, Inc. Edge hump reduction faceplate by plasma modulation

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03123767U (ko) * 1990-03-30 1991-12-16
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
KR20060033409A (ko) * 2004-10-15 2006-04-19 세메스 주식회사 플라즈마 처리 장치의 가스분사장치
KR20070016587A (ko) * 2005-08-04 2007-02-08 주성엔지니어링(주) 플라즈마 처리 장치
KR20090130006A (ko) * 2007-03-27 2009-12-17 도쿄엘렉트론가부시키가이샤 성막 장치, 성막 방법 및 기억 매체
US20110256729A1 (en) * 2010-04-19 2011-10-20 Texas Instruments Incorporated Showerhead for CVD Depositions
KR20120009596A (ko) * 2010-07-19 2012-02-02 엘지디스플레이 주식회사 박막처리장치
KR20120079962A (ko) * 2011-01-06 2012-07-16 주식회사 원익아이피에스 기판 처리 장치 및 그 동작 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11450545B2 (en) 2019-04-17 2022-09-20 Samsung Electronics Co., Ltd. Capacitively-coupled plasma substrate processing apparatus including a focus ring and a substrate processing method using the same

Also Published As

Publication number Publication date
KR102503328B1 (ko) 2023-02-23
CN107452590A (zh) 2017-12-08
TWI731078B (zh) 2021-06-21
US20170330728A1 (en) 2017-11-16
US10622189B2 (en) 2020-04-14
TW201805470A (zh) 2018-02-16
CN107452590B (zh) 2021-05-04

Similar Documents

Publication Publication Date Title
KR102503328B1 (ko) 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
KR102406081B1 (ko) 웨이퍼 내 프로세스 균일도를 제어하기 위한 방법 및 장치
US10825659B2 (en) Substrate processing chamber including multiple gas injection points and dual injector
US10840061B2 (en) Substrate processing chamber including conical surface for reducing recirculation
US11984296B2 (en) Substrate support with improved process uniformity
US20190244793A1 (en) Tapered upper electrode for uniformity control in plasma processing
KR20180006307A (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
US11015247B2 (en) Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
JP2023520035A (ja) 調節ガスの局所供給用エッジリング
US20230298859A1 (en) Optimizing edge radical flux in a downstream plasma chamber
US20230091524A1 (en) Multizone gas distribution plate for trench profile optimization
WO2024076477A1 (en) Showerhead for diffusion bonded, multi-zone gas dispersion
KR20210109640A (ko) 다운스트림 플라즈마를 위한 듀얼 이온 필터를 포함하는 기판 프로세싱 시스템

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant