KR20170123740A - Apparatus and method for treating substrate - Google Patents

Apparatus and method for treating substrate Download PDF

Info

Publication number
KR20170123740A
KR20170123740A KR1020160052591A KR20160052591A KR20170123740A KR 20170123740 A KR20170123740 A KR 20170123740A KR 1020160052591 A KR1020160052591 A KR 1020160052591A KR 20160052591 A KR20160052591 A KR 20160052591A KR 20170123740 A KR20170123740 A KR 20170123740A
Authority
KR
South Korea
Prior art keywords
plasma
substrate
unit
processing
antennas
Prior art date
Application number
KR1020160052591A
Other languages
Korean (ko)
Inventor
이석종
이창원
박상종
Original Assignee
피에스케이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피에스케이 주식회사 filed Critical 피에스케이 주식회사
Priority to KR1020160052591A priority Critical patent/KR20170123740A/en
Publication of KR20170123740A publication Critical patent/KR20170123740A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

The present invention provides a substrate processing apparatus. The substrate processing apparatus according to an embodiment of the present invention includes a processing unit having a processing space therein; and a plasma generation unit located in the upper part of the processing unit and generating plasma to supply the plasma to the processing space. The processing unit includes a support unit for supporting a substrate in the processing space. The plasma generating unit includes a plasma chamber having a discharge space therein; a process gas supply part for supplying a process gas to the discharge space; and a power application part for applying power to the discharge space. The power application part comprises a plurality of antennas spaced apart from each other in the vertical direction of the plasma chamber; and a power part for supplying power to the selected one of the antennas. It is possible to control the density of the plasma.

Description

기판 처리 장치 및 기판 처리 방법{APPARATUS AND METHOD FOR TREATING SUBSTRATE}[0001] APPARATUS AND METHOD FOR TREATING SUBSTRATE [0002]

본 발명은 기판 처리 장치 및 기판 처리 방법에 관한 것이다.The present invention relates to a substrate processing apparatus and a substrate processing method.

반도체 소자를 제조하기 위해서는 증착, 노광, 애싱 및 세정 같은 다양한 공정이 수반된다. 이들 중 증착, 식각 및 애싱 등과 같은 공정은 진공상태에서 공정을 수행한다. 이러한 반도체 제조공정에 있어서, 플라즈마를 이용하여 기판을 처리하는 공정이 일반적으로 널리 수행되고 있다.The manufacture of semiconductor devices involves various processes such as deposition, exposure, ashing and cleaning. Among these processes, such as deposition, etching, and ashing, processes are performed in a vacuum state. In such a semiconductor manufacturing process, a process of processing a substrate by using plasma is generally widely performed.

플라즈마는 이온이나 전자, 라디칼(Radical) 등으로 이루어진 이온화된 가스 상태를 말하며, 플라즈마는 매우 높은 온도나, 강한 전계 혹은 고주파 전자계(RF Electromagnetic Fields)에 의해 생성된다.Plasma is an ionized gas state composed of ions, electrons, radicals and the like. Plasma is generated by a very high temperature, a strong electric field, or RF electromagnetic fields.

도 1은 일반적인 기판 처리 장치(1)에서 플라즈마가 기판(W)을 처리하는 것을 보여준다. 플라즈마를 발생시키는 플라즈마 챔버(2)를 감싸도록 안테나(3)가 제공되고, 안테나에 고주파 전력을 인가하는 전원(4)이 제공된다. 플라즈마를 이용하여 기판을 처리하는 공정에 있어서, 공정의 목적에 적합하도록 처리하기 위해서는 기판 상에 공급되는 플라즈마 이온의 밀도를 조절하면서 공급할 필요가 있다. 그러나, 종래에는 도 1과 같이 하나의 안테나(3)만 제공되는 구조에서는 안테나(3)를 이용하여 공정 중에 플라즈마 이온의 밀도를 조절할 수 없다.Fig. 1 shows a plasma processing apparatus for processing a substrate W in a general substrate processing apparatus 1. Fig. An antenna 3 is provided to surround the plasma chamber 2 generating the plasma, and a power source 4 for applying high-frequency power to the antenna is provided. In the process of processing a substrate using a plasma, it is necessary to adjust the density of the plasma ions supplied to the substrate while adjusting the process to suit the purpose of the process. However, conventionally, in the structure in which only one antenna 3 is provided as shown in FIG. 1, the density of the plasma ions can not be controlled during the process using the antenna 3.

본 발명은 공정 중에 기판에 공급되는 플라즈마의 밀도를 제어할 수 있는 기판 처리 장치 및 방법을 제공하기 위한 것이다.The present invention is intended to provide a substrate processing apparatus and method capable of controlling the density of plasma supplied to a substrate during a process.

또한, 본 발명은 기판 처리 공정의 정확도 및 효율성을 향상시킬 수 있는 기판 처리 장치 및 방법을 제공하기 위한 것이다.The present invention also provides a substrate processing apparatus and method capable of improving the accuracy and efficiency of a substrate processing process.

본 발명이 해결하고자 하는 과제가 상술한 과제들로 한정되는 것은 아니며, 언급되지 아니한 과제들은 본 명세서 및 첨부된 도면으로부터 본 발명의 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The problems to be solved by the present invention are not limited to the above-mentioned problems, and the problems not mentioned can be clearly understood by those skilled in the art from the description and the accompanying drawings will be.

본 발명은 기판 처리 장치를 제공한다. The present invention provides a substrate processing apparatus.

본 발명의 일 실시예에 의하면, 내부에 처리 공간이 형성된 공정 유닛; 및 상기 공정 유닛의 상부에 위치하고, 플라즈마를 발생시켜 상기 처리 공간으로 공급하는 플라즈마 발생 유닛;을 포함하고, 상기 공정 유닛은, 상기 처리 공간 내에서 기판을 지지하는 지지 유닛을 포함하며, 상기 플라즈마 발생 유닛은, 내부에 방전 공간을 가지는 플라즈마 챔버와; 상기 방전 공간으로 공정가스를 공급하는 공정가스 공급부와; 상기 방전 공간에 전력을 인가하는 전력 인가부를 포함하고, 상기 전력 인가부는, 플라즈마 챔버의 상하 방향을 따라 이격되어 복수개 제공되는 안테나와; 상기 안테나들 중 선택된 안테나에 전력을 공급하는 전원부를 포함한다.According to an embodiment of the present invention, there is provided a process unit comprising: a processing unit having a processing space formed therein; And a plasma generating unit located at the top of the processing unit and generating plasma and supplying the plasma to the processing space, wherein the processing unit includes a support unit for supporting the substrate in the processing space, The unit includes: a plasma chamber having a discharge space therein; A process gas supply unit for supplying process gas to the discharge space; And an electric power applying unit for applying electric power to the discharge space, wherein the electric power applying unit includes a plurality of antennas, the plurality of electric power applying units being spaced apart from each other in a vertical direction of the plasma chamber; And a power supply unit for supplying power to the selected one of the antennas.

일 실시예에 의하면, 상기 전원부는, 각각의 상기 안테나에 대응되며, 상기 전원으로부터 각각의 상기 안테나로의 전력 공급을 허용하거나 차단하는 복수의 스위치를 포함한다.According to one embodiment, the power supply unit includes a plurality of switches corresponding to the respective antennas, which allow or block power supply from the power supply to each of the antennas.

일 실시예에 의하면, 상기 전력 인가부는, 상기 스위치들의 온/오프(ON/OFF)를 제어하는 제어기;를 더 포함하되, 상기 제어기는, 상기 스위치들을 각각 독립적으로 제어한다.According to an embodiment, the power applying unit may further include a controller for controlling ON / OFF of the switches, wherein the controller controls the switches independently of each other.

일 실시예에 의하면, 상기 제어기는, 상기 스위치들 중 2 이상의 스위치들을 함께 온/오프(ON/OFF)한다.According to an embodiment, the controller turns on / off two or more switches among the switches together.

일 실시예에 의하면, 상기 플라즈마 발생 유닛과 상기 공정 유닛 사이에 위치하는 유도 유닛을 더 포함하고, 상기 유도 유닛은 상기 플라즈마가 상기 처리 공간으로 공급되는 유입 공간을 가지며, 상기 플라즈마는 상기 방전 공간, 상기 유입 공간, 그리고 상기 처리 공간을 순차적으로 통과하여 기판에 공급된다.According to one embodiment, the plasma processing apparatus further includes an induction unit positioned between the plasma generating unit and the processing unit, wherein the induction unit has an inflow space through which the plasma is supplied to the processing space, The inflow space, and the processing space, and is supplied to the substrate.

본 발명은 기판 처리 방법을 제공한다.The present invention provides a substrate processing method.

본 발명의 일 실시예에 의하면, 상기 안테나들 중 선택된 안테나에 전력을 공급하여, 상기 방전 공간으로부터 기판에 공급되는 플라즈마의 밀도를 제어한다.According to an embodiment of the present invention, power is supplied to a selected one of the antennas to control the density of plasma supplied to the substrate from the discharge space.

일 실시예에 의하면, 상기 전원부로부터 각각의 상기 안테나들에 전력 공급을 허용하거나 차단하도록 각각의 상기 안테나들에 연결된 각각의 스위치들의 온/오프(ON/OFF)를 독립적으로 제어한다.According to an embodiment, on / off of each of the switches connected to each of the antennas is independently controlled to allow or block power supply to each of the antennas from the power supply unit.

일 실시예에 의하면, 상기 복수의 스위치들 중 2 이상의 스위치들을 함께 온/오프(ON/OFF)한다.According to an embodiment, two or more switches among the plurality of switches are turned on / off together.

일 실시예에 의하면, 상기 기판 상의 박막의 종류에 따라 서로 상이한 안테나가 플라즈마를 발생시킨다.According to one embodiment, the antennas, which are different from each other depending on the type of the thin film on the substrate, generate plasma.

일 실시예에 의하면, 기판 상의 제1 박막 식각시에는, 상기 안테나들 중 어느 하나의 안테나로부터 플라즈마를 발생시키고, 기판 상의 제2 박막 식각시에는, 상기 안테나들 중 다른 하나의 안테나로부터 플라즈마를 발생시킨다.According to an embodiment, when etching the first thin film on the substrate, a plasma is generated from one of the antennas, and when the second thin film is etched on the substrate, plasma is generated from the other one of the antennas .

본 발명의 일 실시예에 의하면, 공정 중에 기판에 공급되는 플라즈마의 밀도를 제어할 수 있다.According to one embodiment of the present invention, the density of the plasma supplied to the substrate during the process can be controlled.

또한, 본 발명은 기판 처리 공정의 정확도 및 효율성을 향상시킬 수 있다.Further, the present invention can improve the accuracy and efficiency of the substrate processing process.

본 발명의 효과가 상술한 효과들로 한정되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.The effects of the present invention are not limited to the above-mentioned effects, and the effects not mentioned can be clearly understood by those skilled in the art from the present specification and attached drawings.

도 1은 플라즈마를 이용하여 기판을 처리하는 일반적인 기판 처리 장치를 보여준다.
도 2는 본 발명의 실시예에 의한 기판 처리 설비를 개략적으로 보여주는 도면이다.
도 3은 도 2의 기판 처리 장치를 보여주는 도면이다.
도 4 내지 도 7은 기판 처리 장치에서 각 스위치들을 제어하는 예들을 보여주는 도면이다.
도 8은 본 발명의 다른 실시예를 보여주는 도면이다.
Figure 1 shows a typical substrate processing apparatus for processing a substrate using plasma.
2 is a schematic view illustrating a substrate processing apparatus according to an embodiment of the present invention.
FIG. 3 is a view showing the substrate processing apparatus of FIG. 2. FIG.
Figs. 4 to 7 are views showing examples of controlling the switches in the substrate processing apparatus. Fig.
8 is a view showing another embodiment of the present invention.

이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장된 것이다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The embodiments of the present invention can be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more fully describe the present invention to those skilled in the art. The shape of the elements in the figures is therefore exaggerated to emphasize a clearer description.

이하 도 2 내지 도 7를 참조하여 본 발명의 일 예를 상세히 설명한다.Hereinafter, an example of the present invention will be described in detail with reference to FIG. 2 to FIG.

도 2은 본 발명의 실시예에 따른 기판 처리 설비(1)를 간략하게 나타내는 평면도이다.2 is a plan view schematically showing a substrate processing apparatus 1 according to an embodiment of the present invention.

도 2를 참조하면, 기판 처리 설비(1)는 설비 전방 단부 모듈(equipment front end module, EFEM)(20) 및 공정 처리부(30)를 가진다. 설비 전방 단부 모듈(20)과 공정 처리부(30)는 일 방향으로 배치된다. 이하, 설비 전방 단부 모듈(20)과 공정 처리부(30)가 배열된 방향을 제 1 방향(X)이라 정의하고, 상부에서 바라볼 때 제 1 방향(X)에 수직인 방향을 제 2 방향(Y)이라 정의한다.Referring to FIG. 2, the substrate processing apparatus 1 has an equipment front end module (EFEM) 20 and a process processing unit 30. The facility front end module 20 and the process processing section 30 are arranged in one direction. A direction in which the facility front end module 20 and the process processing section 30 are arranged is defined as a first direction X and a direction perpendicular to the first direction X as viewed from the top is defined as a second direction Y).

설비 전방 단부 모듈(20)은 로드 포트(load port, 10) 및 이송프레임(21)을 가진다. 로드포트(10)는 제1방향(11)으로 설비 전방 단부 모듈(20)의 전방에 배치된다. 로드포트(10)는 복수 개의 지지부(6)를 가진다. 각각의 지지부(6)는 제 2 방향(Y)으로 일렬로 배치되며, 공정에 제공될 기판(W) 및 공정처리가 완료된 기판(W)이 수납된 캐리어(4)(예를 틀어, 카세트, FOUP등)가 안착된다. 캐리어(4)에는 공정에 제공될 기판(W) 및 공정처리가 완료된 기판(W)이 수납된다. 이송프레임(21)은 로드포트(10)와 공정 처리실(30) 사이에 배치된다. 이송프레임(21)은 그 내부에 배치되고 로드포트(10)와 공정 처리부(30)간에 기판(W)을 이송하는 제 1 이송로봇(25)을 포함한다. 제 1 이송로봇(25)은 제 2 방향(Y)으로 구비된 이송 레일(27)을 따라 이동하여 캐리어(4)와 공정처리실(30)간에 기판(W)을 이송한다.The apparatus front end module 20 has a load port 10 and a transfer frame 21. [ The load port 10 is disposed in front of the facility front end module 20 in a first direction 11. The load port (10) has a plurality of support portions (6). Each of the supports 6 is arranged in a line in the second direction Y and includes a carrier 4 (e.g., a cassette, a cassette, or the like) FOUP, etc.) are seated. In the carrier 4, a substrate W to be supplied to the process and a substrate W to which the process is completed are accommodated. The transfer frame 21 is disposed between the load port 10 and the processing chamber 30. The transfer frame 21 includes a first transfer robot 25 disposed therein and transferring the substrate W between the load port 10 and the processing unit 30. [ The first transfer robot 25 moves along the transfer rail 27 provided in the second direction Y to transfer the substrate W between the carrier 4 and the process chamber 30.

공정처리실(30)은 로드락 챔버(40), 트랜스퍼 챔버(50), 그리고 프로세스 챔버(60)를 포함한다. The process chamber 30 includes a load lock chamber 40, a transfer chamber 50, and a process chamber 60.

로드락 챔버(40)는 이송프레임(21)에 인접하게 배치된다. 일 예로, 로드락 챔버(40)는 트랜스퍼 챔버(50)와 설비 전방 단부 모듈(20)사이에 배치될 수 있다. 로드락 챔버(40)는 공정에 제공될 기판(W)이 프로세스 챔버(60)로 이송되기 전, 또는 공정 처리가 완료된 기판(W)이 설비 전방 단부 모듈(20)로 이송되기 전 대기하는 공간을 제공한다. The load lock chamber 40 is disposed adjacent to the transfer frame 21. [ In one example, the load lock chamber 40 may be disposed between the transfer chamber 50 and the equipment front end module 20. The load lock chamber 40 is a space in which the substrate W to be supplied to the process is transferred to the process chamber 60 or before the substrate W to be processed is transferred to the equipment front end module 20 .

트랜스퍼 챔버(50)는 로드락 챔버(40)에 인접하게 배치된다. 트랜스퍼 챔버(50)는 상부에서 바라볼 때, 다각형의 몸체를 갖는다. 도 1을 참조하면, 트랜스퍼 챔버(50)는 상부에서 바라볼 때, 오각형의 몸체를 갖는다. 몸체의 외측에는 로드락 챔버(40)와 복수개의 프로세스 챔버(60)들이 몸체의 둘레를 따라 배치된다. 몸체의 각 측벽에는 기판(W)이 출입하는 통로(미도시)가 형성되며, 통로는 트랜스퍼 챔버(50)와 로드락 챔버(40) 또는 프로세스 챔버(60)들을 연결한다. 각 통로에는 통로를 개폐하여 내부를 밀폐시키는 도어(미도시)가 제공된다. 트랜스퍼 챔버(50)의 내부공간에는 로드락 챔버(40)와 프로세스 챔버(60)들간에 기판(W)을 이송하는 제 2 이송로봇(53)이 배치된다. 제 2 이송로봇(53)은 로드락 챔버(40)에서 대기하는 미처리된 기판(W)을 프로세스 챔버(60)로 이송하거나, 공정처리가 완료된 기판(W)을 로드락 챔버(40)로 이송한다. 그리고, 복수개의 프로세스 챔버(60)에 기판(W)을 순차적으로 제공하기 위하여 프로세스 챔버(60)간에 기판(W)을 이송한다. 도 1과 같이, 트랜스퍼 챔버(50)가 오각형의 몸체를 가질 때, 설비 전방 단부 모듈(20)과 인접한 측벽에는 로드락 챔버(40)가 각각 배치되며, 나머지 측벽에는 프로세스 챔버(60)들이 연속하여 배치된다. 트랜스퍼 챔버(50)는 상기 형상뿐만 아니라, 요구되는 공정모듈에 따라 다양한 형태로 제공될 수 있다.The transfer chamber 50 is disposed adjacent to the load lock chamber 40. The transfer chamber 50 has a polygonal body when viewed from the top. Referring to Figure 1, the transfer chamber 50 has a pentagonal body when viewed from the top. On the outside of the body, a load lock chamber 40 and a plurality of process chambers 60 are disposed along the periphery of the body. Each side wall of the body is provided with a passage (not shown) through which the substrate W enters and exits, and the passage connects the transfer chamber 50 to the load lock chamber 40 or the process chamber 60. Each passage is provided with a door (not shown) for opening and closing the passage to seal the inside thereof. The transfer chamber 50 is provided with a load lock chamber 40 and a second transfer robot 53 for transferring the substrate W between the process chambers 60. [ The second transfer robot 53 transfers the unprocessed substrate W waiting in the load lock chamber 40 to the process chamber 60 or transfers the processed substrate W to the load lock chamber 40 do. Then, the substrate W is transferred between the process chambers 60 to sequentially provide the plurality of process chambers 60 with the substrates W. 1, when the transfer chamber 50 has a pentagonal body, a load lock chamber 40 is disposed on the side wall adjacent to the facility front end module 20, respectively, and the process chambers 60 are continuously . The transfer chamber 50 may be provided in various forms depending on the shape, as well as the required process module.

프로세스 챔버(60)는 트랜스퍼 챔버(50)의 둘레를 따라 배치된다. 프로세스 챔버(60)는 복수개 제공될 수 있다. 각각의 프로세스 챔버(60)내에서는 기판(W)에 대한 공정처리가 진행된다. 프로세스 챔버(60)는 제 2 이송로봇(53)으로부터 기판(W)을 이송받아 공정처리를 하고, 공정처리가 완료된 기판(W)을 제 2 이송로봇(53)으로 제공한다. 각각의 프로세스 챔버(60)에서 진행되는 공정처리는 서로 상이할 수 있다. 이하, 프로세스 챔버(60) 중 플라즈마 공정을 수행하는 기판 처리 장치(1000)에 대해서 상술한다. The process chamber 60 is disposed along the periphery of the transfer chamber 50. A plurality of process chambers 60 may be provided. In each of the process chambers 60, processing of the substrate W is performed. The process chamber 60 transfers the substrate W from the second transfer robot 53 to process the substrate W and provides the processed substrate W to the second transfer robot 53. The process processes in each of the process chambers 60 may be different from each other. Hereinafter, the substrate processing apparatus 1000 for performing the plasma process in the process chamber 60 will be described in detail.

도 3은 도 2의 기판 처리 장치(1000)를 개략적으로 나타내는 도면이다. 3 is a view schematically showing the substrate processing apparatus 1000 of FIG.

도 3을 참조하면, 기판 처리 장치(1000)는 플라즈마를 이용하여 기판(W) 상에 소정의 공정을 수행한다. 일 예로, 기판 처리 장치(1000)는 기판(W) 상의 박막을 식각할 수 있다. 박막은 폴리 실리콘막, 실리콘 산화막, 그리고 실리콘 질화막 등 다양한 종류의 막일 수 있다. 또한, 박막은 자연 산화막이나 화학적으로 생성된 산화막일 수 있다. Referring to FIG. 3, the substrate processing apparatus 1000 performs a predetermined process on the substrate W using plasma. In one example, the substrate processing apparatus 1000 may etch the thin film on the substrate W. [ The thin film may be a variety of films such as a polysilicon film, a silicon oxide film, and a silicon nitride film. Further, the thin film may be a natural oxide film or a chemically generated oxide film.

기판 처리 장치(1000)는 공정 유닛(processing unit, 100), 배기 유닛(exhausting unit, 200), 플라즈마 발생 유닛(plasma supplying unit, 300), 유도 유닛(340)을 가진다. The substrate processing apparatus 1000 has a processing unit 100, an exhausting unit 200, a plasma supplying unit 300, and an induction unit 340.

공정 유닛(100)은 기판이 놓이고 공정이 수행되는 공간을 제공한다. 배기 유닛(200)은 공정 유닛(100) 내부에 머무르는 공정 가스 및 기판 처리 과정에서 발생한 반응 부산물 등을 외부로 배출하고, 공정 유닛(100) 내 압력을 설정 압력으로 유지한다. 플라즈마 발생 유닛(300)은 공정 유닛(100)의 외부에서 공정 가스로부터 플라즈마(plasma)를 생성시키고, 이를 공정 유닛(100)으로 공급한다. The process unit 100 provides a space where the substrate is placed and the process is performed. The exhaust unit 200 discharges the process gas remaining in the process unit 100 and reaction byproducts generated during the substrate process to the outside and maintains the pressure in the process unit 100 at the set pressure. The plasma generating unit 300 generates a plasma from the process gas outside the process unit 100 and supplies it to the process unit 100.

공정 유닛(100)은 하우징(110), 지지 유닛(120), 그리고 배플(130)을 가진다. 하우징(110)의 내부에는 기판 처리 공정을 수행하는 처리 공간(111)이 형성된다. 하우징(110)는 상부벽이 개방되고, 측벽에는 개구(도시되지 않음)가 형성될 수 있다. 기판은 개구를 통하여 하우징(110) 내부로 출입한다. 개구는 도어(미도시)와 같은 개폐 부재에 의해 개폐될 수 있다. 하우징(110)의 바닥면에는 배출홀(112)이 형성된다. 배출홀(112)은 배기유닛(200)과 연결되며, 하우징(110) 내부에 머무르는 가스와 반응 부산물이 외부로 배출되는 통로를 제공한다. 배기 유닛(200)은 공정 유닛(100) 내부의 플라즈마 및 불순물을 흡입할 수 있도록 제공된다.The process unit 100 has a housing 110, a support unit 120, and a baffle 130. A processing space 111 for performing a substrate processing process is formed in the housing 110. The housing 110 may have an open upper wall and an opening (not shown) formed in the side wall. The substrate moves into and out of the housing 110 through the opening. The opening can be opened and closed by an opening / closing member such as a door (not shown). A discharge hole 112 is formed in the bottom surface of the housing 110. The exhaust hole 112 is connected to the exhaust unit 200 and provides a passage through which the gas residing in the housing 110 and reaction byproducts are discharged to the outside. The exhaust unit 200 is provided to be capable of sucking plasma and impurities in the processing unit 100.

지지 유닛(120)은 기판(W)을 지지한다. 지지 유닛(120)는 지지판(121)과 지지축(122)을 포함한다. 지지판(121)은 처리 공간(111) 내에 위치되며 원판 형상으로 제공된다. 지지판(121)은 지지축(122)에 의해 지지된다. 기판(W)은 지지판(121)의 상면에 놓인다. 지지판(121)의 내부에는 전극(미도시)이 제공될 수 있다. 전극은 외부 전원과 연결되며, 인가된 전력에 의해 정전기를 발생시킨다. 발생된 정전기는 기판(W)을 지지판(121)에 고정시킬 수 있다. 지지판(121)의 내부에는 가열부(125)가 제공될 수 있다. 일 예에 의하면, 가열부(125)는 히팅 코일일 수 있다. 또한, 지지판(121)의 내부에는 냉각부재(126)가 제공될 수 있다. 냉각부재는 냉각수가 흐르는 냉각라인으로 제공될 수 있다. 가열부(125)는 기판(W)을 기 설정된 온도로 가열한다. 냉각부재(126)는 기판(W)을 강제 냉각시킨다. 공정 처리가 완료된 기판(W)은 상온 상태 또는 다음 공정 진행에 요구되는 온도로 냉각될 수 있다. The support unit 120 supports the substrate W. The support unit 120 includes a support plate 121 and a support shaft 122. The support plate 121 is disposed in the processing space 111 and is provided in a disc shape. The support plate 121 is supported by a support shaft 122. The substrate W is placed on the upper surface of the support plate 121. An electrode (not shown) may be provided inside the support plate 121. The electrode is connected to an external power source and generates static electricity by the applied electric power. The generated static electricity can fix the substrate W to the support plate 121. A heating part 125 may be provided inside the support plate 121. According to one example, the heating unit 125 may be a heating coil. Further, a cooling member 126 may be provided inside the support plate 121. The cooling member may be provided as a cooling line through which cooling water flows. The heating unit 125 heats the substrate W to a predetermined temperature. The cooling member 126 forces the substrate W to cool down. The substrate W on which the processing has been completed can be cooled to a room temperature state or a temperature required for proceeding to the next processing.

배플(130)은 지지판(121)의 상부에 위치한다. 배플(130)에는 홀(131)들이 형성된다. 홀(131)들은 배플(130)의 상면에서 하면까지 제공되는 관통홀로 제공되며, 배플(130)의 각 영역에 균일하게 형성된다. The baffle 130 is located above the support plate 121. Holes 131 are formed in the baffle 130. The holes 131 are provided as through holes provided from the upper surface to the lower surface of the baffle 130 and are uniformly formed in the respective regions of the baffle 130.

플라즈마 발생 유닛(300)은 하우징(110)의 상부에 위치한다. 플라즈마 발생 유닛(300)은 공정가스를 방전시켜 플라즈마를 생성하고, 생성된 플라즈마를 처리 공간(111)으로 공급한다. 플라즈마 발생 유닛(300)은 플라즈마 챔버(310), 제 1 공정 가스 공급부(320), 제 2 공정 가스 공급부(322), 전력 인가부(330)를 포함한다. The plasma generating unit 300 is located at the top of the housing 110. The plasma generating unit 300 discharges the process gas to generate a plasma, and supplies the generated plasma to the process space 111. The plasma generating unit 300 includes a plasma chamber 310, a first process gas supply unit 320, a second process gas supply unit 322, and a power application unit 330.

플라즈마 챔버(310)에는 상면 및 하면이 개방된 방전 공간(311)이 내부에 형성된다. 플라즈마 챔버(310)의 상단은 가스 공급 포트(315)에 의해 밀폐된다. 가스 공급 포트(315)는 제 1 공정 가스 공급부(320)와 연결된다. 제 1 공정 가스는 가스 공급 포트(315)를 통해 방전 공간(311)으로 공급된다.The plasma chamber 310 is formed therein with a discharge space 311 having open top and bottom surfaces. The upper end of the plasma chamber 310 is sealed by the gas supply port 315. The gas supply port 315 is connected to the first process gas supply unit 320. The first process gas is supplied to the discharge space 311 through the gas supply port 315.

전력 인가부(330)는 방전 공간(311)에 고주파 전력을 인가한다. 전력 인가부(330)는 안테나(331), 전원부(332), 그리고 제어기(334)를 포함한다. The power application unit 330 applies a high frequency power to the discharge space 311. The power application unit 330 includes an antenna 331, a power supply unit 332, and a controller 334. [

안테나(331)는 유도 결합형 플라즈마(ICP) 안테나로, 코일 형상으로 제공된다. 안테나(331)는 플라즈마 챔버(310) 외부에서 플라즈마 챔버(310)에 복수회 감긴다. 안테나(331)는 방전 공간(311)에 대응하는 영역에서 플라즈마 챔버(310)에 감긴다. The antenna 331 is an inductively coupled plasma (ICP) antenna and is provided in a coil shape. The antenna 331 is wound on the plasma chamber 310 a plurality of times outside the plasma chamber 310. The antenna 331 is wound around the plasma chamber 310 in a region corresponding to the discharge space 311.

안테나(331)는 복수개 제공된다. 일 예로, 제1 안테나(331a) 내지 제4 안테나(331d)가 제공될 수 있다. 제1 안테나(331a) 내지 제4 안테나(331d)는 플라즈마 상하 방향에 따른 높이가 상이하게 제공된다. 안테나(331)는 플라즈마 챔버(310)의 상하방향을 기준으로 가장 높은 위치에 있는 제1 안테나(331a)부터, 플라즈마 챔버(310)의 하부로 가며 높이가 낮은 위치에 있는 제2 안테나(331b), 제3 안테나(331c), 그리고 가장 낮은 위치에 있는 제4 안테나(331d)가 제공될 수 있다.A plurality of antennas 331 are provided. For example, first to third antennas 331a to 331d may be provided. The first to third antennas 331a to 331d are provided at different heights along the plasma vertical direction. The antenna 331 includes a first antenna 331a located at a highest position with respect to a vertical direction of the plasma chamber 310, a second antenna 331b located at a lower position of the plasma chamber 310, A third antenna 331c, and a fourth antenna 331d in the lowest position may be provided.

플라즈마 챔버(310)의 하부에는 공정 유닛(100)이 위치하고 있으므로, 각 안테나(331)들과 지지 유닛(120)에 놓이는 기판 사이의 거리는 각각 상이하다. 예를 들어, 제1 안테나(331a)과 기판의 거리가 가장 멀고, 제4 안테나(331d)과 기판의 거리가 가장 가깝게 배치된다.Since the processing unit 100 is located under the plasma chamber 310, the distances between the antennas 331 and the substrate placed in the supporting unit 120 are different from each other. For example, the distance between the first antenna 331a and the substrate is the longest, and the distance between the fourth antenna 331d and the substrate is the closest.

전원부(332)은 안테나(331)에 고주파 전력을 공급한다. 전원부(332)은 후술하는 스위치(333)들에 연결된다.The power supply unit 332 supplies the antenna 331 with high-frequency power. The power supply unit 332 is connected to the switches 333 described later.

스위치(333)는 전원부(332)으로부터 안테나(331)에 공급되는 전력을 허용하거나 차단한다. 스위치(333)의 양단은 각각 안테나(331)와 전원부(332)에 연결된다. 스위치(333)는 각 안테나(331)에 대응되어 복수개 제공된다. 예를 들어, 스위치(333)는 제1 스위치(333a) 내지 제4 스위치(333d)를 포함하고, 각각의 스위치(333)들은 각각의 안테나(331)에 연결된다. 제1 스위치(333a)는 제1 안테나(331a)에 연결되고, 제2 스위치(333b)는 제2 안테나(331b), 제3 스위치(333c)는 제3 안테나(331c), 그리고 제4 스위치(333d)는 제4 안테나(331d)에 연결된다.The switch 333 allows or blocks the power supplied from the power supply unit 332 to the antenna 331. Both ends of the switch 333 are connected to the antenna 331 and the power supply unit 332, respectively. A plurality of switches 333 are provided corresponding to the respective antennas 331. For example, the switch 333 includes a first switch 333a to a fourth switch 333d, and each switch 333 is connected to each antenna 331. The first switch 333a is connected to the first antenna 331a, the second switch 333b is connected to the second antenna 331b, the third switch 333c is connected to the third antenna 331c, 333d are connected to the fourth antenna 331d.

안테나(331)에 공급된 고주파 전력은 방전 공간(311)에 인가된다. 고주파 전류에 의해 방전 공간(311)에는 유도 전기장이 형성되고, 방전 공간(311) 내 제 1 공정가스는 유도 전기장으로부터 이온화에 필요한 에너지를 얻어 플라즈마 상태로 변환된다. The high frequency power supplied to the antenna 331 is applied to the discharge space 311. An induction field is formed in the discharge space 311 by the high frequency current and the first process gas in the discharge space 311 is converted into a plasma state by obtaining energy required for ionization from the induction field.

예를 들어, 제1 안테나(331a)에 고주파 전력을 인가한 경우, 방전 공간 중 제1 안테나(331a)과 인접한 공간에서 플라즈마가 발생한다. 또한 제4 안테나(331d)에 고주파 전력을 인가한 경우, 방전 공간 중 제4 안테나(331d)과 인접한 공간에서 플라즈마가 발생한다.For example, when high frequency power is applied to the first antenna 331a, plasma is generated in a space adjacent to the first antenna 331a in the discharge space. When high frequency power is applied to the fourth antenna 331d, plasma is generated in a space adjacent to the fourth antenna 331d in the discharge space.

도 4와 도 5는 안테나들 중 어느 하나를 온(ON) 시킨 경우의 플라즈마 유동을 보여주는 도면이다. 화살표는 플라즈마의 유동 및 플라즈마의 밀도를 보여준다. 4 and 5 are views showing a plasma flow when one of the antennas is turned on. The arrows show the flow of the plasma and the density of the plasma.

도 4를 참조하면, 제1 안테나(331a)에 의해 제1 안테나(331a)와 인접한 방전공간(311)에서 발생한 플라즈마는 기판과의 거리가 상대적으로 멀기 때문에, 기판에 도달하는 플라즈마의 밀도가 상대적으로 낮다. Referring to FIG. 4, since the plasma generated in the discharge space 311 adjacent to the first antenna 331a by the first antenna 331a is relatively far from the substrate, the density of the plasma reaching the substrate is relatively high .

도 5를 참조하면 제4 안테나(331d)에 의해 제4 안테나(331d_와 인접한 방전공간(311)에서 발생한 플라즈마는 기판과의 거리가 상대적으로 가깝기 때문에, 기판에 도달하는 플라즈마의 밀도가 상대적으로 높다. Referring to FIG. 5, since the plasma generated in the discharge space 311 adjacent to the fourth antenna 331d_ by the fourth antenna 331d is relatively close to the substrate, the density of the plasma reaching the substrate is relatively low high.

제어기(334)는 복수의 스위치(333)들을 제어한다. 제어기(334)는 각 스위치(333)들의 온/오프(ON/OFF)를 제어할 수 있다. 제어기(334)는 각 스위치(333)들을 독립적으로 제어한다. 예를 들어, 제1 스위치(333a)를 온(ON)하고 제2 스위치(333b) 내지 제4 스위치(333d)를 오프(OFF)할 수 있다. 또는, 제1 스위치(333a) 내지 제3 스위치(333c)를 오프(OFF)하고, 제4 스위치(333d)를 온(ON)할 수 있다. 이와 같이, 각 스위치들을 독립적으로 제어하여, 각 안테나(331)에 고주파 전력이 인가되는 것을 독립적으로 제어할 수 있다. 이 경우, 방전공간 중 고주파 전력이 인가된 안테나(331)에 인접한 공간에서 플라즈마가 발생한다.The controller 334 controls the plurality of switches 333. The controller 334 can control ON / OFF of each of the switches 333. The controller 334 controls each switch 333 independently. For example, the first switch 333a may be turned on and the second switch 333b to the fourth switch 333d may be turned off. Alternatively, the first switch 333a to the third switch 333c can be turned off and the fourth switch 333d can be turned on. As described above, it is possible to independently control the switches to be applied with high-frequency power to each antenna 331 independently. In this case, plasma is generated in a space adjacent to the antenna 331 to which the high-frequency power is applied, among the discharge spaces.

또한, 제어기(334)는 복수의 스위치(333)들 중 2이상의 스위치(333)를 함께 온/오프(OFF)할 수 있다. 예를 들어, 제1 스위치(333a)과 제2 스위치(333b)를 온(ON)하고, 제3 스위치(333c)과 제4 스위치(333d)를 오프(OFF)할 수 있다. 또는, 제1 스위치(333a)과 제4 스위치(333d)를 온(ON)하고, 제2 스위치(333b)과 제3 스위치(333c)를 오프(OFF)할 수 있다. Further, the controller 334 can turn on / off two or more switches 333 of the plurality of switches 333 together. For example, the first switch 333a and the second switch 333b can be turned on, and the third switch 333c and the fourth switch 333d can be turned off. Alternatively, the first switch 333a and the fourth switch 333d may be turned on, and the second switch 333b and the third switch 333c may be turned off.

상술한 바와 같이, 각 안테나(331)과 기판의 거리가 상이하고, 그에 따라 기판에 도달하는 플라즈마의 밀도가 상이하므로, 제거하고자 하는 박막의 종류 또는 공정의 목적에 적합하도록 기판에 도달하는 플라즈마의 밀도를 조절할 수 있다. 이와 관련하여서는, 기판을 처리하는 방법과 관련하여 상세히 후술한다.As described above, since the distance between each antenna 331 and the substrate is different and the density of the plasma reaching the substrate differs, the type of the thin film to be removed or the plasma reaching the substrate The density can be adjusted. In this regard, a method of processing the substrate will be described later in detail.

전력 인가부의 구조는 상술한 예에 한정되지 않고, 공정 가스로부터 플라즈마를 발생시키기 위한 다양한 구조가 사용될 수 있다. The structure of the power application portion is not limited to the above-described example, and various structures for generating plasma from the process gas may be used.

유도 유닛(340)은 플라즈마 챔버(310)와 하우징(110) 사이에 위치한다. 유도 유닛(340)는 하우징(110)의 개방된 상면을 밀폐하며, 하단에 하우징(110)과 배플(130)이 결합한다. 유도 유닛(340)의 내부에는 유입공간(341)이 형성된다. 유입 공간(341)은 방전 공간(311)과 처리 공간(111)을 연결하며, 방전 공간(311)에서 생성된 플라즈마가 처리 공간(111)으로 공급되는 통로로 제공한다. The induction unit 340 is positioned between the plasma chamber 310 and the housing 110. The induction unit 340 seals the open upper surface of the housing 110 and the housing 110 and the baffle 130 are coupled to each other at the lower end. An induction space 341 is formed in the induction unit 340. The inflow space 341 connects the discharge space 311 and the processing space 111 and provides a path through which plasma generated in the discharge space 311 is supplied to the processing space 111.

유입 공간(341)은 유입구(341a)와 확산 공간(341b)을 포함할 수 있다. 유입구(341a)는 방전 공간(311)의 하부에 위치하며, 방전 공간(311)과 연결된다. 방전 공간(311)에서 생성된 플라즈마는 유입구(341a)를 통해 유입된다. 확산 공간(341b)은 유입구(341a)의 하부에 위치하며, 유입구(341a)와 처리 공간(111)을 연결한다. 확산 공간(341b)은 아래로 갈수록 단면적이 점차 넓어진다. 확산 공간(341b)은 역 깔때기 형상을 가진 수 있다. 유입구(341a)에서 공급된 플라즈마는 확산 공간(341b)을 통과하는 동안 확산된다.The inflow space 341 may include an inlet 341a and a diffusion space 341b. The inlet 341a is located below the discharge space 311 and is connected to the discharge space 311. The plasma generated in the discharge space 311 flows through the inlet 341a. The diffusion space 341b is located below the inlet 341a and connects the inlet 341a and the processing space 111. [ The cross-sectional area of the diffusion space 341b is gradually widened downward. The diffusion space 341b may have an inverted funnel shape. The plasma supplied from the inlet 341a is diffused while passing through the diffusion space 341b.

방전 공간(311)에서 발생된 플라즈마가 하우징(110)로 공급되는 통로에는 제 2 공정 가스 공급부(322)가 연결될 수 있다. 예컨대, 제 2 공정 가스 공급부(322)는 안테나(331)의 하단이 제공되는 위치와 확산 공간(341b)의 상단이 제공되는 위치 사이에서 플라즈마가 흐르는 통로로 제 2 공정 가스를 공급한다. 선택적으로 제 2 공정 가스의 공급 없이 제 1 공정 가스만으로 식각 공정이 수행될 수도 있다.The second process gas supply unit 322 may be connected to a path through which the plasma generated in the discharge space 311 is supplied to the housing 110. For example, the second process gas supply unit 322 supplies the second process gas to the passage through which the plasma flows between the position where the lower end of the antenna 331 is provided and the position where the upper end of the diffusion space 341b is provided. Alternatively, the etching process may be performed with only the first process gas without the supply of the second process gas.

이하, 상술한 기판 처리 장치를 이용하여 기판을 처리하는 방법을 설명한다. 도 4 내지 도 7은 각 스위치(333)를 제어함에 따른 플라즈마의 유동을 간략하게 보여주는 도면이다.Hereinafter, a method of processing a substrate using the above-described substrate processing apparatus will be described. FIGS. 4 to 7 are views showing the flow of plasma as a result of controlling each switch 333.

기판을 처리하는 공정에 따라 제1 스위치(333a) 내지 제4 스위치(333d)를 독립적으로 제어한다. 상술한 바와 같이, 도 4를 참조하면, 기판과의 거리가 가장 먼 제1 안테나(331a)에 전력을 인가하여 플라즈마를 발생시키는 경우, 기판에 도달하는 플라즈마의 밀도가 낮다. 이 경우, 기판의 손상을 최소화하면서 정밀한 기판 처리가 가능하다. 따라서, 기판의 손상을 최소화하면서 기판을 정밀하게 처리하는 것이 목적이라면 도 4에 도시된 바와 같이, 제1 스위치(333a)를 온(ON)하고, 제2 내지 제4 스위치(333d)를 오프(OFF)할 수 있다. And controls the first switch 333a to the fourth switch 333d independently in accordance with the process of processing the substrate. As described above, referring to FIG. 4, when power is applied to the first antenna 331a, which is the longest distance from the substrate, plasma is generated, the density of the plasma reaching the substrate is low. In this case, accurate substrate processing can be performed while minimizing damage to the substrate. Therefore, if the object is to precisely process the substrate while minimizing damage to the substrate, the first switch 333a is turned on and the second to fourth switches 333d are turned off OFF).

도 5를 참조하면, 기판과의 거리가 가장 가까운 제4 안테나(331d)에 전력을 인가하여 플라즈마를 발생시키는 경우, 기판에 도달하는 플라즈마의 밀도가 높다. 이 경우, 기판의 처리 속도를 빠르게 할 수 있다. 일 예로, 높은 에칭 레이트(Etching rate)가 필요한 것이라면 도 5에 도시된 바와 같이, 제4 스위치(333d)를 온(ON)할 수 있다. 또한 도 6 내지 7에 도시된 바와 같이, 2이상의 스위치(331)를 온/오프(ON/OFF)할 수 있다.Referring to FIG. 5, when plasma is generated by applying electric power to the fourth antenna 331d which is closest to the substrate, the density of the plasma reaching the substrate is high. In this case, the processing speed of the substrate can be increased. For example, if a high etching rate is required, the fourth switch 333d may be turned on, as shown in FIG. Also, as shown in FIGS. 6 to 7, two or more switches 331 can be turned on / off.

한편, 플라즈마의 밀도를 조절하면, 산화막, 질화막, 폴리막, 포토레지스트막과 같은 막들을 처리하는 선택비를 조절할 수 있다. 제1 안테나(331a)에 의해 발생한 플라즈마가 기판에 도달할 때에는 플라즈마의 에너지가 상대적으로 작다. 또한, 제4 안테나(331d)에 의해 발생한 플라즈마가 기판에 도달할 때에는 플라즈마의 에너지가 상대적으로 크다. On the other hand, by controlling the density of the plasma, it is possible to control the selectivity for processing films such as an oxide film, a nitride film, a poly film, and a photoresist film. When the plasma generated by the first antenna 331a reaches the substrate, the plasma energy is relatively small. Further, when the plasma generated by the fourth antenna 331d reaches the substrate, the plasma energy is relatively large.

기판 상의 박막의 종류에 따라 서로 상이한 안테나(331)가 플라즈마를 발생시키도록 할 수 있다. 일 예로, 제1 박막 식각시에는 안테나(331)들 중 어느 하나의 안테나(331)로부터 플라즈마를 발생시키도록 하고, 제2 박막 식각시에는 다른 하나의 안테나(331)로부터 플라즈마를 발생시키도록 할 수 있다. It is possible to cause the antenna 331, which is different from each other depending on the kind of the thin film on the substrate, to generate plasma. For example, when the first thin film is etched, plasma is generated from any one of the antennas 331, and plasma is generated from the other antenna 331 during the second thin film etching .

도 6 및 도 7은 2이상의 스위치를 온/오프(ON/OFF)한 경우를 보여주는 도면이다. 화살표는 플라즈마의 유동 및 밀도를 보여준다. 도 6은 제1 스위치(333a)와 제3 스위치(333c)를 온(ON)시킨 경우를 보여주고, 도 7은 제2 스위치(333b)와 제4 스위치(333d)를 온(ON) 시킨 경우를 보여준다. 2이상의 박막을 식각할 때, 각 박막과 반응성이 큰 플라즈마를 발생시키기 위해서, 2이상의 스위치(333)를 함께 선택적으로 온/오프(ON/OFF) 할 수 있다. 이에 따라, 식각하고자 하는 박막들에 맞게 실시할 수 있다.6 and 7 are views showing the case where two or more switches are turned on / off (ON / OFF). The arrows show the flow and density of the plasma. 6 shows the case where the first switch 333a and the third switch 333c are turned on and Fig. 7 shows the case where the second switch 333b and the fourth switch 333d are turned on Lt; / RTI > When two or more thin films are etched, two or more switches 333 can be selectively turned on / off to generate a plasma that is highly reactive with each thin film. Accordingly, it can be carried out in accordance with the thin films to be etched.

이와 같이, 특정 에너지의 플라즈마에 반응하는 막들의 성질과 특성을 이용하여, 막들을 선택적으로 제거함으로써, 선택비를 제어할 수 있다. Thus, the selectivity can be controlled by selectively removing the films using the properties and properties of the films that respond to the plasma of a specific energy.

상술한 실시예에서는 하나의 전원부가 제공되고, 복수의 스위치를 통해 각각의 안테나에 전력을 공급하거나 차단하는 것으로 설명하였다. 그러나, 반드시 이에 한하는 것은 아니고, 도 8에 도시된 바와 같이, 각각의 안테나(1331a, 1331b, 1331c, 1331d)의 일단에는 별개의 전원부(1332a, 1332b, 1332c, 1332d)가 제공되고, 제어기(1334)는 각 전원부(1332a, 1332b, 1332c, 1332d)의 온/오프(ON/OFF)를 제어하여 각각의 안테나(1331a, 1331b, 1331c, 1331d)에 전력을 공급하거나 차단할 수 있다. 각각의 안테나(1331a, 1331b, 1331c, 1331d)의 타단은 접지(미도시)될 수 있다.In the above-described embodiment, one power supply unit is provided and electric power is supplied to or blocked from each antenna through a plurality of switches. 8, separate power sources 1332a, 1332b, 1332c, and 1332d are provided at one end of each of the antennas 1331a, 1331b, 1331c, and 1331d, and a controller (not shown) 1334 can control the ON / OFF of each of the power sources 1332a, 1332b, 1332c, 1332d to supply or cut off power to the respective antennas 1331a, 1331b, 1331c, 1331d. The other ends of the respective antennas 1331a, 1331b, 1331c, and 1331d may be grounded (not shown).

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 전술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The foregoing detailed description is illustrative of the present invention. In addition, the foregoing is intended to illustrate and explain the preferred embodiments of the present invention, and the present invention may be used in various other combinations, modifications, and environments. That is, it is possible to make changes or modifications within the scope of the concept of the invention disclosed in this specification, within the scope of the disclosure, and / or within the skill and knowledge of the art. The above-described embodiments illustrate the best mode for carrying out the technical idea of the present invention, and various modifications required for specific application fields and uses of the present invention are also possible. Accordingly, the detailed description of the invention is not intended to limit the invention to the disclosed embodiments. It is also to be understood that the appended claims are intended to cover such other embodiments.

20: 설비 전방 단부 모듈 30: 공정 처리실
120: 지지유닛 121: 지지판
330: 전력인가부 331: 안테나
332: 전원 333: 스위치
334: 제어기
20: Facility front end module 30: Process processing room
120: support unit 121: support plate
330: power applying unit 331: antenna
332: power source 333: switch
334:

Claims (10)

기판을 처리하는 장치에 있어서,
내부에 처리 공간이 형성된 공정 유닛; 및
상기 공정 유닛의 상부에 위치하고, 플라즈마를 발생시켜 상기 처리 공간으로 공급하는 플라즈마 발생 유닛;을 포함하고,
상기 공정 유닛은,
상기 처리 공간 내에서 기판을 지지하는 지지 유닛을 포함하며,
상기 플라즈마 발생 유닛은,
내부에 방전 공간을 가지는 플라즈마 챔버와;
상기 방전 공간으로 공정가스를 공급하는 공정가스 공급부와;
상기 방전 공간에 전력을 인가하는 전력 인가부를 포함하고,
상기 전력 인가부는,
플라즈마 챔버의 상하 방향을 따라 이격되어 복수개 제공되는 안테나와;
상기 안테나들 중 선택된 안테나에 전력을 공급하는 전원부;를 포함하는 기판 처리 장치.
An apparatus for processing a substrate,
A processing unit having a processing space formed therein; And
And a plasma generation unit located at an upper portion of the processing unit, for generating plasma and supplying the plasma to the processing space,
Wherein the processing unit comprises:
And a support unit for supporting the substrate in the processing space,
The plasma generating unit includes:
A plasma chamber having a discharge space therein;
A process gas supply unit for supplying process gas to the discharge space;
And a power applying unit for applying power to the discharge space,
The power-
A plurality of antennas spaced along a vertical direction of the plasma chamber;
And a power supply unit for supplying power to the selected one of the antennas.
제1항에 있어서,
상기 전원부는,
각각의 상기 안테나에 대응되며, 상기 전원으로부터 각각의 상기 안테나로의 전력 공급을 허용하거나 차단하는 복수의 스위치를 포함하는 기판 처리 장치.
The method according to claim 1,
The power supply unit,
And a plurality of switches corresponding to each of the antennas, the plurality of switches being adapted to allow or block power supply from the power supply to each of the antennas.
제2항에 있어서,
상기 전력 인가부는,
상기 스위치들의 온/오프(ON/OFF)를 제어하는 제어기;를 더 포함하되,
상기 제어기는,
상기 스위치들을 각각 독립적으로 제어하는 기판 처리 장치.
3. The method of claim 2,
The power-
And a controller for controlling ON / OFF of the switches,
The controller comprising:
And the switches are controlled independently of each other.
제3항에 있어서,
상기 제어기는,
상기 스위치들 중 2 이상의 스위치들을 함께 온/오프(ON/OFF)하는 기판 처리 장치.
The method of claim 3,
The controller comprising:
(ON / OFF) the at least two switches among the switches.
제1항 내지 제4항 중 어느 한 항에 있어서,
상기 플라즈마 발생 유닛과 상기 공정 유닛 사이에 위치하는 유도 유닛을 더 포함하고,
상기 유도 유닛은 상기 플라즈마가 상기 처리 공간으로 공급되는 유입 공간을 가지며,
상기 플라즈마는 상기 방전 공간, 상기 유입 공간, 그리고 상기 처리 공간을 순차적으로 통과하여 기판에 공급되는 기판 처리 장치.
5. The method according to any one of claims 1 to 4,
Further comprising an induction unit positioned between the plasma generating unit and the processing unit,
Wherein the induction unit has an inflow space through which the plasma is supplied to the processing space,
Wherein the plasma is sequentially supplied to the substrate through the discharge space, the inflow space, and the processing space sequentially.
제1항의 기판 처리 장치를 이용하여 기판을 처리하는 방법에 있어서, 상기 안테나들 중 선택된 안테나에 전력을 공급하여, 상기 방전 공간으로부터 기판에 공급되는 플라즈마의 밀도를 제어하는 기판 처리 방법.
A method of processing a substrate using the substrate processing apparatus of claim 1, wherein power of a selected one of the antennas is supplied to control the density of plasma supplied to the substrate from the discharge space.
제6항에 있어서,
상기 전원부로부터 각각의 상기 안테나들에 전력 공급을 허용하거나 차단하도록 각각의 상기 안테나들에 연결된 각각의 스위치들의 온/오프(ON/OFF)를 독립적으로 제어하는 기판 처리 방법.
The method according to claim 6,
(ON / OFF) of each of the switches connected to each of the antennas to allow or block power supply to each of the antennas from the power supply unit.
제7항에 있어서,
상기 복수의 스위치들 중 2 이상의 스위치들을 함께 온/오프(ON/OFF)하는 기판 처리 방법.
8. The method of claim 7,
(ON / OFF) the at least two switches among the plurality of switches together.
제7항 또는 제8항에 있어서,
상기 기판 상의 박막의 종류에 따라 서로 상이한 안테나가 플라즈마를 발생시키는 기판 처리 방법.
9. The method according to claim 7 or 8,
Wherein a different antenna generates plasma according to the kind of the thin film on the substrate.
제9항에 있어서,
기판 상의 제1 박막 식각시에는, 상기 안테나들 중 어느 하나의 안테나로부터 플라즈마를 발생시키고,
기판 상의 제2 박막 식각시에는, 상기 안테나들 중 다른 하나의 안테나로부터 플라즈마를 발생시키는 기판 처리 방법.
10. The method of claim 9,
A plasma is generated from any one of the antennas when the first thin film is etched on the substrate,
Wherein the plasma is generated from the other one of the antennas when the second thin film is etched on the substrate.
KR1020160052591A 2016-04-29 2016-04-29 Apparatus and method for treating substrate KR20170123740A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020160052591A KR20170123740A (en) 2016-04-29 2016-04-29 Apparatus and method for treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160052591A KR20170123740A (en) 2016-04-29 2016-04-29 Apparatus and method for treating substrate

Publications (1)

Publication Number Publication Date
KR20170123740A true KR20170123740A (en) 2017-11-09

Family

ID=60385797

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160052591A KR20170123740A (en) 2016-04-29 2016-04-29 Apparatus and method for treating substrate

Country Status (1)

Country Link
KR (1) KR20170123740A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210054325A (en) * 2019-11-05 2021-05-13 피에스케이 주식회사 A substrate processing apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050042701A (en) * 2003-11-04 2005-05-10 삼성전자주식회사 Helical resonator type plasma processing apparatus
KR20050087137A (en) * 2004-02-25 2005-08-31 주성엔지니어링(주) Antenna for plasma
KR20110073676A (en) * 2009-12-24 2011-06-30 주식회사 디엠에스 Plasma reactor for changing selectively combination structure of inductive coils according to predetermined etching condition, and etching method using the plasma reactor
KR20130056040A (en) * 2011-11-21 2013-05-29 피에스케이 주식회사 Apparatus and method for manufacturing semiconductor devices
KR20140089457A (en) * 2013-01-04 2014-07-15 피에스케이 주식회사 Plasma generating apparatus, method for controlling the same and apparatus for treating substrate using the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050042701A (en) * 2003-11-04 2005-05-10 삼성전자주식회사 Helical resonator type plasma processing apparatus
KR20050087137A (en) * 2004-02-25 2005-08-31 주성엔지니어링(주) Antenna for plasma
KR20110073676A (en) * 2009-12-24 2011-06-30 주식회사 디엠에스 Plasma reactor for changing selectively combination structure of inductive coils according to predetermined etching condition, and etching method using the plasma reactor
KR20130056040A (en) * 2011-11-21 2013-05-29 피에스케이 주식회사 Apparatus and method for manufacturing semiconductor devices
KR20140089457A (en) * 2013-01-04 2014-07-15 피에스케이 주식회사 Plasma generating apparatus, method for controlling the same and apparatus for treating substrate using the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210054325A (en) * 2019-11-05 2021-05-13 피에스케이 주식회사 A substrate processing apparatus

Similar Documents

Publication Publication Date Title
KR100270207B1 (en) Plasma treatment apparatus
KR100265288B1 (en) Baffle of etching equipment for fabricating semiconductor device
KR102116474B1 (en) Substrate processing apparatus and substrate processing method
WO2008021654A2 (en) Exhaust assembly for a plasma processing system and method
KR102380271B1 (en) Substrate processing apparatus and substrate processing method
JP4601104B2 (en) Plasma processing equipment
KR102083854B1 (en) Apparatus and method for treating substrate
KR101830939B1 (en) Apparatus for treating substrate
JP6602271B2 (en) Substrate processing apparatus and substrate processing method
KR20140144383A (en) Baffle unit, apparatus and method for treating substrate using the same
KR101568363B1 (en) Substrate treating apparatus and baffle
KR20170123740A (en) Apparatus and method for treating substrate
KR20130072941A (en) Plasma etching apparatus
KR102212998B1 (en) Apparatus for treating substrate
KR101905640B1 (en) Apparatus for treating substrate
KR20170116718A (en) Apparatus and method for treating substrate
KR101559874B1 (en) Substrate treating apparatus and chamber producing method
TWI787958B (en) Substrate processing apparatus and substrate processing method
KR100346524B1 (en) Apparatus for cleaning semiconductor wafer by means of plasma
CN218896616U (en) Semiconductor processing equipment
KR102553385B1 (en) Apparatus for treating substrate
KR20150009322A (en) Supporting unit and substrate treating apparatus including the same
KR102428349B1 (en) Support unit, substrate processing apparatus including same, and manufacturing method of support unit
KR102589182B1 (en) Apparatus for treating substrate and method for aligning dielectric plate using the same
KR102200709B1 (en) Wall liner unit and system for treating substrate with the wall liner unit

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment