KR20170054297A - Chemically amplified resist material and process for forming resist pattern - Google Patents

Chemically amplified resist material and process for forming resist pattern Download PDF

Info

Publication number
KR20170054297A
KR20170054297A KR1020160146538A KR20160146538A KR20170054297A KR 20170054297 A KR20170054297 A KR 20170054297A KR 1020160146538 A KR1020160146538 A KR 1020160146538A KR 20160146538 A KR20160146538 A KR 20160146538A KR 20170054297 A KR20170054297 A KR 20170054297A
Authority
KR
South Korea
Prior art keywords
group
radiation
compound
acid
carbon atoms
Prior art date
Application number
KR1020160146538A
Other languages
Korean (ko)
Inventor
히사시 나카가와
다케히코 나루오카
도모키 나가이
Original Assignee
제이에스알 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제이에스알 가부시끼가이샤 filed Critical 제이에스알 가부시끼가이샤
Publication of KR20170054297A publication Critical patent/KR20170054297A/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

Provided is a chemically amplified resist material capable of showing excellent lithography performance while maintain good sensitivity. The chemically amplified resist material includes: a polymer component (1) becoming available or unavailable for a developer depending on the action of acid; and a component (2) generating a radiation sensitive variation body and acid through light exposure. The component (2) contains a component (a), two random components among components (a)-(c), or all of the components (a)-(c). The component (a) or (c) includes a first compound having radiation sensitivity and a second compound having radiation sensitivity. The first compound includes a first onium cation and a first onium anion. The second compound includes a second onium cation and a second onium anion which is different from the first onium anion. Energy, emitted by the first and second onium cations when returned to a radical, is less than 5.0 eV.

Description

화학 증폭형 레지스트 재료 및 레지스트 패턴 형성 방법{CHEMICALLY AMPLIFIED RESIST MATERIAL AND PROCESS FOR FORMING RESIST PATTERN}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a chemically amplified resist material,

본 발명은 화학 증폭형 레지스트 재료 및 레지스트 패턴 형성 방법에 관한 것이다.The present invention relates to a chemically amplified resist material and a resist pattern forming method.

차세대의 반도체 디바이스를 제조하기 위한 요소 기술의 하나로서, EUV(극자외선광) 리소그래피가 주목받고 있다. EUV 리소그래피는, 노광 광으로서 파장 13.5㎚의 EUV광을 이용하는 패턴 형성 기술이다. EUV 리소그래피에 의하면, 반도체 디바이스 제조 프로세스의 노광 공정에 있어서, 매우 미세한 패턴(예를 들어 20㎚ 이하)을 형성할 수 있는 것이 실증되어 있다.EUV (extreme ultraviolet light) lithography has been attracting attention as one of the element technologies for manufacturing next-generation semiconductor devices. EUV lithography is a pattern formation technique using EUV light having a wavelength of 13.5 nm as exposure light. According to EUV lithography, it is demonstrated that a very fine pattern (for example, 20 nm or less) can be formed in an exposure process of a semiconductor device manufacturing process.

그러나, 현시점에서 개발되어 있는 EUV 광원은 출력이 낮기 때문에, 노광 처리에 장시간을 필요로 한다. 그로 인해, EUV 리소그래피는 실용성이 부족하다는 문제가 있다. 이 문제에 대하여, 감광성 수지인 레지스트 재료의 감도를 향상시키는 기술이 개발되어 있다(일본 특허 공개 제2002-174894호 공보 참조).However, since the EUV light source developed at present is low in output, a long time is required for the exposure processing. As a result, there is a problem that EUV lithography is not practical. To solve this problem, a technique for improving the sensitivity of a resist material as a photosensitive resin has been developed (see Japanese Patent Application Laid-Open No. 2002-174894).

그러나, 상기 기술에 있어서의 레지스트 재료라도 EUV광에 대한 감도는 불충분하고, 또한 EUV광에 대한 감도를 향상시키면, 나노에지 러프니스 등의 리소그래피 성능이 저하되기 쉽다는 문제가 있다. 이 문제는, 조사광으로서 전자선 등을 사용하는 경우에도 마찬가지로 존재한다.However, even the resist material in the above-described technique has insufficient sensitivity to EUV light, and if the sensitivity to EUV light is improved, lithography performance such as nano-edge roughness tends to deteriorate. This problem also exists when the electron beam or the like is used as the irradiation light.

일본 특허 공개 제2002-174894호 공보Japanese Patent Application Laid-Open No. 2002-174894

본 발명은 이상과 같은 사정에 기초하여 이루어진 것이며, 그 목적은 EUV광, 전자선, 이온빔 등의 전리 방사선, 또는 KrF 엑시머 레이저 및 ArF 엑시머 레이저 등의 250㎚ 이하의 파장을 갖는 비전리 방사선을 패턴 노광 광으로서 사용한 경우에 있어서 양호한 감도를 유지하면서 우수한 리소그래피 성능을 발휘하는 것이 가능한 화학 증폭형 레지스트 재료 및 이 화학 증폭형 레지스트 재료를 사용한 레지스트 패턴 형성 방법을 제공하는 데 있다.SUMMARY OF THE INVENTION The present invention has been made based on the above-described circumstances, and its object is to provide a method of irradiating a non-ionizing radiation having a wavelength of 250 nm or less, such as ionizing radiation such as EUV light, electron beam, ion beam, or KrF excimer laser and ArF excimer laser, A chemically amplified resist material capable of exhibiting excellent lithography performance while maintaining good sensitivity when used as light, and a resist pattern forming method using the chemically amplified resist material.

상기 과제를 해결하기 위하여 이루어진 발명은, (1) 산의 작용에 의해 현상액에 가용 또는 불용이 되는 중합체 성분과, (2) 노광에 의해 감방사선성 증감체 및 산을 발생시키는 성분을 포함하고, 상기 (2) 성분이 하기 (a) 성분, 하기 (a) 내지 (c) 성분 중의 임의의 2개의 성분, 또는 하기 (a) 내지 (c) 성분 모두를 함유하고, 상기 (a) 성분 또는 상기 (c) 성분이, 감방사선성을 갖는 제1 화합물(이하, 「[C1] 화합물」이라고도 함) 및 감방사선성을 갖는 제2 화합물(이하, 「[C2] 화합물」이라고도 함)을 갖고, 상기 [C1] 화합물이 제1 오늄 양이온(이하, 「양이온 (I)」이라고도 함)과 제1 음이온(이하, 「음이온 (I)」이라고도 함)을 포함하고, 상기 [C2] 화합물이 제2 오늄 양이온(이하, 「양이온 (Ⅱ)」라고도 함)과 상기 음이온 (I)과 상이한 제2 음이온(이하, 「음이온 (Ⅱ)」라고도 함)을 포함하고, 상기 양이온 (I) 및 상기 양이온 (Ⅱ)가, 라디칼로 환원될 때에 방출하는 에너지가 모두 5.0eV 미만인, 화학 증폭형 레지스트 재료이다.The present invention for solving the above problems is characterized in that (1) a polymer component which becomes soluble or insoluble in a developer by the action of an acid, (2) a component which generates a radiation- Wherein the component (2) comprises any one of the following components (a), (a) to (c), or both of the following components (a) to (c) (hereinafter also referred to as "[C2] compound") having a radiation-sensitive property, and the component (c) has a radiation-sensitive first compound (hereinafter also referred to as a " (C1) compound comprises a first onium cation (hereinafter also referred to as "cation (I)") and a first anion (hereinafter also referred to as "anion (I)") (Hereinafter also referred to as " anion (II) ") which is different from the anion (I) And the energy released when the cation (I) and the cation (II) are reduced to radicals is less than 5.0 eV.

(a) 250㎚ 이하의 파장을 갖는 방사선인 제1 방사선을 조사하고, 250㎚를 초과하는 파장을 갖는 방사선인 제2 방사선을 조사하지 않는 경우에, 산과, 상기 제2 방사선을 흡수하는 감방사선성 증감체를 발생시키며, 또한 상기 제1 방사선을 조사하지 않고 상기 제2 방사선만을 조사한 경우에 상기 산 및 감방사선성 증감체를 실질적으로 발생시키지 않는 감방사선성 산-증감체 발생제(a) irradiating a first radiation, which is radiation having a wavelength of 250 nm or shorter, and not irradiating a second radiation, which is radiation having a wavelength exceeding 250 nm, Sensitizer generator which generates a sensitizer and does not substantially generate the acid and the radiation-sensitive sensitizer when only the second radiation is irradiated without irradiating the first radiation,

(b) 상기 제1 방사선을 조사하고, 상기 제2 방사선을 방사하지 않는 경우에, 상기 제2 방사선을 흡수하는 감방사선성 증감체를 발생시키며, 또한 상기 제1 방사선을 조사하지 않고 상기 제2 방사선만을 조사한 경우에 상기 감방사선성 증감체를 실질적으로 발생시키지 않는 감방사선성 증감체 발생제(b) irradiates the first radiation and generates a radiation-sensitive sensitizer for absorbing the second radiation when the second radiation is not emitted, A radiation-sensitive sensitizer generator which does not substantially generate the radiation-sensitive sensitizer when irradiated only with radiation

(c) 상기 제1 방사선을 조사하고, 상기 제2 방사선을 방사하지 않는 경우에, 산을 발생시키며, 또한 상기 제1 방사선을 조사하지 않고 상기 제2 방사선만을 조사한 경우에 상기 산을 실질적으로 발생시키지 않는 감방사선성 산 발생제(c) generating an acid when irradiating the first radiation and not irradiating the second radiation, and substantially when the second radiation is irradiated without irradiating the first radiation, Non-sensitizing radiation-sensitive acid generator

상기 과제를 해결하기 위하여 이루어진 다른 발명은, 기판의 적어도 한쪽의 면에 상기 화학 증폭형 레지스트 재료를 사용하여 레지스트 재료막을 형성하는 막 형성 공정과, 상기 레지스트 재료막에 250㎚ 이하의 파장을 갖는 방사선을 조사하는 패턴 노광 공정과, 상기 패턴 노광 공정 후의 상기 레지스트 재료막에 250㎚를 초과하는 파장을 갖는 방사선을 조사하는 일괄 노광 공정과, 상기 일괄 노광 공정 후의 상기 레지스트 재료막을 가열하는 베이크 공정과, 상기 베이크 공정 후의 상기 레지스트 재료막을 현상액에 접촉시키는 현상 공정을 구비하는 레지스트 패턴 형성 방법이다.According to another aspect of the present invention, there is provided a method of manufacturing a semiconductor device, comprising the steps of: forming a resist material film on at least one surface of a substrate using the chemically amplified resist material; irradiating the resist material film with radiation having a wavelength of 250 nm or less A batch exposure step of irradiating the resist material film after the pattern exposure step with radiation having a wavelength exceeding 250 nm; a baking step of heating the resist material film after the batch exposure step; And a developing step of bringing the resist material film after the baking step into contact with a developing solution.

여기서, 「제1 방사선을 조사하지 않고 제2 방사선만을 조사한 경우에 상기 산 및 감방사선성 증감체가 실질적으로 발생하지 않는다」, 「제1 방사선을 조사하지 않고 제2 방사선만을 조사한 경우에 상기 감방사선성 증감체를 실질적으로 발생시키지 않는다」 및 「제1 방사선을 조사하지 않고 제2 방사선만을 조사한 경우에 상기 산을 실질적으로 발생시키지 않는다」란, 제2 방사선의 조사에 의해 산이나 감방사선성 증감체가 발생하지 않거나, 또는 제2 방사선의 조사에 의해 산이나 감방사선성 증감체가 발생한 경우라도, 제1 방사선을 조사하는 패턴 노광에 있어서의 노광부와 비노광부 사이에 있어서의 산이나 감방사선성 증감체의 농도의 차를 패턴 형성 가능한 정도의 크기로 유지할 수 있을 정도로 제2 방사선에 의한 상기 패턴 노광에 있어서의 비노광부의 산이나 감방사선성 증감체의 발생량이 적어, 그 결과, 현상 후에 상기 패턴 노광에 있어서의 노광부 또는 비노광부의 어느 하나만을 현상액에 용해시킬 수 있을 정도로 산이나 감방사선성 증감체의 발생량이 적은 것을 의미한다.Here, "the acid and the radiation-sensitive sensitizer do not substantially occur when only the second radiation is irradiated without irradiating the first radiation", "when the second radiation alone is not irradiated with the first radiation, Quot; does not substantially cause the growth sensitizer " and " does not substantially generate the acid when only the second radiation is irradiated without irradiating the first radiation " means that the irradiation with the second radiation Even when an acid or a radiation-sensitive sensitizer is generated by irradiation of the second radiation, the acid or the radiation sensitivity change between the exposed portion and the non-exposed portion in the pattern exposure for irradiating the first radiation In the pattern exposure by the second radiation to such an extent that the difference in the concentration of the sieve The amount of the acid or the radiation-sensitive sensitizer generated in the exposed area is small, and as a result, the amount of the acid or the amount of the radiation-sensitive sensitizer in the developing solution after the development is such that only one of the exposed part and non- Means less.

본 발명의 화학 증폭형 레지스트 재료는, EUV광, 전자선, 이온빔 등의 전리 방사선, 또는 KrF 엑시머 레이저 및 ArF 엑시머 레이저 등의 250㎚ 이하의 파장을 갖는 비전리 방사선을 패턴 노광 광으로서 사용한 경우에 있어서 양호한 감도를 유지하면서 우수한 리소그래피 성능을 발휘하는 것이 가능하다. 또한, 당해 화학 증폭형 레지스트 재료는 당해 레지스트 패턴 형성 방법에 적합하게 사용할 수 있다.When the chemically amplified resist material of the present invention uses ionizing radiation such as EUV light, electron beam, ion beam or the like, or non-ionizing radiation having a wavelength of 250 nm or less, such as KrF excimer laser and ArF excimer laser, It is possible to exhibit excellent lithography performance while maintaining good sensitivity. The chemically amplified resist material can be suitably used for the resist pattern forming method.

도 1은 본 발명에 관한 화학 증폭형 레지스트 재료를 사용한 레지스트 패턴 형성 방법의 일 실시 형태를 도시하는 공정도이다.
도 2는 종래의 화학 증폭형 레지스트 재료를 사용한 레지스트 패턴 형성 방법의 일례를 도시하는 공정도이다.
도 3은 본 발명에 관한 화학 증폭형 레지스트 재료를 사용한 레지스트 패턴 형성 방법의 다른 실시 형태를 도시하는 공정도이다.
도 4는 레지스트 재료막의 패턴 노광부의 흡광도와, 미노광부의 흡광도를 그래프로서 나타내는 개념도이다.
도 5의 (a)는 종래의 화학 증폭형 레지스트 재료를 사용한 레지스트 패턴 형성 방법에 의한 산 농도 분포를 그래프로서 나타내는 개념도이다. (b)는 본 실시 형태에 관한 화학 증폭형 레지스트 재료를 사용한 레지스트 패턴 형성 방법에 의한 감방사선성 증감체 농도 분포 및 산 농도 분포를 그래프로서 나타내는 개념도이다.
도 6은 본 발명의 일 실시 형태에 관한 반도체 디바이스의 제조 공정의 일례를 설명하는 단면도이며, (a)는 레지스트 패턴 형성 공정을 도시하는 단면도이며, (b)는 에칭 공정을 도시하는 단면도이며, (c)는 레지스트 패턴 제거 공정을 도시하는 단면도이다.
도 7은 패턴의 나노에지 러프니스를 도시하는 모식적인 평면도이다.
도 8은 패턴의 나노에지 러프니스를 도시하는 모식적 단면도이다.
1 is a process diagram showing an embodiment of a resist pattern forming method using a chemically amplified resist material according to the present invention.
2 is a process drawing showing an example of a resist pattern forming method using a conventional chemically amplified resist material.
3 is a process diagram showing another embodiment of the resist pattern forming method using the chemically amplified resist material according to the present invention.
4 is a conceptual diagram showing the absorbance of the pattern exposure unit and the absorbance of the unexposed portion of the resist material film in a graph.
FIG. 5A is a conceptual diagram showing a graph of an acid concentration distribution by a resist pattern forming method using a conventional chemically amplified resist material. FIG. (b) is a conceptual diagram showing, as a graph, a radiation-sensitive sensitizer concentration distribution and an acid concentration distribution by a resist pattern forming method using the chemically amplified resist material according to the present embodiment.
FIG. 6 is a cross-sectional view for explaining an example of a manufacturing process of a semiconductor device according to an embodiment of the present invention, wherein (a) is a cross-sectional view showing a resist pattern forming step, (b) (c) is a cross-sectional view showing a resist pattern removing step.
7 is a schematic plan view showing the nano-edge roughness of the pattern.
8 is a schematic cross-sectional view showing the nano-edge roughness of the pattern.

이하, 본 발명의 실시 형태에 대하여 상세하게 설명한다. 또한, 본 발명은 이하의 실시 형태에 한정되는 것은 아니다.Hereinafter, embodiments of the present invention will be described in detail. The present invention is not limited to the following embodiments.

<화학 증폭형 레지스트 재료>&Lt; Chemical amplification type resist material &

당해 화학 증폭형 레지스트 재료는 (1) 산의 작용에 의해 현상액에 가용 또는 불용이 되는 중합체 성분과, (2) 노광에 의해 감방사선성 증감체 및 산을 발생시키는 성분을 포함하고, 상기 (2) 성분이 하기 (a) 성분, 하기 (a) 내지 (c) 성분 중의 임의의 2개의 성분, 또는 하기 (a) 내지 (c) 성분 모두를 함유한다.The chemical amplification type resist material comprises (1) a polymer component that becomes soluble or insoluble in a developing solution by the action of an acid, (2) a component that generates a radiation-sensitive sensitizer and an acid by exposure, (A), any two of the following components (a) to (c), or both of the following components (a) to (c).

(a) 250㎚ 이하의 파장을 갖는 방사선인 제1 방사선을 조사하고, 250㎚를 초과하는 파장을 갖는 방사선인 제2 방사선을 조사하지 않는 경우에, 산과, 상기 제2 방사선을 흡수하는 감방사선성 증감체를 발생시키며, 또한 제1 방사선을 조사하지 않고 제2 방사선만을 조사한 경우에 상기 산 및 감방사선성 증감체를 실질적으로 발생시키지 않는 감방사선성 산-증감체 발생제(a) irradiating a first radiation, which is radiation having a wavelength of 250 nm or shorter, and not irradiating a second radiation, which is radiation having a wavelength exceeding 250 nm, Sensitizer generator which does not substantially generate the acid and the radiation-sensitive sensitizer when irradiated with only the second radiation without irradiating the first radiation,

(b) 상기 제1 방사선을 조사하고, 상기 제2 방사선을 방사하지 않는 경우에, 상기 제2 방사선을 흡수하는 감방사선성 증감체를 발생시키며, 또한 제1 방사선을 조사하지 않고 제2 방사선만을 조사한 경우에 상기 감방사선성 증감체를 실질적으로 발생시키지 않는 감방사선성 증감체 발생제 (c) 상기 제1 방사선을 조사하고, 상기 제2 방사선을 방사하지 않는 경우에, 산을 발생시키며, 또한 제1 방사선을 조사하지 않고 제2 방사선만을 조사한 경우에 상기 산을 실질적으로 발생시키지 않는 감방사선성 산 발생제(b) generating a radiation-sensitive sensitizer for absorbing the second radiation when irradiating the first radiation and not irradiating the second radiation, and wherein only the second radiation is irradiated without irradiating the first radiation (C) a radiation-sensitive sensitizer generator which does not substantially generate the radiation-sensitive sensitizer when irradiated with the first radiation, and generates an acid when the second radiation is not radiated, and A radiation-sensitive acid generator which does not substantially generate the acid when irradiating only the second radiation without irradiating the first radiation

당해 화학 증폭형 레지스트 재료는 (1) 중합체 성분 및 (2) 성분 이외에, 통상 용매를 포함하고, 산 확산 제어제, 라디칼 포착제, 가교제, 그 밖의 첨가제 등을 더 포함할 수도 있다.The chemical amplification type resist material may further include a solvent in addition to (1) the polymer component and the component (2), and may further include an acid diffusion controlling agent, a radical scavenger, a crosslinking agent, and other additives.

여기서, (2) 성분은, (1) 중합체 성분을 구성하는 중합체의 일부에 조립되어 있을 수도 있고, (1) 중합체 성분과는 상이한 성분일 수도 있다. 이 경우, (2) 성분의 일부가 (1) 중합체 성분과 상이한 성분일 수도, (2) 성분의 전부가 (1) 중합체 성분과 상이한 성분일 수도 있다.Here, the component (2) may be (1) incorporated into a part of the polymer constituting the polymer component, or may be a component different from (1) the polymer component. In this case, a part of the component (2) may be a component different from (1) the polymer component, and all of the component (2) may be a component different from (1) the polymer component.

제1 방사선의 파장의 상한으로서는, 250㎚가 바람직하고, 200㎚가 보다 바람직하다. 한편, 제2 방사선의 파장의 하한으로서는, 250㎚를 초과하는 것이 바람직하고, 300㎚가 보다 바람직하다. 제2 방사선의 파장의 상한으로서는 500㎚가 바람직하고, 400㎚가 보다 바람직하다.The upper limit of the wavelength of the first radiation is preferably 250 nm, more preferably 200 nm. On the other hand, the lower limit of the wavelength of the second radiation is preferably more than 250 nm, more preferably 300 nm. The upper limit of the wavelength of the second radiation is preferably 500 nm, more preferably 400 nm.

[(1) 중합체 성분][(1) Polymer component]

(1) 중합체 성분은 산의 작용에 의해 현상액에 가용 또는 불용이 되는 성분이다. (1) 중합체 성분으로서는, 예를 들어 산의 작용에 의해 극성기를 발생시키는 기(이하, 「산 해리성기」라고도 함)를 포함하는 구조 단위(이하, 「구조 단위 (I)」이라고도 함)를 갖는 제1 중합체(이하, 「[A] 중합체」라고도 함) 등을 들 수 있다. (1) 중합체 성분은, [A] 중합체를 갖는 한 구조 단위 (I)을 포함하지 않는 제2 중합체(이하, 「[B] 중합체」라고도 함)를 더 포함할 수도 있다.(1) The polymer component is a component that becomes soluble or insoluble in the developer due to the action of an acid. (1) The polymer component includes a structural unit (hereinafter also referred to as &quot; structural unit (I) &quot;) containing a group capable of generating a polar group by the action of an acid (Hereinafter, also referred to as &quot; [A] polymer &quot;). (1) The polymer component may further comprise a second polymer (hereinafter also referred to as &quot; [B] polymer &quot;) that does not contain a structural unit (I) having a polymer [A].

[A] 중합체 또는 [B] 중합체는, 불소 원자를 포함하는 구조 단위(이하, 「구조 단위 (Ⅱ)」라고도 함) 및 페놀성 수산기를 포함하는 구조 단위 (Ⅲ) 및 락톤 구조, 환상 카르보네이트 구조, 술톤 구조 또는 이들의 조합을 포함하는 구조 단위 (Ⅳ)를 더 가질 수도 있고, 구조 단위 (I) 내지 구조 단위 (Ⅳ) 이외의 그 밖의 구조 단위를 더 가질 수도 있다.(A) a polymer or a [B] polymer is a polymer comprising a structural unit (hereinafter also referred to as a "structural unit (II)") containing a fluorine atom, a structural unit (III) containing a phenolic hydroxyl group and a lactone structure, (IV) containing a carboxyl group, a carboxyl group, a carboxyl group, a carboxyl group, a carboxyl group, a carboxyl group, a carboxyl group, a carboxyl group, a nitrate structure, a sultone structure or a combination thereof.

[[A] 중합체 및 [B] 중합체][[A] Polymer and [B] Polymer]

[A] 중합체는 구조 단위 (I)을 갖는 중합체이다. [A] 중합체는 구조 단위 (Ⅱ) 내지 구조 단위 (Ⅳ)나, 그 밖의 구조 단위를 더 가질 수도 있다. [B] 중합체는 [A] 중합체와 상이한 중합체이다. [B] 중합체는 구조 단위 (Ⅱ)를 가지면 좋고, 구조 단위 (Ⅲ) 및 구조 단위 (Ⅳ)나, 구조 단위 (Ⅲ) 내지 구조 단위 (Ⅳ) 이외의 그 밖의 구조 단위를 가질 수도 있다.[A] Polymer is a polymer having a structural unit (I). The polymer [A] may further have the structural units (II) to (IV) or other structural units. The [B] polymer is a polymer different from the [A] polymer. The polymer [B] may have a structural unit (II) and may have other structural units other than the structural unit (III), the structural unit (IV), the structural unit (III) to the structural unit (IV).

(구조 단위 (I))(Structural unit (I))

구조 단위 (I)은 산 해리성기를 포함하는 구조 단위이다. [A] 중합체가 구조 단위 (I)을 가짐으로써, 당해 화학 증폭형 레지스트 재료의 감도 및 리소그래피 성능을 보다 향상시킬 수 있다. 구조 단위 (I)로서는, 예를 들어 하기 식 (a-1)로 표시되는 구조 단위(이하, 「구조 단위 (I-1)」이라고도 함), 하기 식 (a-2)로 표시되는 구조 단위(이하, 「구조 단위 (I-2)」라고도 함) 등을 들 수 있다. 하기 식 (a-1) 및 (a-2) 중 -CRA2RA3RA4 및 -CRA6RA7RA8로 표시되는 기는 산 해리성기이다.The structural unit (I) is a structural unit containing an acid dissociable group. By having the polymer [A] having the structural unit (I), the sensitivity and the lithographic performance of the chemically amplified resist material can be further improved. As the structural unit (I), for example, a structural unit represented by the following formula (a-1) (hereinafter also referred to as a "structural unit (I-1)"), a structural unit represented by the following formula (Hereinafter also referred to as &quot; structural unit (I-2) &quot;). The formula (a-1) and (a-2) a group of the acid-dissociable group represented by -CR A2 R A3 R A4 and R A6 -CR A7 R A8.

Figure pat00001
Figure pat00001

상기 식 (a-1) 중 RA1은 수소 원자, 불소 원자, 메틸기 또는 트리플루오로메틸기이다. RA2는 탄소수 1 내지 20의 1가의 탄화수소기이다. RA3 및 RA4는 각각 독립적으로, 탄소수 1 내지 20의 1가의 쇄상 탄화수소기 혹은 탄소수 3 내지 20의 1가의 지환식 탄화수소기이거나, 또는 이들 기가 서로 합쳐져, 이들이 결합하는 탄소 원자와 함께 구성되는 환원수 3 내지 20의 지환 구조를 나타낸다.In the formula (a-1), R A1 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R A2 is a monovalent hydrocarbon group of 1 to 20 carbon atoms. R A3 and R A4 are each independently a monovalent straight chain hydrocarbon group having 1 to 20 carbon atoms or a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms or a combination of these groups to form a reduced number of carbon atoms 3 to 20 alicyclic structures.

상기 식 (a-2) 중 RA5는 수소 원자, 불소 원자, 메틸기 또는 트리플루오로메틸기이다. RA6은 수소 원자 또는 탄소수 1 내지 20의 1가의 탄화수소기 또는 탄소수 1 내지 20의 1가의 옥시탄화수소기이다. RA7 및 RA8은 각각 독립적으로, 탄소수 1 내지 20의 1가의 탄화수소기 또는 탄소수 1 내지 20의 1가의 옥시탄화수소기이다. LA는 단결합, -O-, -COO- 또는 -CONH-이다.In the formula (a-2), R A5 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R A6 is a hydrogen atom, a monovalent hydrocarbon group having 1 to 20 carbon atoms, or a monovalent oxyhydrocarbon group having 1 to 20 carbon atoms. R A7 and R A8 each independently represent a monovalent hydrocarbon group of 1 to 20 carbon atoms or a monovalent oxyhydrocarbon group of 1 to 20 carbon atoms. L A is a single bond, -O-, -COO- or -CONH-.

상기 RA2, RA6, RA7 및 RA8로 표시되는 탄소수 1 내지 20의 1가의 탄화수소기로서는, 예를 들어 탄소수 1 내지 30의 쇄상 탄화수소기, 탄소수 3 내지 30의 지환식 탄화수소기, 탄소수 6 내지 30의 방향족 탄화수소기 등을 들 수 있다.Examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms represented by R A2 , R A6 , R A7 and R A8 include a linear hydrocarbon group having 1 to 30 carbon atoms, an alicyclic hydrocarbon group having 3 to 30 carbon atoms, And an aromatic hydrocarbon group of 1 to 30 carbon atoms.

상기 탄소수 1 내지 30의 1가의 쇄상 탄화수소기로서는, 예를 들어As the monovalent straight chain hydrocarbon group having 1 to 30 carbon atoms, for example,

메틸기, 에틸기, n-프로필기, i-프로필기 등의 알킬기;Alkyl groups such as methyl group, ethyl group, n-propyl group and i-propyl group;

에테닐기, 프로페닐기, 부테닐기 등의 알케닐기;Alkenyl groups such as an ethynyl group, a propenyl group, and a butenyl group;

에티닐기, 프로피닐기, 부티닐기 등의 알키닐기 등을 들 수 있다.An alkynyl group such as an ethynyl group, a propynyl group, and a butynyl group.

상기 탄소수 3 내지 30의 1가의 지환식 탄화수소기로서는, 예를 들어As the monovalent alicyclic hydrocarbon group having 3 to 30 carbon atoms, for example,

시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로펜틸기, 시클로옥틸기, 시클로데실기, 시클로도데실기 등의 포화 단환 탄화수소기;Saturated monocyclic hydrocarbon groups such as cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, cyclopentyl group, cyclooctyl group, cyclodecyl group and cyclododecyl group;

시클로프로페닐기, 시클로부테닐기, 시클로펜테닐기, 시클로헥세닐기, 시클로옥테닐기, 시클로데세닐기 등의 불포화 단환 탄화수소기;An unsaturated monocyclic hydrocarbon group such as a cyclopropenyl group, a cyclobutenyl group, a cyclopentenyl group, a cyclohexenyl group, a cyclooctenyl group, and a cyclodecenyl group;

비시클로[2.2.1]헵타닐기, 비시클로[2.2.2]옥타닐기, 트리시클로[3.3.1.13, 7]데카닐기 등의 포화 다환 탄화수소기;Bicyclo [2.2.1] hepta group, a bicyclo [2.2.2] octa group, a tricyclo [3.3.1.1 3, 7] a saturated polycyclic hydrocarbon group such as decamethylene group;

비시클로[2.2.1]헵테닐기, 비시클로[2.2.2]옥테닐기 등의 불포화 다환 탄화수소기 등을 들 수 있다.And an unsaturated polycyclic hydrocarbon group such as a bicyclo [2.2.1] heptenyl group and a bicyclo [2.2.2] octenyl group.

상기 탄소수 6 내지 30의 1가의 방향족 탄화수소기로서는, 예를 들어As the monovalent aromatic hydrocarbon group having 6 to 30 carbon atoms, for example,

페닐기, 톨릴기, 크실릴기, 메시틸기, 나프틸기, 메틸나프틸기, 안트릴기, 메틸안트릴기 등의 아릴기;Aryl groups such as phenyl, tolyl, xylyl, mesityl, naphthyl, methylnaphthyl, anthryl and methyl anthryl groups;

벤질기, 페네틸기, 나프틸메틸기, 안트릴메틸기 등의 아르알킬기 등을 들 수 있다.And aralkyl groups such as a benzyl group, a phenethyl group, a naphthylmethyl group, and an anthrylmethyl group.

상기 RA2로서는 쇄상 탄화수소기 및 시클로알킬기가 바람직하고, 알킬기 및 시클로알킬기가 보다 바람직하고, 메틸기, 에틸기, 프로필기, 시클로펜틸기, 시클로헥실기, 시클로옥틸기 및 아다만틸기가 더욱 바람직하다.R A2 is preferably a chain hydrocarbon group or a cycloalkyl group, more preferably an alkyl group or a cycloalkyl group, and further preferably a methyl group, an ethyl group, a propyl group, a cyclopentyl group, a cyclohexyl group, a cyclooctyl group and an adamantyl group.

상기 RA3 및 RA4로 표시되는 탄소수 1 내지 20의 1가의 쇄상 탄화수소기 및 탄소수 3 내지 20의 1가의 지환식 탄화수소기로서는, 예를 들어 상기 RA2, RA6, RA7 및 RA8에서 예시한 것과 마찬가지의 기 등을 들 수 있다.Examples of the monovalent straight chain hydrocarbon group having 1 to 20 carbon atoms and the monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by R A3 and R A4 include groups represented by R A2 , R A6 , R A7 and R A8 , And the like.

상기 RA3 및 RA4의 기가 서로 합쳐져, 이들이 결합하는 탄소 원자와 함께 구성되는 환원수 3 내지 20의 지환 구조로서는, 예를 들어Examples of the alicyclic structure having 3 to 20 reduced groups formed by combining the groups R A3 and R A4 together with the carbon atoms to which they are bonded include,

시클로프로판 구조, 시클로부탄 구조, 시클로펜탄 구조, 시클로펜텐 구조, 시클로펜타디엔 구조, 시클로헥산 구조, 시클로옥탄 구조, 시클로데칸 구조 등의 단환의 시클로알칸 구조;Monocyclic cycloalkane structures such as a cyclopropane structure, a cyclobutane structure, a cyclopentane structure, a cyclopentene structure, a cyclopentadiene structure, a cyclohexane structure, a cyclooctane structure, and a cyclodecane structure;

노르보르난 구조, 아다만탄 구조, 트리시클로데칸 구조, 테트라시클로도데칸 구조 등의 다환의 시클로알칸 구조 등을 들 수 있다.A cycloalkane structure such as a norbornane structure, an adamantane structure, a tricyclodecane structure, and a tetracyclododecane structure; and the like.

상기 RA3 및 RA4로서는 알킬기, 이들 기가 서로 합쳐져, 이들이 결합하는 탄소 원자와 함께 구성되는 단환의 시클로알칸 구조, 노르보르난 구조 및 아다만탄 구조가 바람직하고, 메틸기, 에틸기, 시클로펜탄 구조, 시클로헥산 구조 및 아다만탄 구조가 보다 바람직하다.As R A3 and R A4 , an alkyl group, a monocyclic cycloalkane structure, a norbornane structure and an adamantane structure each of which is taken together with a carbon atom to which they are bonded are preferable, and a methyl group, an ethyl group, a cyclopentane structure, A cyclohexane structure and an adamantane structure are more preferable.

상기 RA6, RA7 및 RA8로 표시되는 탄소수 1 내지 20의 1가의 옥시탄화수소기로서는, 예를 들어 상기 RA2, RA6, RA7 및 RA8의 탄소수 1 내지 20의 1가의 탄화수소기로서 예시한 것의 탄소-탄소 사이에 산소 원자를 포함하는 기 등을 들 수 있다.Examples of the monovalent oxyhydrocarbon group having 1 to 20 carbon atoms represented by R A6 , R A7 and R A8 include monovalent hydrocarbon groups of 1 to 20 carbon atoms represented by R A2 , R A6 , R A7 and R A8 A group containing an oxygen atom between the carbon-carbon atoms of the exemplified group, and the like.

상기 RA6, RA7 및 RA8로서는 쇄상 탄화수소기와, 산소 원자를 포함하는 지환식 탄화수소기가 바람직하다.As R A6 , R A7 and R A8, a chain hydrocarbon group and an alicyclic hydrocarbon group containing an oxygen atom are preferable.

상기 LA로서는 단결합 및 -COO-이 바람직하고, 단결합이 보다 바람직하다.As L A, a single bond and -COO- are preferable, and a single bond is more preferable.

상기 RA1로서는 구조 단위 (I)을 부여하는 단량체의 공중합성의 관점에서, 수소 원자 및 메틸기가 바람직하고, 메틸기가 보다 바람직하다.As R A1 , a hydrogen atom and a methyl group are preferable, and a methyl group is more preferable from the viewpoint of copolymerization of a monomer giving the structural unit (I).

상기 RA5로서는 구조 단위 (I)을 부여하는 단량체의 공중합성의 관점에서, 수소 원자 및 메틸기가 바람직하고, 수소 원자가 보다 바람직하다.As R A5 , a hydrogen atom and a methyl group are preferable, and a hydrogen atom is more preferable from the viewpoint of copolymerization of a monomer giving the structural unit (I).

구조 단위 (I-1)로서는, 예를 들어 하기 식 (a-1-a) 내지 (a-1-d)로 표시되는 구조 단위(이하, 「구조 단위 (I-1-a) 내지 (I-1-d)」라고도 함) 등을 들 수 있다. 구조 단위 (I-2)로서는 하기 식 (a-2-a)로 표시되는 구조 단위(이하, 「구조 단위 (I-2-a)」라고도 함) 등을 들 수 있다.Examples of the structural unit (I-1) include structural units represented by the following formulas (a-1-a) to (a- -1-d) &quot;), and the like. Examples of the structural unit (I-2) include a structural unit represented by the following formula (a-2-a) (hereinafter also referred to as "structural unit (I-2-a)").

Figure pat00002
Figure pat00002

상기 식 (a-1-a) 내지 (a-1-d) 중 RA1 내지 RA4는 상기 식 (a-1)과 동의이다. na는 1 내지 4의 정수이다. 상기 식 (a-2-a) 중 RA5 내지 RA8은 상기 식 (a-2)와 동의이다.R A1 to R A4 in the above formulas (a-1-a) to (a-1-d) are synonymous with the above formula (a-1). n a is an integer of 1 to 4; R A5 to R A8 in the formula (a-2-a) are the same as those in the formula (a-2).

na로서는 1, 2 및 4가 바람직하고, 1이 보다 바람직하다.As n a, 1, 2 and 4 are preferable, and 1 is more preferable.

구조 단위 (I-1-a) 내지 (I-1-d)로서는, 예를 들어 하기 식으로 표시되는 구조 단위 등을 들 수 있다.Examples of the structural units (I-1-a) to (I-1-d) include structural units represented by the following formulas.

Figure pat00003
Figure pat00003

Figure pat00004
Figure pat00004

상기 식 중 RA1은 상기 식 (a-1)과 동의이다. Wherein R A1 is as defined in the above formula (a-1).

구조 단위 (I-2)로서는, 예를 들어 하기 식으로 표시되는 구조 단위 등을 들 수 있다.Examples of the structural unit (I-2) include structural units represented by the following formulas.

Figure pat00005
Figure pat00005

상기 식 중 RA5는 상기 식 (a-2)와 동의이다. Wherein R A5 is as defined in the above formula (a-2).

구조 단위 (I)로서는 구조 단위 (I-1-a) 내지 (I-1-d)가 바람직하고, 2-메틸-2-아다만틸(메트)아크릴레이트에서 유래하는 구조 단위, 2-i프로필-2-아다만틸(메트)아크릴레이트에서 유래하는 구조 단위, 1-메틸-1-시클로펜틸(메트)아크릴레이트에서 유래하는 구조 단위, 1-에틸-1-시클로헥실(메트)아크릴레이트에서 유래하는 구조 단위, 1-i프로필-1-시클로펜틸(메트)아크릴레이트에서 유래하는 구조 단위, 2-시클로헥실프로판-2-일(메트)아크릴레이트에서 유래하는 구조 단위 및 2-(아다만탄-1-일)프로판-2-일(메트)아크릴레이트에서 유래하는 구조 단위가 보다 바람직하다.As the structural unit (I), structural units (I-1-a) to (I-1-d) are preferable, structural units derived from 2-methyl-2-adamantyl (meth) (Meth) acrylate, a structural unit derived from 1-methyl-1-cyclopentyl (meth) acrylate, a structural unit derived from 1-ethyl-1-cyclohexyl (Meth) acrylate, a structural unit derived from 1-propyl-1-cyclopentyl (meth) acrylate, a structural unit derived from 2-cyclohexylpropan-2- Propan-2-yl (meth) acrylate is more preferable.

[A] 중합체를 구성하는 전체 구조 단위에 대한 구조 단위 (I)의 함유 비율의 하한으로서는 10몰%가 바람직하고, 20몰%가 보다 바람직하고, 25몰%가 더욱 바람직하고, 30몰%가 특히 바람직하다. 한편, 상기 함유 비율의 상한으로서는 80몰%가 바람직하고, 70몰%가 보다 바람직하고, 65몰%가 더욱 바람직하고, 60몰%가 특히 바람직하다.The lower limit of the content ratio of the structural unit (I) to the total structural units of the polymer [A] is preferably 10 mol%, more preferably 20 mol%, still more preferably 25 mol%, and 30 mol% Particularly preferred. On the other hand, the upper limit of the content is preferably 80 mol%, more preferably 70 mol%, still more preferably 65 mol%, and particularly preferably 60 mol%.

상기 함유 비율을 상기 범위로 함으로써, 당해 화학 증폭형 레지스트 재료로 형성되는 레지스트 재료막의 패턴 노광부와 비노광부의 현상액에 대한 용해 콘트라스트를 충분히 확보할 수 있어, 그 결과, 해상성 등이 향상된다.By setting the content ratio within the above range, it is possible to sufficiently secure the dissolution contrast to the developing solution of the pattern exposure portion and the non-visible portion of the resist material film formed from the chemically amplified resist material, and as a result, the resolution and the like are improved.

(구조 단위 (Ⅱ))(Structural unit (II))

구조 단위 (Ⅱ)는 불소 원자를 포함하는 구조 단위이다(단, 구조 단위 (I)에 해당하는 것을 제외함). 구조 단위 (Ⅱ)는 통상 염 구조를 포함하지 않는다. 이 구조 단위 (Ⅱ)로서는, 예를 들어 하기 식 (f-1) 내지 (f-4)로 표시되는 구조 단위 등을 들 수 있다.The structural unit (II) is a structural unit containing a fluorine atom (except for the structural unit (I)). The structural unit (II) does not usually contain a salt structure. Examples of the structural unit (II) include structural units represented by the following formulas (f-1) to (f-4).

Figure pat00006
Figure pat00006

상기 식 (f-1) 중 RF1은 수소 원자, 불소 원자, 메틸기 또는 트리플루오로메틸기이다. LF1은 단결합, 산소 원자, 황 원자, -CO-O-, -SO2-O-NH-, -CO-NH- 또는 -O-CO-NH-이다. RF2는 탄소수 1 내지 20의 1가의 불소화탄화수소기이다.In the formula (f-1), R F1 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. L F1 is a single bond, an oxygen atom, a sulfur atom, -CO-O-, -SO 2 -O-NH-, -CO-NH- or -O-CO-NH-. R F2 is a monovalent fluorinated hydrocarbon group having 1 to 20 carbon atoms.

상기 식 (f-2) 중 RF3은 수소 원자, 불소 원자, 메틸기 또는 트리플루오로메틸기이다. LF2는 단결합, 산소 원자, 황 원자, -CO-O-, -SO2-O-NH-, -CO-NH- 또는 -O-CO-NH-이다. RF4는 단결합, 탄소수 1 내지 20의 (u+1)가의 탄화수소기, 또는 이 탄화수소기의 RF5측의 말단에 산소 원자, 황 원자, -NRFF1-, 카르보닐기, -CO-O- 혹은 -CO-NH-가 결합한 구조이다. RFF1은 수소 원자 또는 탄소수 1 내지 10의 1가의 탄화수소기이다. RF5는 단결합 또는 탄소수 1 내지 20의 2가의 유기기이다. LF3은 단결합 또는 탄소수 1 내지 20의 2가의 불소화 쇄상 탄화수소기이다. A1은 산소 원자, -NRFF2-, -CO-O-* 또는 -SO2-O-*이다. RFF2는 수소 원자 또는 탄소수 1 내지 10의 1가의 탄화수소기이다. *은 RF6에 결합하는 부위를 나타낸다. RF6은 수소 원자 또는 탄소수 1 내지 30의 1가의 유기기이다. u는 1 내지 3의 정수이다. 단, u가 1인 경우, RF4는 단결합일 수도 있다. u가 2 또는 3인 경우, 복수의 RF5는 동일할 수도 있고 상이할 수도 있고, 복수의 LF3은 동일할 수도 있고 상이할 수도 있고, 복수의 A1은 동일할 수도 있고 상이할 수도 있고, 복수의 RF6은 동일할 수도 있고 상이할 수도 있다.In the formula (f-2), R F3 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. L F2 is a single bond, oxygen, sulfur, -CO-O-, -SO 2 -O -NH-, -CO-NH- or -O-CO-NH-. R F4 is a single bond, C 1 -C 20 (u + 1) valent hydrocarbon group, or an oxygen atom at the terminal of R F5 side of the hydrocarbon group, a sulfur atom, -NR FF1 -, carbonyl, -CO-O- or -CO-NH-. R FF1 is a hydrogen atom or a monovalent hydrocarbon group of 1 to 10 carbon atoms. R F5 is a single bond or a divalent organic group having 1 to 20 carbon atoms. L F3 is a single bond or a divalent fluorinated chain hydrocarbon group having 1 to 20 carbon atoms. A 1 is an oxygen atom, -NR FF2 -, it is -CO-O- or * -SO 2 -O- *. R FF2 is a hydrogen atom or a monovalent hydrocarbon group of 1 to 10 carbon atoms. * Represents a site bonding to R F6 . R F6 is a hydrogen atom or a monovalent organic group having 1 to 30 carbon atoms. u is an integer of 1 to 3; However, when u is 1, R F4 may be a single bond. When u is 2 or 3, plural R F5 may be the same or different, plural L F3 may be the same or different, plural A 1 may be the same or different, The plurality of R F6 may be the same or different.

상기 식 (f-3) 중 RF7은 수소 원자, 불소 원자, 메틸기, 트리플루오로메틸기 또는 탄소수 2 내지 20의 1가의 카르보닐옥시탄화수소기이다. LF4는 단결합, 산소 원자, 황 원자, -CO-O-, -SO2-O-NH-, -CO-NH- 또는 -O-CO-NH-이다. RF8은 단결합 또는 탄소수 1 내지 20의 2가의 유기기이다. RF9 및 RF10은 각각 독립적으로, 탄소수 1 내지 10의 알킬기 또는 탄소수 1 내지 10의 불소화알킬기이다. 단, RF9 및 RF10 중 어느 하나는 불소화알킬기이다. v는 1 내지 3의 정수이다. v가 2 또는 3인 경우, 복수의 RF9는 동일할 수도 있고 상이할 수도 있고, 복수의 RF10은 동일할 수도 있고 상이할 수도 있다.In the formula (f-3), R F7 is a hydrogen atom, a fluorine atom, a methyl group, a trifluoromethyl group or a monovalent carbonyloxy hydrocarbon group having 2 to 20 carbon atoms. L F4 is a single bond, an oxygen atom, a sulfur atom, -CO-O-, -SO 2 -O-NH-, -CO-NH- or -O-CO-NH-. R F8 is a single bond or a divalent organic group having 1 to 20 carbon atoms. R F9 and R F10 are each independently an alkyl group having 1 to 10 carbon atoms or a fluorinated alkyl group having 1 to 10 carbon atoms. Provided that any one of R F9 and R F10 is a fluorinated alkyl group. v is an integer of 1 to 3; When v is 2 or 3, plural R F9 may be the same or different, and plural R F10 may be the same or different.

상기 식 (f-4) 중 RF11은 수소 원자, 불소 원자, 메틸기 또는 트리플루오로메틸기이다. RF12 및 RF13은 각각 독립적으로, 수소 원자, 할로겐 원자, 히드록시기 또는 탄소수 1 내지 20의 1가의 유기기이다. w는 1 내지 4의 정수이다. w가 2 이상인 경우, 복수의 RF12는 동일할 수도 있고 상이할 수도 있고, 복수의 RF13은 동일할 수도 있고 상이할 수도 있다. 1개 또는 복수의 RF12 및 1개 또는 복수의 RF13 중 2개 이상은 서로 합쳐져, 이들이 결합하는 탄소 원자 또는 탄소쇄와 함께 구성되는 환원수 3 내지 20의 환 구조를 형성하고 있을 수도 있다. RF14 및 RF15는 각각 독립적으로, 수소 원자 또는 탄소수 1 내지 20의 1가의 유기기이다. 단, RF14 및 RF15 중 적어도 한쪽은, 적어도 1개의 수소 원자가 불소 원자로 치환된 탄소수 1 내지 20의 1가의 유기기이다. RF14와 RF15는 서로 합쳐져, 이들이 결합하는 탄소 원자와 함께 구성되는 환원수 3 내지 20의 환 구조를 형성하고 있을 수도 있다.In formula (f-4), R F11 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R F12 and R F13 each independently represent a hydrogen atom, a halogen atom, a hydroxyl group or a monovalent organic group having 1 to 20 carbon atoms. w is an integer of 1 to 4; When w is 2 or more, a plurality of R F12 may be the same or different, and a plurality of R F13 may be the same or different. Two or more of one or more R F12 and one or more R F13 may combine with each other to form a ring structure of 3 to 20 reduced numbers constituted together with a carbon atom or a carbon chain to which they are bonded. R F14 and R F15 each independently represent a hydrogen atom or a monovalent organic group having 1 to 20 carbon atoms. Provided that at least one of R F14 and R F15 is a monovalent organic group having 1 to 20 carbon atoms in which at least one hydrogen atom is substituted with a fluorine atom. R F14 and R F15 may combine with each other to form a ring structure having 3 to 20 reduced numbers formed together with the carbon atoms to which they are bonded.

상기 RF1, RF3 및 RF11로서는 수소 원자 및 메틸기가 바람직하고, 메틸기가 보다 바람직하다. 상기 RF7로서는 수소 원자, 메틸기 및 1가의 카르보닐옥시탄화수소기가 바람직하고, 메틸기 및 알콕시카르보닐기가 보다 바람직하고, 메틸기 및 에톡시카르보닐기가 더욱 바람직하다.As R F1 , R F3 and R F11, a hydrogen atom and a methyl group are preferable, and a methyl group is more preferable. As R F7 , a hydrogen atom, a methyl group and a monovalent carbonyloxy hydrocarbon group are preferable, and a methyl group and an alkoxycarbonyl group are more preferable, and a methyl group and an ethoxycarbonyl group are more preferable.

상기 LF1, LF2 및 LF4로서는 단결합, 산소 원자 및 -CO-O-가 바람직하고, -CO-O-가 보다 바람직하다.As L F1 , L F2 and L F4 , a single bond, an oxygen atom and -CO-O- are preferable, and -CO-O- is more preferable.

상기 RF2로 표시되는 탄소수 1 내지 20의 1가의 불소화탄화수소기로서는 탄소수 1 내지 20의 1가의 탄화수소기가 갖는 수소 원자의 일부 또는 전부를 불소 원자로 치환한 것을 들 수 있다. 상기 탄소수 1 내지 20의 1가의 탄화수소기로서는, 예를 들어 상기 RA2, RA6, RA7 및 RA8에서 예시한 것과 마찬가지의 기 등을 들 수 있다.Examples of the monovalent fluorinated hydrocarbon group having 1 to 20 carbon atoms represented by R F2 include those obtained by substituting a part or all of hydrogen atoms contained in a monovalent hydrocarbon group having 1 to 20 carbon atoms with a fluorine atom. Examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms include the same groups as exemplified above for R A2 , R A6 , R A7 and R A8 .

상기 RF2로서는 불소화 쇄상 탄화수소기가 바람직하고, 불소화알킬기가 보다 바람직하고, 불소화메틸기 및 불소화에틸기가 더욱 바람직하다.The R F2 is preferably a fluorinated chain hydrocarbon group, more preferably a fluorinated alkyl group, more preferably a fluorinated methyl group and a fluorinated ethyl group.

상기 RF4로 표시되는 탄소수 1 내지 20의 (u+1)가의 탄화수소기로서는, 예를 들어 상기 RA2, RA6, RA7 및 RA8에서 예시한 탄소수 1 내지 20의 1가의 탄화수소기로부터, 추가로 u개의 수소 원자를 제외한 것 등을 들 수 있다.Examples of the (u + 1) -valent hydrocarbon group having 1 to 20 carbon atoms represented by R F4 include monovalent hydrocarbon groups having 1 to 20 carbon atoms as exemplified above for R A2 , R A6 , R A7 and R A8 , And additionally u hydrogen atoms are excluded.

상기 RFF1로서는 수소 원자 및 탄소수 1 내지 10의 알킬기가 바람직하고, 수소 원자, 메틸기 및 에틸기가 보다 바람직하다.The R FF1 is preferably a hydrogen atom or an alkyl group having 1 to 10 carbon atoms, more preferably a hydrogen atom, a methyl group and an ethyl group.

상기 RF4로서는 단결합, 탄소수 1 내지 20의 (u+1)가의 쇄상 탄화수소기 및 탄소수 6 내지 20의 (u+1)가의 방향족 탄화수소기가 바람직하고, 단결합, 탄소수 1 내지 10의 (u+1)가의 쇄상 탄화수소기 및 탄소수 6 내지 10의 (u+1)가의 쇄상 탄화수소기가 더욱 바람직하다.The R F4 is preferably a single bond, a (u + 1) th chain hydrocarbon group having 1 to 20 carbon atoms and an aromatic hydrocarbon group having 6 to 20 carbon atoms and having a single bond, a (u + 1) -order chain hydrocarbon group and (u + 1) -order chain hydrocarbon group having 6 to 10 carbon atoms are more preferable.

상기 RF5 및 RF8로 표시되는 탄소수 1 내지 20의 2가의 유기기로서는, 예를 들어 2가의 탄화수소기, 이 탄화수소기의 탄소-탄소 사이 또는 결합손측의 말단에 2가의 헤테로 원자 함유기를 포함하는 기, 이들 기의 수소 원자의 일부 또는 전부를 치환기로 치환한 기 등을 들 수 있다.Examples of the divalent organic group having 1 to 20 carbon atoms represented by R F5 and R F8 include divalent hydrocarbon groups, divalent hydrocarbon groups, carbon-carbon bonds in the hydrocarbon groups, or divalent heteroatom-containing groups A group in which a part or all of the hydrogen atoms of these groups are substituted with a substituent, and the like.

상기 탄소수 1 내지 20의 2가의 탄화수소기로서는, 예를 들어Examples of the divalent hydrocarbon group having 1 to 20 carbon atoms include, for example,

메탄디일기, 에탄디일기, 프로판디일기, 부탄디일기 등의 알칸디일기;Alkanediyl groups such as methanediyl, ethanediyl, propanediyl and butanediyl;

에텐디일기, 프로펜디일기, 부텐디일기 등의 알켄디일기;Alkenediyl groups such as an etendiyl group, a propenediyl group, and a butenediyl group;

에틴디일기, 프로핀디일기, 부틴디일기 등의 알킨디일기 등의 쇄상 탄화수소기;Chain hydrocarbon groups such as an alkynediyl group such as an ethynyl group, an ethynyl group, an ethynyl group, an ethynyl group, an ethynyl group, an ethynyl group, a propynyl group,

시클로프로판디일기, 시클로부탄디일기, 시클로펜탄디일기, 시클로헥산디일기 등의 단환의 시클로알칸디일기;Monocyclic cycloalkanediyl groups such as cyclopropanediyl, cyclobutanediyl, cyclobutanediyl, cyclopentanediyl, cycloheptanediyl and cyclohexanediyl;

시클로프로펜디일기, 시클로부텐디일기 등의 단환의 시클로알켄디일기;Monocyclic cycloalkenediyl groups such as cyclopropenediyl group and cyclobutenediyl group;

노르보르난디일기, 아다만탄디일기, 트리시클로데칸디일기, 테트라시클로도데칸디일기 등의 다환의 시클로알칸디일기;A polycyclic cycloalkanediyl group such as a norbornanediyl group, an adamantanediyl group, a tricyclodecanediyl group, and a tetracyclododecanediyl group;

노르보르넨디일기, 트리시클로데센디일기 등의 다환의 시클로알켄디일기 등의 지환식 탄화수소기;Alicyclic hydrocarbon groups such as a norbornenediyl group and a polycyclic cycloalkenediyl group such as a tricyclodecenediyl group;

벤젠디일기, 톨루엔디일기, 크실렌디일기, 나프탈렌디일기 등의 아렌디일기;An alkylenediyl group such as a benzenediyl group, a benzenediyl group, a benzenediyl group, a toluenediaryl group, a benzenediyl group, a benzenediyl group, a benzenediyl group, a benzenediyl group, a benzenediyl group, a benzenediyl group,

벤젠디일메탄디일기, 나프탈렌디일시클로헥산디일기 등의 아렌디일(시클로)알칸디일기 등의 방향족 탄화수소기 등을 들 수 있다.(Cyclo) alkanediyl groups such as benzenediylmethanediyl, naphthalenediylcyclohexanediyl and the like, and the like.

상기 헤테로 원자 함유기란, 구조 중에 2가 이상의 헤테로 원자를 갖는 기를 의미한다. 상기 헤테로 원자 함유기는 헤테로 원자를 1개 가질 수도 있고, 2개 이상 가질 수도 있다. 여기서 「헤테로 원자」란, 수소 원자 및 탄소 원자 이외의 원자를 의미한다. 상기 헤테로 원자 함유기는 헤테로 원자만을 갖고 있을 수도 있다.The hetero atom-containing group means a group having two or more hetero atoms in the structure. The hetero atom-containing group may have one hetero atom or two or more hetero atoms. Here, the "hetero atom" means an atom other than a hydrogen atom and a carbon atom. The heteroatom-containing group may have only a hetero atom.

상기 헤테로 원자 함유기가 갖는 2가 이상의 헤테로 원자로서는 2가 이상의 원자가를 갖는 헤테로 원자라면 특별히 한정되지 않지만, 예를 들어 산소 원자, 질소 원자, 황 원자, 규소 원자, 인 원자, 붕소 원자 등을 들 수 있다.The heteroatom having two or more valencies of the heteroatom-containing group is not particularly limited as long as it is a heteroatom having a valence of 2 or more, and examples thereof include an oxygen atom, a nitrogen atom, a sulfur atom, a silicon atom, have.

상기 헤테로 원자 함유기로서는, 예를 들어 -O-, -S-, -NRHE-, -PRHE-, -SO-, -SO2-, -SO2O-, -OPO(ORHE)O-, -PO2-, -PO2O-, -CO-, -COO-, -COS-, -CONRHE-, -OCOO-, -OCOS-, -OCONRHE-, -SCONRHE-, -SCSNRHE-, -SCSS-기 등을 들 수 있다. 여기서 RHE는 수소 원자 또는 탄소수 1 내지 20의 1가의 탄화수소기이다.As the group containing the hetero atom, such as -O-, -S-, -NR HE -, -PR HE -, -SO-, -SO 2 -, -SO 2 O-, -OPO (OR HE) O -, -PO 2 -, -PO 2 O-, -CO-, -COO-, -COS-, -CONR HE- , -OCOO-, -OCOS-, -OCONR HE- , -SCONR HE- , -SCSNR HE- , -SCSS- group, and the like. Wherein R HE is a hydrogen atom or a monovalent hydrocarbon group of 1 to 20 carbon atoms.

상기 치환기로서는, 예를 들어 불소 원자, 염소 원자, 브롬 원자, 요오드 원자 등의 할로겐 원자, 히드록시기, 카르복시기, 니트로기, 시아노기 등을 들 수 있다.Examples of the substituent include a halogen atom such as a fluorine atom, a chlorine atom, a bromine atom and an iodine atom, a hydroxyl group, a carboxyl group, a nitro group, and a cyano group.

상기 RF5 및 RF8로서는 단결합, 탄소수 1 내지 20의 2가의 탄화수소기 및 2가의 탄화수소기의 탄소-탄소 사이에 산소 원자를 포함하는 기가 바람직하고, 단결합, 탄소수 1 내지 20의 2가의 쇄상 탄화수소기, 2가의 쇄상 탄화수소기의 탄소-탄소 사이에 산소 원자를 포함하는 기 및 탄소수 1 내지 20의 2가의 방향족 탄화수소기가 보다 바람직하고, 단결합, 알칸디일기, 알칸디일옥시알칸디일기 및 아렌디일기가 더욱 바람직하다.As R F5 and R F8 , a group containing an oxygen atom between a carbon atom and a carbon atom of a monovalent bond, a divalent hydrocarbon group of 1 to 20 carbon atoms, and a divalent hydrocarbon group is preferable, and a bond, a divalent straight chain More preferably a hydrocarbon group, a group containing an oxygen atom between the carbon-carbon atoms of the divalent chain hydrocarbon group and a divalent aromatic hydrocarbon group having 1 to 20 carbon atoms, and a single bond, an alkanediyl group, an alkanedioxyalkanediyl group, Di-yl group is more preferable.

상기 LF3으로 표시되는 탄소수 1 내지 20의 2가의 불소화 쇄상 탄화수소기로서는, 예를 들어 상기 RF5 및 RF8에서 예시한 2가의 쇄상 탄화수소기가 갖는 수소 원자의 일부 또는 전부를 불소 원자로 치환한 기 등을 들 수 있다.Examples of the divalent fluorinated chain hydrocarbon group having 1 to 20 carbon atoms represented by L F3 include groups in which some or all of the hydrogen atoms of the divalent chain hydrocarbon groups exemplified above for R F5 and R F8 are substituted with fluorine atoms .

상기 LF3으로서는 단결합 및 탄소수 1 내지 10의 2가의 불소화 쇄상 탄화수소기가 바람직하고, 단결합 및 탄소수 1 내지 10의 불소화 알칸디일기가 보다 바람직하다.The L F3 is preferably a single bond and a divalent fluorinated chain hydrocarbon group having 1 to 10 carbon atoms, more preferably a single bond and a fluorinated alkanediyl group having 1 to 10 carbon atoms.

상기 A1로서는 산소 원자 및 -CO-O-가 바람직하다.The A 1 is preferably an oxygen atom and -CO-O-.

상기 RFF2로서는 수소 원자 및 탄소수 1 내지 10의 알킬기가 바람직하고, 수소 원자, 메틸기 및 에틸기가 보다 바람직하다.The R FF2 is preferably a hydrogen atom or an alkyl group having 1 to 10 carbon atoms, more preferably a hydrogen atom, a methyl group and an ethyl group.

상기 RF6, RF12, RF13, RF14 및 RF15로 표시되는 탄소수 1 내지 20의 1가의 유기기로서는, 예를 들어 1가의 탄화수소기, 이 탄화수소기의 탄소-탄소 사이 또는 결합손측의 말단에 2가의 헤테로 원자 함유기를 포함하는 기, 이들 기의 수소 원자의 일부 또는 전부를 치환기로 치환한 기 등을 들 수 있다.Examples of the monovalent organic group having 1 to 20 carbon atoms represented by R F6 , R F12 , R F13 , R F14 and R F15 include monovalent hydrocarbon groups, carbon-carbon bonds between the hydrocarbon groups, A group containing a divalent heteroatom-containing group, a group in which a part or all of the hydrogen atoms of these groups are substituted with a substituent, and the like.

상기 1가의 탄화수소기로서는, 예를 들어 상기 RA2, RA6, RA7 및 RA8에서 예시한 것과 마찬가지의 기 등을 들 수 있다. 상기 헤테로 원자 함유기 및 치환기로서는, 예를 들어 상기 RF5 및 RF8에 있어서 예시한 것과 마찬가지의 기 등을 들 수 있다.Examples of the monovalent hydrocarbon group include groups similar to those exemplified above for R A2 , R A6 , R A7 and R A8 . Examples of the heteroatom-containing group and the substituent include the same groups as those exemplified above for R F5 and R F8 .

상기 RF6으로서는 수소 원자 및 탄소수 1 내지 30의 1가의 쇄상 탄화수소기가 바람직하고, 수소 원자 및 탄소수 1 내지 30의 알킬기가 보다 바람직하고, 수소 원자 및 탄소수 1 내지 10의 알킬기가 더욱 바람직하다. 단, 상기 LF3이 단결합인 경우, 상기 RF6은 불소 원자를 갖는 것이 바람직하다.The R F6 is preferably a hydrogen atom or a monovalent straight chain hydrocarbon group having 1 to 30 carbon atoms, more preferably a hydrogen atom and an alkyl group having 1 to 30 carbon atoms, further preferably a hydrogen atom and an alkyl group having 1 to 10 carbon atoms. However, when L F3 is a single bond, R F6 preferably has a fluorine atom.

상기 RF12 및 RF13으로서는 수소 원자 및 탄소수 1 내지 12의 1가의 탄화수소기가 바람직하고, 탄소수 1 내지 12의 1가의 탄화수소기가 보다 바람직하고, 페닐기, 시클로알킬기 및 히드록시기로 치환된 불소 원자 함유 알킬기가 더욱 바람직하다.As R F12 and R F13 , a hydrogen atom and a monovalent hydrocarbon group having 1 to 12 carbon atoms are preferable, a monovalent hydrocarbon group having 1 to 12 carbon atoms is more preferable, and a fluorine atom-containing alkyl group substituted with a phenyl group, a cycloalkyl group and a hydroxy group desirable.

상기 RF14 및 RF15로서는 수소 원자, 탄소수 1 내지 12의 1가의 탄화수소기 및 탄소수 3 내지 12의 1가의 히드록시 치환 불소화탄화수소기가 바람직하고, 수소 원자, 탄소수 1 내지 12의 알킬기 및 탄소수 3 내지 12의 히드록시불소화알킬기가 보다 바람직하고, 수소 원자, 메틸기, 에틸기 및 히드록시디(트리플루오로메틸)에틸기가 더욱 바람직하다.As R F14 and R F15 , a hydrogen atom, a monovalent hydrocarbon group having 1 to 12 carbon atoms, and a monovalent hydroxy-substituted fluorinated hydrocarbon group having 3 to 12 carbon atoms are preferable, and a hydrogen atom, an alkyl group having 1 to 12 carbon atoms, , More preferably a hydrogen atom, a methyl group, an ethyl group, and a hydroxydi (trifluoromethyl) ethyl group.

상기 RF9 및 RF10으로서는 메틸기, 에틸기, 프로필기, 불소화메틸기, 불소화에틸기 및 불소화프로필기가 바람직하고, 불소화메틸기 및 불소화에틸기가 보다 바람직하고, 불소화메틸기가 더욱 바람직하고, 트리플루오로메틸기가 특히 바람직하다.The R F9 and R F10 are preferably a methyl group, an ethyl group, a propyl group, a methyl fluoride group, an ethyl fluoride group and a fluorinated propyl group, more preferably a fluorinated methyl group and a fluorinated ethyl group, more preferably a fluorinated methyl group, and particularly preferably a trifluoromethyl group Do.

상기 u로서는 1 및 2가 바람직하고, 1이 보다 바람직하다. 상기 v로서는 1 및 2가 바람직하고, 1이 보다 바람직하다. 상기 w로서는 1 및 2가 바람직하고, 1이 보다 바람직하다.As u, 1 and 2 are preferable, and 1 is more preferable. The above v is preferably 1 and 2, more preferably 1. As w, 1 and 2 are preferable, and 1 is more preferable.

구조 단위 (Ⅱ)로서는 하기 식으로 표시되는 구조 단위가 바람직하다.As the structural unit (II), a structural unit represented by the following formula is preferable.

Figure pat00007
Figure pat00007

상기 식 중 RF1은 상기 식 (f-1)과 동의이다. RF7은 상기 식 (f-3)과 동의이다. Wherein R F1 is synonymous with the formula (f-1). R F7 is synonymous with the formula (f-3).

[A] 중합체가 구조 단위 (Ⅱ)를 갖는 경우, [A] 중합체를 구성하는 전체 구조 단위에 대한 구조 단위 (Ⅱ)의 함유 비율의 하한으로서는 3몰%가 바람직하고, 5몰%가 보다 바람직하고, 10몰%가 더욱 바람직하다. 한편, 상기 함유 비율의 상한으로서는 40몰%가 바람직하고, 35몰%가 보다 바람직하고, 30몰%가 더욱 바람직하다. 상기 함유 비율을 상기 범위로 함으로써, EUV 등을 패턴 노광 광으로 한 경우에 있어서의 감도를 보다 향상시킬 수 있다. 한편, 상기 함유 비율이 상기 상한을 초과하면, 레지스트 패턴의 단면 형상에 있어서의 직사각형성이 저하될 우려가 있다.When the polymer [A] has the structural unit (II), the lower limit of the content of the structural unit (II) relative to the total structural units constituting the polymer [A] is preferably 3 mol%, more preferably 5 mol% , And more preferably 10 mol%. On the other hand, the upper limit of the content is preferably 40 mol%, more preferably 35 mol%, still more preferably 30 mol%. By setting the content ratio within the above range, the sensitivity in the case of using EUV or the like as the pattern exposure light can be further improved. On the other hand, if the content ratio exceeds the upper limit, the rectangularity of the cross-sectional shape of the resist pattern may be deteriorated.

(1) 중합체 성분이 [B] 중합체를 포함하고, [B] 중합체가 구조 단위 (Ⅱ)를 갖는 경우, [B] 중합체를 구성하는 전체 구조 단위에 대한 구조 단위 (Ⅱ)의 하한으로서는 3몰%가 바람직하고, 5몰%가 보다 바람직하고, 10몰%가 더욱 바람직하다. 한편, 상기 함유 비율의 상한으로서는 40몰%가 바람직하고, 35몰%가 보다 바람직하고, 30몰%가 더욱 바람직하다. 상기 함유 비율을 상기 범위로 함으로써, EUV 등을 패턴 노광 광으로 한 경우에 있어서의 감도를 보다 향상시킬 수 있다. 한편, 상기 함유 비율이 상기 상한을 초과하면, 레지스트 패턴의 단면 형상에 있어서의 직사각형성이 저하될 우려가 있다.When the polymer component comprises the [B] polymer and the polymer [B] has the structural unit (II), the lower limit of the structural unit (II) relative to the total structural units constituting the [B] %, More preferably 5 mol%, and still more preferably 10 mol%. On the other hand, the upper limit of the content is preferably 40 mol%, more preferably 35 mol%, still more preferably 30 mol%. By setting the content ratio within the above range, the sensitivity in the case of using EUV or the like as the pattern exposure light can be further improved. On the other hand, if the content ratio exceeds the upper limit, the rectangularity of the cross-sectional shape of the resist pattern may be deteriorated.

(구조 단위 (Ⅲ))(Structural unit (III))

구조 단위 (Ⅲ)은 페놀성 수산기를 포함하는 구조 단위이다(단, 구조 단위 (I) 및 구조 단위 (Ⅱ)에 해당하는 것을 제외함). [A] 중합체 또는 [B] 중합체가 구조 단위 (Ⅲ)을 가짐으로써, 후술하는 패턴 노광 공정에 있어서 KrF 엑시머 레이저광, EUV(극단 자외선), 전자선 등을 조사하는 경우에 있어서의 감도를 보다 향상시킬 수 있다.The structural unit (III) is a structural unit containing a phenolic hydroxyl group (except for the structural unit (I) and structural unit (II)). When the polymer [A] or the polymer [B] has the structural unit (III), the sensitivity in the case of irradiating KrF excimer laser light, EUV (extreme ultraviolet) .

상기 페놀성 수산기를 포함하는 방향환이 갖는 수소 원자의 일부 또는 전부는 치환기에 의해 치환되어 있을 수도 있다. 이 치환기로서는, 예를 들어 상기 RF5 및 RF8에 있어서 예시한 기와 마찬가지의 것 등을 들 수 있다.Some or all of the hydrogen atoms contained in the aromatic ring containing the phenolic hydroxyl group may be substituted by a substituent. Examples of the substituent include the same groups as those exemplified for R F5 and R F8 .

구조 단위 (Ⅲ)으로서는 하기 식 (h-1) 내지 (h-6)으로 표시되는 구조 단위(이하, 「구조 단위 (Ⅲ-1) 내지 (Ⅲ-6)」이라고도 함) 등을 들 수 있다.Examples of the structural unit (III) include structural units represented by the following formulas (h-1) to (h-6) (hereinafter also referred to as "structural units (III-1) to (III-6)") .

Figure pat00008
Figure pat00008

상기 식 (h-1) 내지 (h-6) 중 RAF1은 수소 원자 또는 메틸기이다.In the formulas (h-1) to (h-6), R AF1 is a hydrogen atom or a methyl group.

상기 RAF1로서는 수소 원자가 바람직하다.As R AF1, a hydrogen atom is preferable.

구조 단위 (Ⅲ)으로서는 구조 단위 (Ⅲ-1) 및 (Ⅲ-2)가 바람직하고, (Ⅲ-1)이 보다 바람직하다.As the structural unit (III), structural units (III-1) and (III-2) are preferable, and (III-1) is more preferable.

[A] 중합체가 구조 단위 (Ⅲ)을 갖는 경우, [A] 중합체를 구성하는 전체 구조 단위에 대한 구조 단위 (Ⅲ)의 함유 비율의 하한으로서는 1몰%가 바람직하고, 30몰%가 보다 바람직하고, 50몰%가 더욱 바람직하다. 한편, 상기 함유 비율의 상한으로서는 90몰%가 바람직하고, 80몰%가 보다 바람직하고, 75몰%가 더욱 바람직하다. 구조 단위 (Ⅲ)의 함유 비율을 상기 범위로 함으로써, 당해 화학 증폭형 레지스트 재료의 감도를 보다 향상시킬 수 있다.When the polymer [A] has the structural unit (III), the lower limit of the content of the structural unit (III) relative to the total structural units constituting the polymer [A] is preferably 1 mol%, more preferably 30 mol% , And more preferably 50 mol%. On the other hand, the upper limit of the content is preferably 90 mol%, more preferably 80 mol%, and still more preferably 75 mol%. By setting the content ratio of the structural unit (III) within the above range, the sensitivity of the chemically amplified resist material can be further improved.

(1) 중합체 성분이 [B] 중합체를 포함하고, [B] 중합체가 구조 단위 (Ⅲ)을 갖는 경우, [B] 중합체를 구성하는 전체 구조 단위에 대한 구조 단위 (Ⅲ)의 함유 비율의 하한으로서는 1몰%가 바람직하고, 30몰%가 보다 바람직하고, 50몰%가 더욱 바람직하다. 한편, 상기 함유 비율의 상한으로서는 90몰%가 바람직하고, 80몰%가 보다 바람직하고, 75몰%가 더욱 바람직하다. 구조 단위 (Ⅲ)의 함유 비율을 상기 범위로 함으로써, 당해 화학 증폭형 레지스트 재료의 감도를 보다 향상시킬 수 있다.When the polymer component comprises the [B] polymer and the [B] polymer has the structural unit (III), the lower limit of the content ratio of the structural unit (III) to the total structural unit constituting [B] Is preferably 1 mol%, more preferably 30 mol%, still more preferably 50 mol%. On the other hand, the upper limit of the content is preferably 90 mol%, more preferably 80 mol%, and still more preferably 75 mol%. By setting the content ratio of the structural unit (III) within the above range, the sensitivity of the chemically amplified resist material can be further improved.

또한, 구조 단위 (Ⅲ)에는, 페놀성 수산기를 포함하는 방향환의 -OH기의 수소 원자를 아세틸기 등으로 치환한 단량체를 중합한 후, 얻어진 중합체를 아민 존재 하에서 가수분해 반응하는 방법 등에 의해 형성할 수 있는 구조도 포함된다.The structural unit (III) is formed by polymerizing a monomer in which a hydrogen atom of -OH group of an aromatic ring containing a phenolic hydroxyl group is substituted with an acetyl group, and then hydrolyzing the obtained polymer in the presence of an amine And the like.

(구조 단위 (Ⅳ))(Structural unit (IV))

구조 단위 (Ⅳ)는 락톤 구조, 환상 카르보네이트 구조, 술톤 구조 또는 이들의 조합을 포함하는 구조 단위이다(단, 구조 단위 (I) 내지 구조 단위 (Ⅲ)에 해당하는 것을 제외함). [A] 중합체 및 [B] 중합체는 구조 단위 (Ⅳ)를 더 가짐으로써, 현상액에 대한 용해성을 보다 적당한 것으로 조정할 수 있어, 그 결과, 당해 화학 증폭형 레지스트 재료의 리소그래피 성능을 보다 향상시킬 수 있다. 당해 화학 증폭형 레지스트 재료로 형성되는 레지스트 재료막과 기판의 밀착성을 향상시킬 수 있다. 여기서, 락톤 구조란, -O-C(O)-로 표시되는 기를 포함하는 1개의 환(락톤환)을 갖는 구조를 의미한다. 환상 카르보네이트 구조란, -O-C(O)-O-로 표시되는 기를 포함하는 1개의 환(환상 카르보네이트환)을 갖는 구조를 의미한다. 술톤 구조란, -O-S(O)2-로 표시되는 기를 포함하는 1개의 환(술톤환)을 갖는 구조를 의미한다. 구조 단위 (Ⅳ)로서는, 예를 들어 하기 식으로 표시되는 구조 단위 등을 들 수 있다.The structural unit (IV) is a structural unit comprising a lactone structure, a cyclic carbonate structure, a sultone structure, or a combination thereof (except for the structural units (I) to (III)). By further having the structural unit (IV) in the polymer [A] and the polymer [B], the solubility in the developer can be adjusted to a more appropriate value, and as a result, the lithographic performance of the chemically amplified resist material can be further improved . It is possible to improve adhesion between the resist material film formed from the chemically amplified resist material and the substrate. Here, the lactone structure means a structure having one ring (lactone ring) including a group represented by -OC (O) -. The cyclic carbonate structure means a structure having one ring (cyclic carbonate ring) containing a group represented by -OC (O) -O-. The sultone structure means a structure having one ring (sultain ring) including a group represented by -OS (O) 2 -. Examples of the structural unit (IV) include structural units represented by the following formulas.

Figure pat00009
Figure pat00009

Figure pat00010
Figure pat00010

Figure pat00011
Figure pat00011

Figure pat00012
Figure pat00012

상기 식 중 RAL은 수소 원자, 불소 원자, 메틸기 또는 트리플루오로메틸기이다.Wherein R AL is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group.

상기 RAL로서는 구조 단위 (Ⅳ)를 부여하는 단량체의 공중합성의 관점에서, 수소 원자 및 메틸기가 바람직하고, 메틸기가 보다 바람직하다.As the R AL , a hydrogen atom and a methyl group are preferable, and a methyl group is more preferable from the viewpoint of copolymerization of a monomer giving the structural unit (IV).

구조 단위 (Ⅳ)로서는 이들 중에서 노르보르난락톤 구조를 포함하는 구조 단위, 옥사노르보르난락톤 구조를 포함하는 구조 단위, γ-부티로락톤 구조를 포함하는 구조 단위, 에틸렌카르보네이트 구조를 포함하는 구조 단위 및 노르보르난술톤 구조를 포함하는 구조 단위가 바람직하고, 노르보르난락톤-일(메트)아크릴레이트에서 유래하는 구조 단위, 옥사노르보르난락톤-일(메트)아크릴레이트에서 유래하는 구조 단위, 시아노 치환 노르보르난락톤-일(메트)아크릴레이트에서 유래하는 구조 단위, 노르보르난락톤-일옥시카르보닐메틸(메트)아크릴레이트에서 유래하는 구조 단위, 부티로락톤-3-일(메트)아크릴레이트에서 유래하는 구조 단위, 부티로락톤-4-일(메트)아크릴레이트에서 유래하는 구조 단위, 3,5-디메틸부티로락톤-3-일(메트)아크릴레이트에서 유래하는 구조 단위, 4,5-디메틸부티로락톤-4-일(메트)아크릴레이트에서 유래하는 구조 단위, 1-(부티로락톤-3-일)시클로헥산-1-일(메트)아크릴레이트에서 유래하는 구조 단위, 에틸렌카르보네이트-일메틸(메트)아크릴레이트에서 유래하는 구조 단위, 시클로헥센카르보네이트-일메틸(메트)아크릴레이트에서 유래하는 구조 단위, 노르보르난술톤-일(메트)아크릴레이트에서 유래하는 구조 단위 및 노르보르난술톤-일옥시카르보닐메틸(메트)아크릴레이트에서 유래하는 구조 단위가 보다 바람직하다.Examples of the structural unit (IV) include a structural unit containing a norbornane lactone structure, a structural unit containing an oxanorbornane lactone structure, a structural unit containing a? -Butyrolactone structure, and an ethylene carbonate structure (Meth) acrylate, and a structural unit derived from norbornane lactone-yl (meth) acrylate are preferable, and structural units derived from norbornane lactone-yl (Meth) acrylate, a structural unit derived from norbornane lactone-yloxycarbonylmethyl (meth) acrylate, a structural unit derived from cyanogen-substituted norbornanactone- (Meth) acrylate, a structural unit derived from butyrolactone-4-yl (meth) acrylate, a structural unit derived from 3,5-dimethylbutyrolactone- (Meth) acrylate, a structural unit derived from 4,5-dimethylbutyrolactone-4-yl (meth) acrylate, a structural unit derived from 4- , Structural units derived from ethylene carbonate-methyl (meth) acrylate, structural units derived from cyclohexene carbonate-methyl (meth) acrylate, structural units derived from cyclohexanecarbonylmethyl (Meth) acrylate and a structural unit derived from norbornane sultone-yloxycarbonylmethyl (meth) acrylate are more preferable.

[A] 중합체가 구조 단위 (Ⅳ)를 갖는 경우, [A] 중합체를 구성하는 전체 구조 단위에 대한 구조 단위 (Ⅳ)의 함유 비율의 하한으로서는 1몰%가 바람직하고, 10몰%가 보다 바람직하고, 20몰%가 더욱 바람직하고, 25몰%가 특히 바람직하다. 한편, 상기 함유 비율의 상한으로서는 70몰%가 바람직하고, 65몰%가 보다 바람직하고, 60몰%가 더욱 바람직하고, 55몰%가 특히 바람직하다. 상기 함유 비율을 상기 범위로 함으로써, 당해 화학 증폭형 레지스트 재료로 형성되는 레지스트 재료막과 기판의 밀착성을 보다 향상시킬 수 있다.When the polymer [A] has the structural unit (IV), the lower limit of the content of the structural unit (IV) relative to the total structural units constituting the polymer [A] is preferably 1 mol%, more preferably 10 mol% , More preferably 20 mol%, and particularly preferably 25 mol%. On the other hand, the upper limit of the content is preferably 70 mol%, more preferably 65 mol%, still more preferably 60 mol%, and particularly preferably 55 mol%. By setting the content ratio within the above range, adhesion between the resist material film formed from the chemically amplified resist material and the substrate can be further improved.

(1) 중합체 성분이 [B] 중합체를 포함하고, [B] 중합체가 구조 단위 (Ⅳ)를 갖는 경우, [B] 중합체를 구성하는 전체 구조 단위에 대한 구조 단위 (Ⅳ)의 함유 비율의 하한으로서는 1몰%가 바람직하고, 10몰%가 보다 바람직하고, 20몰%가 더욱 바람직하고, 25몰%가 특히 바람직하다. 한편, 상기 함유 비율의 상한으로서는 70몰%가 바람직하고, 65몰%가 보다 바람직하고, 60몰%가 더욱 바람직하고, 55몰%가 특히 바람직하다. 상기 함유 비율을 상기 범위로 함으로써, 당해 화학 증폭형 레지스트 재료로 형성되는 레지스트 재료막과 기판의 밀착성을 보다 향상시킬 수 있다.(B) the content of the structural unit (IV) relative to the total structural units constituting the polymer [B] when the polymer component comprises the [B] polymer and the polymer has the structural unit (IV) Is preferably 1 mol%, more preferably 10 mol%, still more preferably 20 mol%, and particularly preferably 25 mol%. On the other hand, the upper limit of the content is preferably 70 mol%, more preferably 65 mol%, still more preferably 60 mol%, and particularly preferably 55 mol%. By setting the content ratio within the above range, adhesion between the resist material film formed from the chemically amplified resist material and the substrate can be further improved.

[그 밖의 구조 단위][Other structural units]

[A] 중합체 및 [B] 중합체는 구조 단위 (I) 내지 (Ⅳ) 이외에 그 밖의 구조 단위를 가질 수도 있다. 그 밖의 구조 단위로서는, 예를 들어 극성기를 포함하는 구조 단위, 비해리성의 탄화수소기를 포함하는 구조 단위 등을 들 수 있다. 상기 극성기로서는, 예를 들어 알코올성 수산기, 카르복시기, 시아노기, 니트로기, 술폰아미드기 등을 들 수 있다. 상기 비해리성의 탄화수소기로서는, 예를 들어 직쇄상의 알킬기 등을 들 수 있다. [A] 중합체를 구성하는 전체 구조 단위에 대한 상기 그 밖의 구조 단위의 함유 비율의 상한으로서는 20몰%가 바람직하고, 10몰%가 보다 바람직하다. [B] 중합체를 구성하는 전체 구조 단위에 대한 상기 그 밖의 구조 단위의 함유 비율의 상한으로서는 20몰%가 바람직하고, 10몰%가 보다 바람직하다.The polymer [A] and the polymer [B] may have other structural units in addition to the structural units (I) to (IV). Examples of the other structural unit include a structural unit including a polar group and a structural unit containing a non-reactive hydrocarbon group. Examples of the polar group include an alcoholic hydroxyl group, a carboxy group, a cyano group, a nitro group, and a sulfonamide group. Examples of the non-reactive hydrocarbon group include linear alkyl groups and the like. The upper limit of the content ratio of the other structural units to the total structural units constituting the polymer [A] is preferably 20 mol%, more preferably 10 mol%. The upper limit of the content ratio of the other structural units to the total structural units constituting the [B] polymer is preferably 20 mol%, more preferably 10 mol%.

[A] 중합체 및 [B] 중합체의 합계 함유량의 하한으로서는 당해 화학 증폭형 레지스트 재료의 전체 고형분 중 70질량%가 바람직하고, 75질량%가 보다 바람직하고, 80질량%가 더욱 바람직하다. 여기서 「전체 고형분」이란, 당해 화학 증폭형 레지스트 재료의 용매 이외의 성분을 의미한다.The lower limit of the total content of the polymer [A] and the polymer [B] is preferably 70% by mass, more preferably 75% by mass, and most preferably 80% by mass, based on the total solid content of the chemically amplified resist material. Here, the term &quot; total solid content &quot; means a component other than the solvent of the chemically amplified resist material.

[A] 중합체의 겔 투과 크로마토그래피(GPC)에 의한 폴리스티렌 환산 중량 평균 분자량(Mw)은 특별히 한정되지 않지만, 그의 하한으로서는 1,000이 바람직하고, 2,000이 보다 바람직하고, 3,000이 더욱 바람직하고, 5,000이 특히 바람직하다. 한편, [A] 중합체의 Mw의 상한으로서는 50,000이 바람직하고, 30,000이 보다 바람직하고, 20,000이 더욱 바람직하고, 15,000이 특히 바람직하다.The weight average molecular weight (Mw) of the polymer [A] in terms of polystyrene calculated by gel permeation chromatography (GPC) is not particularly limited, but the lower limit thereof is preferably 1,000, more preferably 2,000, still more preferably 3,000, Particularly preferred. On the other hand, the upper limit of the Mw of the [A] polymer is preferably 50,000, more preferably 30,000, even more preferably 20,000, and particularly preferably 15,000.

[A] 중합체의 Mw를 상기 범위로 함으로써, 당해 화학 증폭형 레지스트 재료의 도포성 및 현상 결함 억제성이 향상된다. [A] 중합체의 Mw가 상기 하한보다 작은 경우, 충분한 내열성을 갖는 레지스트 재료막을 얻지 못할 우려가 있다. 반대로, [A] 중합체의 Mw가 상기 상한을 초과하는 경우, 레지스트 재료막의 현상성이 저하될 우려가 있다.By setting the Mw of the polymer [A] within the above range, the coating property and the development defect inhibiting property of the chemically amplified resist material are improved. When the Mw of the polymer [A] is smaller than the above lower limit, there is a fear that a resist material film having sufficient heat resistance may not be obtained. On the other hand, when the Mw of the [A] polymer exceeds the upper limit, there is a fear that the developability of the resist material film is lowered.

[A] 중합체의 GPC에 의한 폴리스티렌 환산 수 평균 분자량(Mn)에 대한 Mw의 비(Mw/Mn)의 하한으로서는 통상 1이다. 한편, 상기 비의 상한으로서는 통상 5이며, 3이 바람직하고, 2가 더욱 바람직하다.The lower limit of the ratio (Mw / Mn) of Mw to the polystyrene reduced number average molecular weight (Mn) of the polymer [A] is usually 1. On the other hand, the upper limit of the ratio is usually 5, preferably 3, and more preferably 2.

[B] 중합체의 겔 투과 크로마토그래피(GPC)에 의한 폴리스티렌 환산 중량 평균 분자량(Mw)은 특별히 한정되지 않지만, 그의 하한으로서는 1,000이 바람직하고, 2,000이 보다 바람직하고, 2,500이 더욱 바람직하고, 3,000이 특히 바람직하다. 한편, [B] 중합체의 Mw의 상한으로서는 50,000이 바람직하고, 30,000이 보다 바람직하고, 20,000이 더욱 바람직하고, 15,000이 특히 바람직하다.The polystyrene-reduced weight average molecular weight (Mw) of the polymer [B] is not particularly limited, but its lower limit is preferably 1,000, more preferably 2,000, still more preferably 2,500, Particularly preferred. On the other hand, the upper limit of the Mw of the [B] polymer is preferably 50,000, more preferably 30,000, even more preferably 20,000, and particularly preferably 15,000.

[B] 중합체의 Mw를 상기 범위로 함으로써, 당해 화학 증폭형 레지스트 재료의 도포성 및 현상 결함 억제성이 향상된다. [B] 중합체의 Mw가 상기 하한보다 작은 경우, 충분한 내열성을 갖는 레지스트 재료막을 얻지 못할 우려가 있다. 반대로, [B] 중합체의 Mw가 상기 상한을 초과하는 경우, 레지스트 재료막의 현상성이 저하될 우려가 있다.By setting the Mw of the [B] polymer within the above range, the coating property and the development defect inhibiting property of the chemically amplified resist material are improved. When the Mw of the [B] polymer is smaller than the above lower limit, there is a fear that a resist material film having sufficient heat resistance may not be obtained. Conversely, when the Mw of the [B] polymer exceeds the upper limit, there is a fear that the developability of the resist material film is lowered.

[B] 중합체의 GPC에 의한 폴리스티렌 환산 수 평균 분자량(Mn)에 대한 Mw의 비(Mw/Mn)의 하한으로서는 1이 바람직하다. 한편, 상기 비의 상한으로서는 5가 바람직하고, 3이 보다 바람직하고, 2가 더욱 바람직하다.The lower limit of the ratio (Mw / Mn) of Mw to the polystyrene reduced number average molecular weight (Mn) of the [B] polymer is preferably 1. On the other hand, the upper limit of the ratio is preferably 5, more preferably 3, and still more preferably 2.

또한, 본 명세서에 있어서의 중합체의 Mw 및 Mn은 이하의 조건에 의한 겔 투과 크로마토그래피(GPC)를 사용하여 측정되는 값이다.Further, Mw and Mn of the polymer in the present specification are values measured by gel permeation chromatography (GPC) under the following conditions.

GPC 칼럼: G2000HXL 2개, G3000HXL 1개, G4000HXL 1개(이상, 도소사)GPC column: 2 G2000HXL, 1 G3000HXL, 1 G4000HXL (above, Toso Co., Ltd.)

칼럼 온도: 40℃Column temperature: 40 DEG C

용출 용매: 테트라히드로푸란Elution solvent: tetrahydrofuran

유속: 1.0mL/분Flow rate: 1.0 mL / min

시료 농도: 1.0질량%Sample concentration: 1.0 mass%

시료 주입량: 100μLSample injection amount: 100 μL

검출기: 시차 굴절계Detector: differential refractometer

표준 물질: 단분산 폴리스티렌Standard material: monodisperse polystyrene

[A] 중합체 및 [B] 중합체는 분자량 1,000 이하의 저분자량 성분을 포함할 수도 있다. [A] 중합체에 있어서의 저분자량 성분의 함유량의 상한으로서는 1.0질량%가 바람직하고, 0.5질량%가 보다 바람직하고, 0.3질량%가 더욱 바람직하다. 상기 함유량의 하한으로서는, 예를 들어 0.01질량%이다. [B] 중합체에 있어서의 저분자량 성분의 함유량의 상한으로서는 1.0질량%가 바람직하고, 0.5질량%가 보다 바람직하고, 0.3질량%가 더욱 바람직하다. 상기 함유량의 하한으로서는, 예를 들어 0.01질량%이다. [A] 중합체 및 [B] 중합체의 저분자량 성분의 함유량을 상기 범위로 함으로써, 당해 화학 증폭형 레지스트 재료의 리소그래피 성능을 보다 향상시킬 수 있다.The [A] polymer and the [B] polymer may contain a low molecular weight component having a molecular weight of 1,000 or less. The upper limit of the content of the low molecular weight component in the polymer [A] is preferably 1.0% by mass, more preferably 0.5% by mass, and still more preferably 0.3% by mass. The lower limit of the content is, for example, 0.01% by mass. The upper limit of the content of the low molecular weight component in the [B] polymer is preferably 1.0% by mass, more preferably 0.5% by mass, still more preferably 0.3% by mass. The lower limit of the content is, for example, 0.01% by mass. By setting the content of the low molecular weight component of the polymer [A] and the polymer [B] within the above range, the lithographic performance of the chemically amplified resist material can be further improved.

또한, 본 명세서에 있어서의 중합체의 저분자량 성분의 함유량은 이하의 조건에 의한 고속 액체 크로마토그래피(HPLC)를 사용하여 측정되는 값이다.The content of the low molecular weight component of the polymer in the present specification is a value measured using high performance liquid chromatography (HPLC) under the following conditions.

칼럼: 지엘 사이언스사의 「Inertsil ODA-25㎛ 칼럼」(4.6㎜φ×250㎜)Column: &quot; Inertsil ODA-25 占 퐉 column &quot; (4.6 mm? X 250 mm)

용리액: 아크릴로니트릴/0.1질량% 인산 수용액Eluent: Acrylonitrile / 0.1% by mass aqueous solution of phosphoric acid

유량: 1.0mL/분Flow rate: 1.0 mL / min

시료 농도: 1.0질량%Sample concentration: 1.0 mass%

시료 주입량: 100μLSample injection amount: 100 μL

검출기: 시차 굴절계Detector: differential refractometer

[A] 중합체 및 [B] 중합체에 있어서의 불소 원자 함유율의 하한으로서는 1질량%가 바람직하고, 2질량%가 보다 바람직하고, 4질량%가 더욱 바람직하고, 7질량%가 특히 바람직하다. 한편, 상기 함유율의 상한으로서는 60질량%가 바람직하고, 40질량%가 보다 바람직하고, 30질량%가 더욱 바람직하다. 여기서 중합체의 불소 원자 함유율(질량%)은 13C-NMR 스펙트럼 측정에 의해 구해지는 중합체의 구조로부터 산출할 수 있다.The lower limit of the fluorine atom content in the polymer [A] and the polymer [B] is preferably 1% by mass, more preferably 2% by mass, even more preferably 4% by mass, and particularly preferably 7% by mass. On the other hand, the upper limit of the content is preferably 60% by mass, more preferably 40% by mass, still more preferably 30% by mass. Here, the fluorine atom content (% by mass) of the polymer can be calculated from the structure of the polymer obtained by 13 C-NMR spectrum measurement.

(1) 중합체 성분은, 불소 원자 함유율이 상이한 2 이상의 중합체를 가지면 좋다. 이러한 (1) 중합체 성분으로서는, 예를 들어 [A] 중합체 및 [B] 중합체를 포함하며, 또한 [A] 중합체보다 [B] 중합체의 불소 원자 함유율이 높은 것, [A] 중합체 및 [B] 중합체를 포함하며, 또한 [B] 중합체보다 [A] 중합체의 불소 원자 함유율이 높은 것, 불소 원자 함유율이 상이한 2 이상의 [A] 중합체를 포함하는 것, 불소 원자 함유율이 상이한 2 이상의 [B] 중합체를 포함하는 것 등을 들 수 있다. 이와 같이, (1) 중합체 성분이 불소 원자 함유율이 상이한 2 이상의 중합체를 가짐으로써, 불소 원자 함유율이 높은 중합체를 레지스트 재료막 표층에 편재화시켜, 발수성 중합체 첨가제로서 기능시킬 수 있다. 그 결과, 레지스트 재료막으로부터의 (2) 성분 등의 용출을 억제할 수 있음과 함께, 레지스트 재료막 표면의 동적 접촉각을 향상시켜, 우수한 물 빠짐 특성을 발휘시킬 수 있다. 이에 의해, 후술하는 액침 노광을 행하는 경우에 고속 스캔 노광이 가능해진다.(1) The polymer component may have two or more polymers having different fluorine atom content. Examples of the polymer component (1) include polymers [A] and polymers [B], and the polymer [B] has a higher fluorine atom content than the polymer [A] [A] polymer contains two or more [A] polymers having different fluorine content ratios, two or more [B] polymers having different fluorine atom ratios, and [B] And the like. As described above, (1) the polymer component has two or more polymers having different fluorine atom content, the polymer having a high fluorine atom content can be made to be uniform in the surface layer of the resist material film to function as a water repellent polymer additive. As a result, the elution of the component (2) and the like from the resist material film can be suppressed, and the dynamic contact angle of the surface of the resist material film can be improved to exhibit excellent water dropout characteristics. Thus, high-speed scanning exposure can be performed when liquid immersion exposure described later is performed.

([A] 중합체 및 [B] 중합체의 합성 방법)(Method for synthesizing [A] polymer and [B] polymer)

[A] 중합체 및 [B] 중합체는, 예를 들어 소정의 각 구조 단위에 대응하는 단량체를 라디칼 중합 개시제 등의 중합 개시제를 사용하여, 적당한 중합 반응 용매 중에서 중합함으로써 제조할 수 있다. 구체적인 합성 방법으로서는, 예를 들어 단량체 및 라디칼 중합 개시제를 함유하는 용액을 중합 반응 용매 또는 단량체를 함유하는 용액에 적하하여 중합 반응시키는 방법, 단량체를 함유하는 용액과, 라디칼 중합 개시제를 함유하는 용액을 각각 별도로 중합 반응 용매 또는 단량체를 함유하는 용액에 적하하여 중합 반응시키는 방법, 각각의 단량체를 함유하는 복수종의 용액과, 라디칼 중합 개시제를 함유하는 용액을 각각 별도로 중합 반응 용매 또는 단량체를 함유하는 용액에 적하하여 중합 반응시키는 방법 등을 들 수 있다.The [A] polymer and the [B] polymer can be produced by, for example, polymerizing a monomer corresponding to a given structural unit by using a polymerization initiator such as a radical polymerization initiator in a suitable polymerization reaction solvent. Specific synthetic methods include, for example, a method in which a solution containing a monomer and a radical polymerization initiator is dropped into a polymerization reaction solvent or a solution containing a monomer to effect polymerization reaction, a method in which a solution containing a monomer and a solution containing a radical polymerization initiator A method comprising dropping them in a solution containing a polymerization reaction solvent or a monomer separately to carry out a polymerization reaction, a method comprising a step of separately adding a solution containing a monomer or a solution containing a monomer and a solution containing a radical polymerization initiator separately to a solution containing a polymerization reaction solvent or a monomer And a polymerization reaction is carried out.

상기 라디칼 중합 개시제로서는 아조비스이소부티로니트릴(AIBN), 2,2'-아조비스(4-메톡시-2,4-디메틸발레로니트릴), 2,2'-아조비스(2-시클로프로필프로피오니트릴), 2,2'-아조비스(2,4-디메틸발레로니트릴), 디메틸 2,2'-아조비스이소부티레이트 등의 아조계 라디칼 개시제; 벤조일퍼옥사이드, t-부틸하이드로퍼옥사이드, 쿠멘하이드로퍼옥사이드 등의 과산화물계 라디칼 개시제 등을 들 수 있다. 상기 라디칼 중합 개시제로서는 이들 중에서 AIBN 및 디메틸 2,2'-아조비스이소부티레이트가 바람직하고, AIBN이 보다 바람직하다. 이들 라디칼 개시제는 1종 단독으로 또는 2종 이상을 혼합하여 사용할 수 있다.As the radical polymerization initiator, azobisisobutyronitrile (AIBN), 2,2'-azobis (4-methoxy-2,4-dimethylvaleronitrile), 2,2'-azobis Azo type radical initiators such as 2,2'-azobis (2,4-dimethylvaleronitrile) and dimethyl 2,2'-azobisisobutyrate; Peroxide radical initiators such as benzoyl peroxide, t-butyl hydroperoxide, cumene hydroperoxide, and the like. As the radical polymerization initiator, AIBN and dimethyl 2,2'-azobisisobutyrate are preferable, and AIBN is more preferable. These radical initiators may be used alone or in combination of two or more.

상기 중합에 사용되는 용매로서는, 예를 들어 후술하는 당해 화학 증폭형 레지스트 재료가 함유할 수도 있는 용매와 마찬가지의 것을 사용할 수 있다.As the solvent used in the polymerization, for example, the same solvent as that which the chemically amplified resist material to be described later may contain may be used.

상기 중합에 있어서의 반응 온도의 하한으로서는 40℃가 바람직하고, 50℃가 보다 바람직하다. 한편, 상기 반응 온도의 상한으로서는 150℃가 바람직하고, 120℃가 보다 바람직하다. 상기 중합에 있어서의 반응 시간의 하한으로서는 1시간이 바람직하다. 한편, 상기 반응 시간의 상한으로서는 48시간이 바람직하고, 24시간이 보다 바람직하다.The lower limit of the reaction temperature in the polymerization is preferably 40 占 폚, more preferably 50 占 폚. On the other hand, the upper limit of the reaction temperature is preferably 150 ° C, and more preferably 120 ° C. The lower limit of the reaction time in the polymerization is preferably 1 hour. On the other hand, the upper limit of the reaction time is preferably 48 hours, more preferably 24 hours.

[A] 중합체 및 [B] 중합체는 재침전법에 의해 회수하는 것이 바람직하다. 즉, 반응 종료 후, 반응액을 재침 용매에 투입함으로써, 목적의 중합체를 분체로서 회수한다. 재침 용매로서는 알코올류나 알칸류 등을 1종 단독으로 또는 2종 이상을 혼합하여 사용할 수 있다. 재침전법 이외에, 분액 조작이나 칼럼 조작, 한외 여과 조작 등에 의해, 단량체, 올리고머 등의 저분자 성분을 제거하여 중합체를 회수할 수도 있다.The polymer [A] and the polymer [B] are preferably recovered by the reprecipitation method. That is, after completion of the reaction, the reaction liquid is put in a re-precipitation solvent to recover the desired polymer as a powder. As the re-precipitation solvent, alcohols, alkanes and the like may be used singly or in a mixture of two or more kinds. In addition to the reprecipitation method, the polymer may be recovered by removing low-molecular components such as monomers and oligomers by a liquid separation operation, a column operation, an ultrafiltration operation or the like.

[(2) 노광에 의해 감방사선성 증감체와 산을 발생시키는 성분][(2) Components generating radiation-sensitive sensitizer and acid by exposure]

(2) 성분은, 노광(방사선 조사)에 의해 감방사선성 증감체 및 산을 발생시키는 성분이다. (2) 성분은 (a) 감방사선성 산-증감체 발생제, (b) 감방사선성 증감체 발생제 및 (c) 감방사선성 산 발생제의 3개의 성분 중 (a) 성분, (a) 성분 및 (b) 성분, (a) 성분 및 (c) 성분, (b) 성분 및 (c) 성분, 또는 (a) 내지 (c) 성분 모두를 함유한다.The component (2) is a component that generates a radiation-sensitive sensitizer and an acid by exposure (irradiation with radiation). (A) component (a) of the three components of (a) a radiation-sensitive acid-sensitizer generator, (b) a radiation-sensitive sensitizer generator, and (c) a radiation- (B), (a) and (c), (b) and (c), or both of (a) to (c).

상기 (a) 감방사선성 산-증감체 발생제 또는 상기 (c) 감방사선성 산 발생제는 양이온 (I)과 음이온 (I)을 포함하는 [C1] 화합물 및 양이온 (Ⅱ)와 음이온 (Ⅱ)를 포함하는 [C2] 화합물을 갖는다. 음이온 (Ⅱ)는 음이온 (I)과 상이하다. 즉, 상기 (a) 감방사선성 산-증감체 발생제 또는 상기 (c) 감방사선성 산 발생제는, 양이온으로서 양이온 (I) 및 양이온 (Ⅱ)를 갖고, 음이온으로서 음이온 (I) 및 음이온 (Ⅱ)를 갖는다. 양이온 (I) 및 양이온 (Ⅱ)는 오늄 양이온이며, 라디칼로 환원될 때에 방출하는 에너지가 모두 5.0eV 미만이다. 상기 (a) 감방사선성 산-증감체 발생제 또는 상기 (c) 감방사선성 산 발생제는 [C1] 화합물 및 [C2] 화합물을 각각 1종 단독으로 또는 2종 이상 갖고 있을 수도 있다.The (C1) compound and the cation (II) and the anion (II), which contain the cation (I) and the anion (I) C2) &lt; / RTI &gt; The anion (II) differs from the anion (I). That is, the above-mentioned (a) radiation-sensitive acid-sensitizer generator or (c) the radiation-sensitive acid generator has cation (I) and cation (II) as cations and anions (II). Cation (I) and cation (II) are onium cations, and when released into the radicals, all of the energy released is less than 5.0 eV. The (a) radiation-sensitive acid-sensitizer generator or (c) the radiation-sensitive acid generator may have one or more than one of the [C1] compound and the [C2] compound.

[C1] 화합물 및 [C2] 화합물 중, 발생하는 산의 산 해리 상수의 역수의 대수값(pKa)이 작은 쪽의 화합물이, (1) 중합체 성분을 현상액에 가용 또는 불용으로 하는 산 발생 화합물로서 기능한다. 또한 다른 쪽의 발생하는 산의 pKa가 큰 쪽의 화합물이 산 확산 제어제로서 기능한다.The compound having a smaller logarithm value (pKa) of the inverse number of the acid dissociation constant of the generated acid among the [C1] compound and the [C2] compound is preferably (1) an acid generating compound which makes the polymer component soluble or insoluble in a developer Function. And the compound having the larger pKa of the acid generated on the other side functions as an acid diffusion control agent.

(양이온)(Cation)

양이온 (I) 및 양이온 (Ⅱ)는 오늄 양이온이며, 라디칼로 환원될 때에 방출하는 에너지가 모두 5.0eV 미만이다.Cation (I) and cation (II) are onium cations, and when released into the radicals, all of the energy released is less than 5.0 eV.

당해 화학 증폭형 레지스트 재료는 (a) 감방사선성 산-증감체 발생제 또는 (c) 감방사선성 산 발생제가 [C1] 화합물 및 [C2] 화합물을 갖고, 이들 화합물의 양이온 (I) 및 양이온 (Ⅱ)가 라디칼로 환원될 때에 방출하는 에너지가 모두 5.0eV 미만임으로써, EUV광 등의 250㎚ 이하의 파장을 갖는 방사선을 패턴 노광 광으로서 사용한 경우에 있어서 양호한 감도를 유지하면서 우수한 리소그래피 성능을 발휘할 수 있다. 당해 화학 증폭형 레지스트 재료가 상기 구성을 구비함으로써 상기 효과를 발휘하는 이유에 대해서는 명확하지 않지만, 예를 들어 이하와 같이 추정할 수 있다. 즉, (a) 감방사선성 산-증감체 발생제 또는 (c) 감방사선성 산 발생제가 갖는 양이온이 라디칼로 환원될 때에 방출하는 에너지를 모두 상기 특정값 미만으로 함으로써, 상기 산 확산 제어제로서 기능하는 화합물의 광분해성을 적절하게 낮게 제어하여 패턴 미노광부에 있어서의 분해를 억제하여, 그 결과, 양호한 감도를 유지하면서, 리소그래피 성능을 향상시킬 수 있다고 생각된다.The chemically amplified resist material is a resist composition comprising (a) a radiation-sensitive acid-sensitizer generator or (c) a radiation-sensitive acid generator having a [C1] compound and a [C2] (II) is reduced to radicals, all of the energy emitted is less than 5.0 eV. Thus, when radiation having a wavelength of 250 nm or less, such as EUV light, is used as pattern exposure light, excellent lithography performance Can be exercised. The reason why the chemically amplified resist material has the above-mentioned structure and exhibits the above effect is not clear, but can be estimated as follows, for example. That is, by setting all of the energy (a) released when the cation of the radiation sensitive acid-sensitizer generator or (c) the cation of the radiation sensitive acid generator is reduced to less than the specific value, It is considered that the lithographic performance can be improved while maintaining a good sensitivity by suppressing the decomposition in the pattern unexposed portion by controlling the photodegradability of the functioning compound to be appropriately low.

상기 양이온 (I) 및 양이온 (Ⅱ)의 라디칼로 환원될 때에 방출하는 에너지의 상한으로서는 4.9eV가 바람직하고, 4.8eV가 보다 바람직하다. 하한으로서는 4.0eV가 바람직하고, 4.2eV가 보다 바람직하다.The upper limit of the energy released when the radicals of the cation (I) and the cation (II) are reduced is preferably 4.9 eV, more preferably 4.8 eV. The lower limit is preferably 4.0 eV, more preferably 4.2 eV.

상기 양이온 (I) 및 양이온 (Ⅱ)의 환원 전위의 하한으로서는 모두 -3.0V가 바람직하고, -2.5V가 보다 바람직하고, -2.0V가 더욱 바람직하다. 한편, 상기 환원 전위의 상한으로서는 모두 -0.8V가 바람직하고, -0.9V가 보다 바람직하다.The lower limit of the reduction potentials of the cation (I) and the cation (II) is preferably -3.0 V, more preferably -2.5 V, and even more preferably -2.0 V. On the other hand, the upper limit of the reduction potential is preferably -0.8 V, more preferably -0.9 V.

상기 화학 증폭형 레지스트 재료 중의 전체 오늄 양이온에 대한 상기 양이온 (I) 및 상기 양이온 (Ⅱ)의 합계 함유율의 하한으로서는 80몰%가 바람직하고, 85몰%가 보다 바람직하고, 90몰%가 더욱 바람직하다. 상기 양이온 (I) 및 상기 양이온 (Ⅱ)의 합계 함유율을 상기 범위로 함으로써, 당해 화학 증폭형 레지스트 재료의 감도 및 리소그래피 성능을 보다 향상시킬 수 있다.The lower limit of the total content of the cation (I) and the cation (II) relative to the total onium cation in the chemically amplified resist material is preferably 80 mol%, more preferably 85 mol%, still more preferably 90 mol% Do. By setting the total content of the cation (I) and the cation (II) in the above range, the sensitivity and lithography performance of the chemically amplified resist material can be further improved.

양이온 (I) 및 양이온 (Ⅱ)로서는, 예를 들어 X+로 표시되는 1가의 오늄 양이온이다. 상기 X+로 표시되는 1가의 오늄 양이온으로서는, 예를 들어 하기 식 (X-1) 및 (X-2)로 표시되는 양이온(이하, 「양이온 (X-1) 및 「양이온 (X-2)」라고도 함)을 들 수 있다.The cation (I) and the cation (II) are, for example, monovalent onium cations represented by X &lt; + & gt ;. Examples of the monovalent onium cation represented by X &lt; + &gt; include a cation represented by the following formulas (X-1) and (X- Quot;).

X+로서는 트리페닐술포늄 양이온이 바람직하다.As X &lt; + & gt ;, a triphenylsulfonium cation is preferable.

Figure pat00013
Figure pat00013

(음이온)(Anion)

음이온 (I) 및 음이온 (Ⅱ)는 상이한 음이온이다.The anion (I) and the anion (II) are different anions.

[C1] 화합물 및 [C2] 화합물 중 적어도 한쪽으로부터 발생하는 산의 pKa의 상한으로서는 0이 바람직하고, -0.5가 보다 바람직하다. 또한, 상기 하한으로서는 -7이 바람직하고, -5가 보다 바람직하다. 다른 쪽의 화합물로부터 발생하는 산의 pKa의 상한으로서는 11.0이 바람직하고, 10.5가 보다 바람직하다. 또한, 상기 하한으로서는 0이 바람직하고, 1이 보다 바람직하고, 2가 더욱 바람직하다. 상기 산의 pKa를 상기 범위로 함으로써, 보다 우수한 리소그래피 성능을 발휘할 수 있다. 또한, 상기 pKa는 ACD/ChemSketch(ACD/Labs 8.00 Release Product Version: 8.08)에 의해 구한 계산값이다.The upper limit of the pKa of the acid generated from at least one of the [C1] compound and the [C2] compound is preferably 0, more preferably -0.5. The lower limit is preferably -7, more preferably -5. The upper limit of the pKa of the acid generated from the other compound is preferably 11.0, more preferably 10.5. The lower limit is preferably 0, more preferably 1, and still more preferably 2. By setting the pKa of the acid within the above range, more excellent lithography performance can be exhibited. The pKa is a value calculated by ACD / ChemSketch (ACD / Labs 8.00 Release Product Version: 8.08).

음이온 (I) 및 음이온 (Ⅱ)로서는, 예를 들어 술폰산 음이온, 카르복실산 음이온, 비스(알킬술포닐)아미드 음이온, 트리스(알킬술포닐)메티드 음이온 등을 들 수 있다.Examples of the anion (I) and the anion (II) include a sulfonic acid anion, a carboxylic acid anion, a bis (alkylsulfonyl) amide anion, and a tris (alkylsulfonyl) methide anion.

음이온 (I) 및 음이온 (Ⅱ) 중, 발생하는 산의 산 해리 상수의 역수의 대수값(pKa)이 작고, 산 발생 화합물의 음이온으로서 기능하는 음이온으로서는 하기 일반식 (XX), (XXI) 및 (XXII)로 표시되는 산의 음이온이 바람직하고, 하기 일반식 (XX)로 표시되는 산의 음이온이 보다 바람직하다.Among the anion (I) and the anion (II), the aliphatic acid value (pKa) of the acid dissociation constant of the generated acid is small and the anion functioning as an anion of the acid generating compound includes the following general formulas (XX), An anion of an acid represented by the general formula (XXII) is preferable, and an anion of an acid represented by the following general formula (XX) is more preferable.

Figure pat00014
Figure pat00014

상기 일반식 (XX), (XXI) 및 (XXII)에 있어서, R18 내지 R21은 각각 독립적으로 유기기를 나타낸다. 상기 유기기로서는, 예를 들어 알킬기, 아릴기, 이들 복수가 연결된 기 등을 들 수 있다. 유기기는 1위치가 불소 원자 혹은 플루오로알킬기로 치환된 알킬기, 및 불소 원자 혹은 플루오로알킬기로 치환된 페닐기가 바람직하다. 유기기가 불소 원자 또는 플루오로알킬기를 가짐으로써, 노광에 의해 발생하는 산의 산성도가 올라가고, 감도가 향상되는 경향이 있다. 단, 유기기는 말단에 치환기로서 불소 원자를 함유하지 않는 것이 바람직하다.In the above general formulas (XX), (XXI) and (XXII), R 18 to R 21 each independently represent an organic group. Examples of the organic group include an alkyl group, an aryl group, and a group in which a plurality of these groups are connected to each other. The organic group is preferably an alkyl group whose 1-position is substituted by a fluorine atom or a fluoroalkyl group, and a phenyl group substituted by a fluorine atom or a fluoroalkyl group. When the organic group has a fluorine atom or a fluoroalkyl group, the acidity of the acid generated by exposure tends to increase and the sensitivity tends to be improved. It is preferable that the organic group does not contain a fluorine atom as a substituent at the terminal.

[C1] 화합물 및 [C2] 화합물 중, 발생하는 산의 산 해리 상수의 역수의 대수값(pKa)이 작고, 산 발생 화합물로서 기능하는 쪽의 화합물로서는 하기 식 (1)로 표시되는 것이 바람직하다. 즉, 산 발생 화합물로서 기능하는 쪽의 화합물의 산의 음이온으로서는 하기 식 (1)에 기재된 구조를 갖는 것이 바람직하다.The compound represented by the following formula (1) is preferred as the compound which functions as the acid generating compound, in which the logarithm value (pKa) of the inverse number of the acid dissociation constant of the generated acid among the [C1] compound and the [C2] . That is, it is preferable that the anion of an acid of a compound functioning as an acid generating compound has a structure described in the following formula (1).

Figure pat00015
Figure pat00015

상기 식 (1) 중 Rp1은 환원수 6 이상의 환 구조를 포함하는 1가의 기이다. Rp2는 2가의 연결기이다. Rp3 및 Rp4는 각각 독립적으로, 수소 원자, 불소 원자, 탄소수 1 내지 20의 1가의 탄화수소기 또는 탄소수 1 내지 20의 1가의 불소화탄화수소기이다. Rp5 및 Rp6은 각각 독립적으로, 불소 원자 또는 탄소수 1 내지 20의 1가의 불소화탄화수소기이다. np1은 0 내지 10의 정수이다. np2는 0 내지 10의 정수이다. np3은 1 내지 10의 정수이다. np1이 2 이상인 경우, 복수의 Rp2는 동일할 수도 있고 상이할 수도 있다. np2가 2 이상인 경우, 복수의 Rp3은 동일할 수도 있고 상이할 수도 있고, 복수의 Rp4는 동일할 수도 있고 상이할 수도 있다. np3이 2 이상인 경우, 복수의 Rp5는 동일할 수도 있고 상이할 수도 있고, 복수의 Rp6은 동일할 수도 있고 상이할 수도 있다. X+은 양이온 (I) 및 양이온 (Ⅱ)이다.In the formula (1), R p1 is a monovalent group containing a ring structure of 6 or more. R p2 is a divalent linking group. R p3 and R p4 each independently represent a hydrogen atom, a fluorine atom, a monovalent hydrocarbon group having 1 to 20 carbon atoms, or a monovalent fluorinated hydrocarbon group having 1 to 20 carbon atoms. R p5 and R p6 are each independently a fluorine atom or a monovalent fluorinated hydrocarbon group of 1 to 20 carbon atoms. n p1 is an integer of 0 to 10; n p2 is an integer of 0 to 10; and n p3 is an integer of 1 to 10. When n p1 is 2 or more, plural R p2 may be the same or different. When n p2 is 2 or more, a plurality of R p3 may be the same or different, and a plurality of R p4 may be the same or different. When n p3 is 2 or more, a plurality of R p5 may be the same or different, and a plurality of R p6 may be the same or different. X &lt; + & gt ; is a cation (I) and a cation (II).

여기서, 「환원수」란, 방향환 구조, 방향족 복소환 구조, 지환 구조 및 지방족 복소환 구조의 환을 구성하는 원자수를 의미하고, 다환의 환 구조의 경우에는, 이 다환을 구성하는 원자수를 의미한다. 「탄화수소기」란, 쇄상 탄화수소기, 지환식 탄화수소기 및 방향족 탄화수소기가 포함된다. 이 「탄화수소기」는 포화 탄화수소기일 수도, 불포화 탄화수소기일 수도 있다. 「쇄상 탄화수소기」란, 환상 구조를 포함하지 않고, 쇄상 구조만으로 구성된 탄화수소기를 의미하고, 직쇄상 탄화수소기 및 분지상 탄화수소기의 양쪽을 포함한다. 「지환식 탄화수소기」란, 환 구조로서는 지환 구조만을 포함하고, 방향환 구조를 포함하지 않는 탄화수소기를 의미하고, 단환의 지환식 탄화수소기 및 다환의 지환식 탄화수소기 양쪽을 포함한다. 단, 지환 구조만으로 구성되어 있을 필요는 없고, 그 일부에 쇄상 구조를 포함하고 있을 수도 있다. 「방향족 탄화수소기」란, 환 구조로서 방향환 구조를 포함하는 탄화수소기를 의미한다. 단, 방향환 구조만으로 구성되어 있을 필요는 없고, 그 일부에 쇄상 구조나 지환 구조를 포함하고 있을 수도 있다.Here, the "reduced water" means the number of atoms constituting an aromatic ring structure, an aromatic heterocyclic structure, an alicyclic structure and an aliphatic heterocyclic ring structure, and in the case of a polycyclic ring structure, it means. The "hydrocarbon group" includes a chain hydrocarbon group, an alicyclic hydrocarbon group, and an aromatic hydrocarbon group. This &quot; hydrocarbon group &quot; may be a saturated hydrocarbon group or an unsaturated hydrocarbon group. The term &quot; chain hydrocarbon group &quot; means a hydrocarbon group that does not contain a cyclic structure but consists of only a chain structure, and includes both a straight chain hydrocarbon group and a branched hydrocarbon group. The "alicyclic hydrocarbon group" means a hydrocarbon group containing only an alicyclic structure and not containing an aromatic ring structure, and includes both monocyclic alicyclic hydrocarbon groups and polycyclic alicyclic hydrocarbon groups. However, it need not be constituted only of the alicyclic structure, and a part thereof may contain a chain structure. The "aromatic hydrocarbon group" means a hydrocarbon group having an aromatic ring structure as a ring structure. However, it need not be constituted only of an aromatic ring structure, and a part thereof may contain a chain structure or an alicyclic structure.

Rp1로 표시되는 환원수 6 이상의 환 구조를 포함하는 1가의 기로서는, 예를 들어 환원수 6 이상의 지환 구조를 포함하는 1가의 기, 환원수 6 이상의 지방족 복소환 구조를 포함하는 1가의 기, 환원수 6 이상의 방향환 구조를 포함하는 1가의 기, 환원수 6 이상의 방향족 복소환 구조를 포함하는 1가의 기 등을 들 수 있다.Examples of the monovalent group having a ring structure of 6 or more represented by R p1 include a monovalent group containing an alicyclic structure having a reduced number of 6 or more, a monovalent group containing an aliphatic heterocyclic structure having a reduced number of 6 or more, A monovalent group including an aromatic ring structure, and a monovalent group containing an aromatic heterocyclic structure having a reduced number of 6 or more.

상기 환원수 6 이상의 지환 구조로서는, 예를 들어As the alicyclic structure of the reduced water 6 or more, for example,

시클로헥산 구조, 시클로헵탄 구조, 시클로옥탄 구조, 시클로노난 구조, 시클로데칸 구조, 시클로도데칸 구조 등의 단환의 시클로알칸 구조;A monocyclic cycloalkane structure such as a cyclohexane structure, a cycloheptane structure, a cyclooctane structure, a cyclononane structure, a cyclodecane structure, and a cyclododecane structure;

시클로헥센 구조, 시클로헵텐 구조, 시클로옥텐 구조, 시클로데센 구조 등의 단환의 시클로알켄 구조;Monocyclic cycloalkene structures such as a cyclohexene structure, a cycloheptene structure, a cyclooctene structure, and a cyclodecene structure;

노르보르난 구조, 아다만탄 구조, 트리시클로데칸 구조, 테트라시클로도데칸 구조 등의 다환의 시클로알칸 구조;A polycyclic cycloalkane structure such as a norbornane structure, an adamantane structure, a tricyclodecane structure, and a tetracyclododecane structure;

노르보르넨 구조, 트리시클로데센 구조 등의 다환의 시클로알켄 구조 등을 들 수 있다.A cycloalkene structure of a polycyclic structure such as a norbornene structure and a tricyclodecene structure, and the like.

상기 환원수 6 이상의 지방족 복소환 구조로서는, 예를 들어As the aliphatic heterocyclic structure of the reduced water 6 or more, for example,

헥사노락톤 구조, 노르보르난락톤 구조 등의 락톤 구조;Lactone structures such as a hexanolactone structure and a norbornane lactone structure;

헥사노술톤 구조, 노르보르난술톤 구조 등의 술톤 구조;A sultone structure such as a hexanosultone structure and a norbornanesultone structure;

옥사시클로헵탄 구조, 옥사노르보르난 구조 등의 산소 원자 함유 복소환 구조;An oxocycloheptane structure, and an oxanorbornane structure;

아자시클로헥산 구조, 디아자비시클로옥탄 구조 등의 질소 원자 함유 복소환 구조; A nitrogen atom-containing heterocyclic structure such as an azacyclohexane structure and a diazabicyclooctane structure;

티아시클로헥산 구조, 티아노르보르난 구조의 황 원자 함유 복소환 구조 등을 들 수 있다.A thiocyclohexane structure, and a thionorbornane structure, and the like.

상기 환원수 6 이상의 방향환 구조로서는, 예를 들어As the aromatic ring structure of the reduced water 6 or more, for example,

벤젠 구조, 나프탈렌 구조, 페난트렌 구조, 안트라센 구조 등을 들 수 있다.A benzene structure, a naphthalene structure, a phenanthrene structure, and an anthracene structure.

상기 환원수 6 이상의 방향족 복소환 구조로서는, 예를 들어 피란 구조, 벤조피란 구조 등의 산소 원자 함유 복소환 구조, 피리딘 구조, 피리미딘 구조, 인돌 구조 등의 질소 원자 함유 복소환 구조 등을 들 수 있다.Examples of the aromatic heterocyclic structure of the above-mentioned reduced water 6 include an oxygen atom-containing heterocyclic structure such as a pyran structure and a benzopyran structure, a nitrogen atom-containing heterocyclic structure such as a pyridine structure, a pyrimidine structure, and an indole structure .

Rp1의 환 구조의 환원수의 하한으로서는 7이 바람직하고, 8이 보다 바람직하고, 9가 더욱 바람직하고, 10이 특히 바람직하다. 한편, 상기 환원수의 상한으로서는 15가 바람직하고, 14가 보다 바람직하고, 13이 더욱 바람직하고, 12가 특히 바람직하다. 상기 환원수를 상기 범위로 함으로써, 상술한 산의 확산 길이를 더욱 적절하게 짧게 할 수 있어, 그 결과, 본 실시 형태의 레지스트 재료에 있어서의 LWR 성능 등을 더욱 향상시킬 수 있다.The lower limit of the reduced water of the cyclic structure of R p1 is preferably 7, more preferably 8, further preferably 9, and particularly preferably 10. On the other hand, the upper limit of the reduced water is preferably 15, more preferably 14, even more preferably 13, and particularly preferably 12. By setting the reduced water to the above range, it is possible to shorten the diffusion length of the acid more appropriately, and as a result, the LWR performance and the like in the resist material of the present embodiment can be further improved.

Rp1의 환 구조가 갖는 수소 원자의 일부 또는 전부는 치환기로 치환되어 있을 수도 있다. 상기 치환기로서는, 예를 들어 불소 원자, 염소 원자, 브롬 원자, 요오드 원자 등의 할로겐 원자, 히드록시기, 카르복시기, 시아노기, 니트로기, 알콕시기, 알콕시카르보닐기, 알콕시카르보닐옥시기, 아실기, 아실옥시기 등을 들 수 있다. 이들 중에서 히드록시기가 바람직하다.Some or all of the hydrogen atoms of the ring structure of R p1 may be substituted with substituents. Examples of the substituent include a halogen atom such as a fluorine atom, a chlorine atom, a bromine atom and an iodine atom, a hydroxyl group, a carboxyl group, a cyano group, a nitro group, an alkoxy group, an alkoxycarbonyl group, an alkoxycarbonyloxy group, Time and so on. Among them, a hydroxy group is preferable.

Rp1로서는 이들 중에서 환원수 6 이상의 지환 구조를 포함하는 1가의 기 및 환원수 6 이상의 지방족 복소환 구조를 포함하는 1가의 기가 바람직하고, 환원수 9이상의 지환 구조를 포함하는 1가의 기 및 환원수 9 이상의 지방족 복소환 구조를 포함하는 1가의 기가 보다 바람직하고, 아다만틸기, 히드록시아다만틸기, 노르보르난락톤-일기, 노르보르난술톤-일기 및 5-옥소-4-옥사트리시클로[4.3.1.13, 8]운데칸-일기가 더욱 바람직하고, 아다만틸기가 특히 바람직하다.R p1 is preferably a monovalent group containing an alicyclic structure containing 6 or more alicyclic groups and a monovalent group containing an aliphatic heterocyclic structure containing 6 or more alicyclic groups, A monovalent group including a recurring structure is more preferable, and an adamantyl group, a hydroxyadamantyl group, a norbornanactone-yl group, a norbornane sultone-yl group and 5-oxo-4-oxatricyclo [4.3.1.1 3 , 8 ] undecane-yl group is more preferable, and adamantyl group is particularly preferable.

Rp2로 표시되는 2가의 연결기로서는, 예를 들어 카르보닐기, 에테르기, 카르보닐옥시기, 술피드기, 티오카르보닐기, 술포닐기, 2가의 탄화수소기 등을 들 수 있다. Rp2로 표시되는 2가의 연결기로서는 카르보닐옥시기, 술포닐기, 알칸디일기 및 시클로알칸디일기가 바람직하고, 카르보닐옥시기 및 시클로알칸디일기가 보다 바람직하고, 카르보닐옥시기 및 노르보르난디일기가 더욱 바람직하고, 카르보닐옥시기가 특히 바람직하다.Examples of the divalent linking group represented by R p2 include a carbonyl group, an ether group, a carbonyloxy group, a sulfide group, a thiocarbonyl group, a sulfonyl group, and a divalent hydrocarbon group. The divalent linking group represented by R p2 is preferably a carbonyloxy group, a sulfonyl group, an alkanediyl group and a cycloalkanediyl group, more preferably a carbonyloxy group and a cycloalkanediyl group, and a carbonyloxy group and a norborn A norbornyl group is more preferable, and a carbonyloxy group is particularly preferable.

Rp3 및 Rp4로 표시되는 탄소수 1 내지 20의 1가의 탄화수소기로서는, 예를 들어 탄소수 1 내지 20의 알킬기 등을 들 수 있다. Rp3 및 Rp4로 표시되는 탄소수 1 내지 20의 1가의 불소화탄화수소기로서는, 예를 들어 탄소수 1 내지 20의 불소화알킬기 등을 들 수 있다. Rp3 및 Rp4로서는 수소 원자, 불소 원자 및 불소화알킬기가 바람직하고, 불소 원자 및 퍼플루오로알킬기가 보다 바람직하고, 불소 원자 및 트리플루오로메틸기가 더욱 바람직하다.Examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms represented by R p3 and R p4 include an alkyl group having 1 to 20 carbon atoms. Examples of the monovalent fluorinated hydrocarbon group having 1 to 20 carbon atoms represented by R p3 and R p4 include a fluorinated alkyl group having 1 to 20 carbon atoms. As R p3 and R p4 , a hydrogen atom, a fluorine atom and a fluorinated alkyl group are preferable, a fluorine atom and a perfluoroalkyl group are more preferable, and a fluorine atom and a trifluoromethyl group are more preferable.

Rp5 및 Rp6으로 표시되는 탄소수 1 내지 20의 1가의 불소화탄화수소기로서는, 예를 들어 탄소수 1 내지 20의 불소화알킬기 등을 들 수 있다. Rp5 및 Rp6으로서는 불소 원자 및 불소화알킬기가 바람직하고, 불소 원자 및 퍼플루오로알킬기가 보다 바람직하고, 불소 원자 및 트리플루오로메틸기가 더욱 바람직하고, 불소 원자가 특히 바람직하다.Examples of the monovalent fluorinated hydrocarbon group having 1 to 20 carbon atoms represented by R p5 and R p6 include a fluorinated alkyl group having 1 to 20 carbon atoms. R p5 and R p6 are preferably a fluorine atom and a fluorinated alkyl group, more preferably a fluorine atom and a perfluoroalkyl group, more preferably a fluorine atom and a trifluoromethyl group, and particularly preferably a fluorine atom.

np1의 하한으로서는 0이 바람직하다. 한편, np1의 상한으로서는 5가 바람직하고, 3이 보다 바람직하고, 2가 더욱 바람직하고, 1이 특히 바람직하다.The lower limit of n p1 is preferably 0. On the other hand, the upper limit of n p1 is preferably 5, more preferably 3, still more preferably 2, and particularly preferably 1.

np2의 하한으로서는 0이 바람직하다. 한편, np2의 상한으로서는 5가 바람직하고, 2가 보다 바람직하고, 1이 더욱 바람직하다.The lower limit of n p2 is preferably 0. On the other hand, the upper limit of n p2 is preferably 5, more preferably 2, and still more preferably 1.

np3의 하한으로서는 1이 바람직하다. 한편, np3의 상한으로서는 5가 바람직하고, 4가 보다 바람직하고, 3이 더욱 바람직하고, 2가 특히 바람직하다.The lower limit of n p3 is preferably 1. On the other hand, as the upper limit of n p3 , 5 is preferable, 4 is more preferable, 3 is more preferable, and 2 is particularly preferable.

산의 음이온으로서는, 예를 들어 하기 식으로 표시되는 음이온을 들 수 있지만, 이들에 한정되는 것은 아니다.The anion of an acid includes, for example, an anion represented by the following formula, but is not limited thereto.

Figure pat00016
Figure pat00016

또한, 산 발생 화합물로서 기능하는 쪽의 화합물의 산의 음이온으로서 바람직한 상기 식 (1) 중에 기재된 음이온으로서는, 예를 들어 이하의 것을 들 수 있다.The anion described in the above formula (1), which is preferable as an anion of an acid of a compound functioning as an acid generating compound, includes, for example, the following.

Figure pat00017
Figure pat00017

Figure pat00018
Figure pat00018

[C1] 화합물 및 [C2] 화합물로서는, 예를 들어 트리페닐술포늄트리플루오로메탄술포네이트, 트리페닐술포늄노나플루오로-n-부탄술포네이트, 트리페닐술포늄퍼플루오로-n-옥탄술포네이트, 트리페닐술포늄2-비시클로[2.2.1]헵트-2-일-1,1,2,2-테트라플루오로에탄술포네이트, 트리페닐술포늄6-(아다만탄-1-일카르복시옥시)-1,1,2,2-테트라플루오로헥산-1-술포네이트, 트리페닐술포늄아다만탄-1-일옥시카르보닐카르복실레이트, 4-메톡시페닐디페닐술포늄1,2-디(시클로헥실옥시카르보닐)에탄-1-술포네이트 등을 들 수 있다.Examples of the [C1] compound and the [C2] compound include triphenylsulfonium trifluoromethanesulfonate, triphenylsulfonium nonafluoro-n-butanesulfonate, triphenylsulfonium perfluoro-n-octane Triphenylsulfonium 2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethanesulfonate, triphenylsulfonium 6- (adamantan- Ylcarboxyoxy) -1,1,2,2-tetrafluorohexane-1-sulfonate, triphenylsulfoniumadamantane-1-yloxycarbonyl carboxylate, 4-methoxyphenyldiphenylsulfonium 1 , 2-di (cyclohexyloxycarbonyl) ethane-1-sulfonate, and the like.

[C1] 화합물 및 [C2] 화합물 중, 발생하는 산의 pKa가 작은 쪽의 화합물에 있어서의 상기 (a) 성분 또는 상기 (c) 성분의 100질량부에 대한 함유량의 하한으로서는 50질량%가 바람직하고, 60질량%가 보다 바람직하다. 한편, 상기 함유량의 상한으로서는 90질량%가 바람직하고, 80질량%가 보다 바람직하다. 또한, [C1] 화합물 및 [C2] 화합물 중, 발생하는 산의 pKa가 큰 쪽의 화합물에 있어서의 상기 (a) 성분 또는 상기 (c) 성분의 100질량부에 대한 함유량의 하한으로서는 5질량%가 바람직하고, 10질량%가 보다 바람직하다. 한편, 상기 함유량의 상한으로서는 50질량%가 바람직하고, 40질량%가 보다 바람직하다. 발생하는 산의 pKa가 작은 쪽의 화합물 및 상기 pKa가 큰 쪽의 화합물의 함유량을 상기 범위로 함으로써, 당해 화학 증폭형 레지스트 재료의 감도 및 리소그래피 성능을 보다 향상시킬 수 있다.The lower limit of the content of the [C1] compound and the [C2] compound relative to 100 parts by mass of the component (a) or the component (c) in the compound having a smaller pKa of the generated acid is preferably 50% by mass By mass, and more preferably 60% by mass. On the other hand, the upper limit of the content is preferably 90% by mass, more preferably 80% by mass. The lower limit of the content of the [C1] compound and the [C2] compound relative to 100 parts by mass of the component (a) or the component (c) in the compound having a larger pKa of the generated acid is preferably 5% By mass, and more preferably 10% by mass. On the other hand, the upper limit of the content is preferably 50% by mass, more preferably 40% by mass. By setting the content of the compound having a smaller pKa of the generated acid and the compound having the larger pKa in the above range, the sensitivity and lithography performance of the chemically amplified resist material can be further improved.

((a) 감방사선성 산-증감체 발생제)((a) a radiation-sensitive acid-sensitizer generator)

(a) 감방사선성 산-증감체 발생제는, 250㎚ 이하의 파장을 갖는 방사선인 제1 방사선을 조사하고, 250㎚를 초과하는 파장을 갖는 방사선인 제2 방사선을 조사하지 않는 경우에, 산과, 상기 제2 방사선을 흡수하는 감방사선성 증감체를 발생시키며, 또한 상기 제1 방사선을 조사하지 않고 상기 제2 방사선만을 조사한 경우에 상기 산 및 감방사선성 증감체를 실질적으로 발생시키지 않는다.(a) when the radiation-sensitive acid-sensitizer generator is irradiated with a first radiation which is radiation having a wavelength of 250 nm or less and not irradiated with a second radiation which is radiation with a wavelength exceeding 250 nm, The acid and the radiation-sensitive sensitizer for absorbing the second radiation are generated, and the acid and the radiation-sensitive sensitizer are not substantially generated when only the second radiation is irradiated without irradiating the first radiation.

이러한 (a) 감방사선성 산-증감체 발생제가 되는 [C1] 화합물 및 [C2] 화합물로서는, 예를 들어 상술한 [C1] 화합물 및 [C2] 화합물 중 오늄염 화합물을 들 수 있다. 또한, 오늄염 화합물로서는, 예를 들어 술포늄염 화합물, 테트라히드로티오페늄염 화합물 등을 들 수 있다.Examples of the [C1] compound and the [C2] compound as the (a) radiation-sensitive acid-sensitizer generator include, for example, the onium salt compounds of the above-mentioned [C1] compounds and [C2] compounds. Examples of the onium salt compound include a sulfonium salt compound and a tetrahydrothiophenium salt compound.

상기 [C1] 화합물 및 [C2] 화합물에 있어서의 양이온 (I) 및 양이온 (Ⅱ)로서는, 예를 들어 트리페닐술포늄 등을 들 수 있다.Examples of the cation (I) and the cation (II) in the [C1] compound and the [C2] compound include triphenylsulfonium and the like.

(a) 감방사선성 산-증감체 발생제로서는 상기 [C1] 화합물 및 [C2] 화합물 이외의 화합물도 갖고 있을 수도 있고, 그 밖의 오늄염 화합물, 디아조메탄 화합물 및 술폰이미드 화합물 등을 들 수 있다. 오늄염 화합물로서는, 예를 들어 요오도늄염 화합물 등을 들 수 있다. 상기 [C1] 화합물 및 [C2] 화합물 이외의 (a) 감방사선성 산-증감체 발생제로서는 요오도늄염 화합물이 바람직하다.(a) The radiation-sensitive acid-sensitizer generator may also contain compounds other than the [C1] compounds and [C2] compounds, and other onium salt compounds, diazomethane compounds and sulfonimide compounds . Examples of the onium salt compounds include iodonium salt compounds. As the (a) radiation-sensitive acid-sensitizer generator other than the [C1] compound and the [C2] compound, an iodonium salt compound is preferable.

술포늄염 화합물이란, 술포늄 양이온 및 산의 음이온을 포함하는 화합물이다. 술포늄염 화합물로서는 하기 식 (I) 내지 (Ⅲ)으로 표시되는 화합물이 바람직하다.The sulfonium salt compound is a compound containing a sulfonium cation and an anion of an acid. As the sulfonium salt compound, compounds represented by the following formulas (I) to (III) are preferable.

Figure pat00019
Figure pat00019

상기 식 (I) 내지 (Ⅲ) 중 R1, R2, R1', R2', R1", R2", R3 및 R4는 각각 독립적으로, 수소 원자; 페닐기; 나프틸기; 안트라세닐기; 페녹시기; 나프톡시기; 안트라센옥시기; 아미노기; 아미드기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 탄소수 1 내지 5의 알콕시기, 히드록시기, 아미노기, 아미드기, 혹은 탄소수 1 내지 5의 알킬기로 치환된 페녹시기; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 아미노기, 아미드기, 혹은 히드록시기로 치환된 페닐기; 탄소수 1 내지 5의 알콕시기, 탄소수 1 내지 5의 알킬기, 아미노기, 아미드기, 혹은 히드록시기로 치환된 나프톡시기; 탄소수 1 내지 5의 알콕시기, 탄소수 1 내지 5의 알킬기, 아미노기, 아미드기, 혹은 히드록시기로 치환된 안트라센옥시기; 탄소수 1 내지 5의 알콕시기, 페녹시기, 나프톡시기, 안트라센옥시기, 아미노기, 아미드기, 혹은 히드록시기로 치환된, 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 또는 탄소수 1 내지 12의 알킬기가 결합한 카르보닐기를 나타낸다. 상기 식 (I) 내지 (Ⅲ) 중 히드록시기의 수소 원자는 페닐기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 또는 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 혹은 히드록시기로 치환된 페닐기로 치환되어 있을 수도 있다. 히드록시기의 수소 원자가 치환되어 있을 때 술포늄염 화합물은 케탈 화합물기 또는 아세탈 화합물기를 포함하게 된다. 식 (I) 중 R1, R2, R3 및 R4 중 임의의 2개 이상의 기는 단결합 혹은 이중 결합에 의해, 또는 -CH2-, -O-, -S-, -SO2-, -SO2NH-, -C(=O)-, -C(=O)O-, -NHCO-, -NHC(=O)NH-, -CHRe-, -CRe 2-, -NH- 혹은 -NRe-를 포함하는 결합을 통하여, 서로 결합하여 환 구조를 형성하고 있을 수도 있다. 식 (Ⅱ) 중 R1, R2, R1', R2' 및 R4 중 임의의 2개 이상의 기는 단결합 혹은 이중 결합에 의해, 또는 -CH2-, -O-, -S-, -SO2-, -SO2NH-, -C(=O)-, -C(=O)O-, -NHCO-, -NHC(=O)NH-, -CHRe-, -CRe 2-, -NH- 혹은 -NRe-를 포함하는 결합을 통하여, 서로 결합하여 환 구조를 형성하고 있을 수도 있다. 식 (Ⅲ) 중 R1, R2, R1', R2', R1", R2" 중 임의의 2개 이상의 기는 단결합 혹은 이중 결합에 의해, 또는 -CH2-, -O-, -S-, -SO2-, -SO2NH-, -C(=O)-, -C(=O)O-, -NHCO-, -NHC(=O)NH-, -CHRe-, -CRe 2-, -NH- 혹은 -NRe-를 포함하는 결합을 통하여, 서로 결합하여 환 구조를 형성하고 있을 수도 있다. Re는 페닐기; 페녹시기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 탄소수 1 내지 5의 알콕시기, 히드록시기, 혹은 탄소수 1 내지 5의 알킬기로 치환된 페녹시기; 또는 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 혹은 히드록시기로 치환된 페닐기를 나타낸다. R1, R2, R1', R2', R1", R2", R3 및 R4는 각각 독립적으로, 바람직하게는 페닐기; 페녹시기; 탄소수 1 내지 5의 알킬기로 치환된 페녹시기; 또는 탄소수 1 내지 5의 알콕시기, 혹은 히드록시기로 치환된 페닐기를 나타낸다. 식 (I) 내지 (Ⅲ) 중 X-은 산, 바람직하게는 강산, 보다 바람직하게는 초강산의 음이온을 나타낸다.R 1 , R 2 , R 1 ' , R 2' , R 1 " , R 2" , R 3 and R 4 in the formulas (I) to (III) are each independently a hydrogen atom; A phenyl group; Naphthyl group; Anthracenyl group; Phenoxy group; Naphthoxy group; Anthracene oxy group; An amino group; Amide group; A halogen atom; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxy group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms; Branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, an amino group, an amide group, or a hydroxy group A substituted phenyl group; An alkoxy group having 1 to 5 carbon atoms, an alkyl group having 1 to 5 carbon atoms, an amino group, an amide group, or a naphthoxy group substituted with a hydroxy group; An anthraceneoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, an alkyl group having 1 to 5 carbon atoms, an amino group, an amide group, or a hydroxy group; Branched, or cyclic alkyl group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) substituted with an alkoxy group having 1 to 5 carbon atoms, a phenoxy group, a naphthoxy group, an anthracenoxy group, an amino group, an amide group, or a hydroxy group A cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group); Or a carbonyl group to which an alkyl group having 1 to 12 carbon atoms is bonded. In the above formulas (I) to (III), the hydrogen atom of the hydroxyl group is preferably a phenyl group; A halogen atom; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); Branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxy group Or may be substituted. When the hydrogen atom of the hydroxy group is substituted, the sulfonium salt compound includes a ketal compound group or an acetal compound group. In the formula (I), any two or more of R 1 , R 2 , R 3 and R 4 may be bonded to each other through a single bond or a double bond, or -CH 2 -, -O-, -S-, -SO 2 - -SO 2 NH-, -C (= O ) -, -C (= O) O-, -NHCO-, -NHC (= O) NH-, -CHR e -, -CR e 2 -, -NH- Or may combine with each other to form a ring structure through a bond including -NR e -. Any two or more groups of R 1 , R 2 , R 1 ' , R 2' and R 4 in the formula (II) may be bonded to each other by a single bond or a double bond, or -CH 2 -, -O-, -SO 2 -, -SO 2 NH-, -C (= O) -, -C (= O) O-, -NHCO-, -NHC (= O) NH-, -CHR e -, -CR e 2 -, -NH-, or -NR e -, to form a ring structure. Any two or more groups of R 1 , R 2 , R 1 ' , R 2' , R 1 " and R 2" in the formula (III) may be bonded to each other by a single bond or a double bond, or -CH 2 - , -S-, -SO 2 -, -SO 2 NH-, -C (= O) -, -C (= O) O-, -NHCO-, -NHC (= O) NH-, -CHR e - , -CR e 2 -, -NH-, or -NR e - via a coupling comprising a may be bonded to each other to form a ring structure. R e is a phenyl group; Phenoxy group; A halogen atom; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxy group, or an alkyl group having 1 to 5 carbon atoms; Branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxy group, . R 1 , R 2 , R 1 ' , R 2' , R 1 " , R 2" , R 3 and R 4 are each independently preferably a phenyl group; Phenoxy group; A phenoxy group substituted with an alkyl group having 1 to 5 carbon atoms; Or an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxy group. Formula (I) to (Ⅲ) of the X - represents an acid, preferably a strong acid, more preferably an anion of a superacid.

상기 식 (I) 내지 (Ⅲ)에 있어서, -C(-OH)R1R2, -C(-OH)R1'R2 ' 및 -C(-OH)R1"R2 " 등으로 표시되는 기로서는, 예를 들어 하기 식으로 표시되는 기를 들 수 있다. 또한, 식 중의 *은 상기 식 (I) 내지 (Ⅲ) 중의 황 이온과의 결합 부분을 나타낸다. -C(-OH)R1R2, -C(-OH)R1'R2 ' 및 -C(-OH)R1"R2 "로 표시되는 기에 있어서, 히드록시기와 이 히드록시기가 결합하는 탄소 원자는 패턴 노광에 의해 카르보닐기가 된다. 이와 같이 하여, 상기 식 (I) 내지 (Ⅲ)으로 표시되는 화합물에서는 -C(-OH)R1R2, -C(-OH)R1'R2 ' 및 -C(-OH)R1"R2 "로 표시되는 기가 패턴 노광 후에 분리되어 감방사선성 증감체를 발생한다.In the formula (I) to (Ⅲ), a -C (-OH) R 1 R 2 , -C (-OH) R 1 'R 2' , and -C (-OH) R 1 "R 2" , etc. As the group to be displayed, for example, a group represented by the following formula can be mentioned. In the formulas, * represents a bonding site with sulfur ions in the formulas (I) to (III). In the group represented by -C (-OH) R 1 R 2 , -C (-OH) R 1 ' R 2 ' and -C (-OH) R 1 " R 2 " , the carbon to which the hydroxy group and the The atom becomes a carbonyl group by pattern exposure. In this way, the formula (I) The compound represented by to (Ⅲ) -C (-OH) R 1 R 2, -C (-OH) R 1 'R 2' , and -C (-OH) R 1 The group represented by " R 2 " is separated after pattern exposure to generate a radiation-sensitive sensitizer.

Figure pat00020
Figure pat00020

Figure pat00021
Figure pat00021

Figure pat00022
Figure pat00022

Figure pat00023
Figure pat00023

Figure pat00024
Figure pat00024

Figure pat00025
Figure pat00025

Figure pat00026
Figure pat00026

Figure pat00027
Figure pat00027

Figure pat00028
Figure pat00028

Figure pat00029
Figure pat00029

상기 요오도늄염 화합물은 요오도늄 양이온과 산의 음이온을 포함하는 화합물이다. 요오도늄염 화합물로서는 하기 식 (Ⅳ) 내지 (V)로 표시되는 화합물이 바람직하다.The iodonium salt compound is a compound containing an iodonium cation and an anion of an acid. As the iodonium salt compound, compounds represented by the following formulas (IV) to (V) are preferable.

Figure pat00030
Figure pat00030

상기 식 (Ⅳ) 내지 (V) 중 R5, R6, R5', R6' 및 R7은 각각 독립적으로, 수소 원자; 페닐기; 나프틸기; 안트라세닐기; 페녹시기; 나프톡시기; 안트라센옥시기; 아미노기; 아미드기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 탄소수 1 내지 5의 알콕시기, 히드록시기, 아미노기, 아미드기, 혹은 탄소수 1 내지 5의 알킬기로 치환된 페녹시기; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 아미노기, 아미드기, 혹은 히드록시기로 치환된 페닐기; 탄소수 1 내지 5의 알콕시기, 탄소수 1 내지 5의 알킬기, 아미노기, 아미드기, 혹은 히드록시기로 치환된 나프톡시기; 탄소수 1 내지 5의 알콕시기, 탄소수 1 내지 5의 알킬기, 아미노기, 아미드기, 혹은 히드록시기로 치환된 안트라센옥시기; 탄소수 1 내지 5의 알콕시기, 페녹시기, 나프톡시기, 안트라센옥시기, 아미노기, 아미드기, 혹은 히드록시기로 치환된, 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 또는 탄소수 1 내지 12의 알킬기가 결합한 카르보닐기를 나타낸다. 상기 식 (Ⅳ) 내지 (V) 중 히드록시기의 수소 원자는 페닐기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 또는 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 혹은 히드록시기로 치환된 페닐기로 치환되어 있을 수도 있다. 히드록시기의 수소 원자가 치환되어 있을 때 요오도늄염 화합물은 케탈 화합물기 또는 아세탈 화합물기를 포함하게 된다. 식 (Ⅳ) 중 R5, R6 및 R7 중 임의의 2개 이상의 기는 단결합 혹은 이중 결합에 의해, 또는 -CH2-, -O-, -S-, -SO2NH-, -C(=O)-, -C(=O)O-, -NHCO-, -NHC(=O)NH-, -CHRf-, -CRf 2-, -NH- 혹은 -NRf-를 포함하는 결합을 통하여 환 구조를 형성하고 있을 수도 있다. 식 (V) 중 R5, R6, R5' 및 R6' 중 임의의 2개 이상의 기는 단결합 혹은 이중 결합에 의해, 또는 -CH2-, -O-, -S-, -SO2NH-, -C(=O)-, -C(=O)O-, -NHCO-, -NHC(=O)NH-, -CHRf-, -CRf 2-, -NH- 혹은 -NRf-를 포함하는 결합을 통하여 환 구조를 형성하고 있을 수도 있다. Rf는 페닐기; 페녹시기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 탄소수 1 내지 5의 알콕시기, 히드록시기, 혹은 탄소수 1 내지 5의 알킬기로 치환된 페녹시기; 또는 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 혹은 히드록시기로 치환된 페닐기를 나타낸다. R5, R6, R5', R6' 및 R7은 각각 독립적으로, 바람직하게는 페닐기; 페녹시기; 탄소수 1 내지 5의 알콕시기, 히드록시기, 혹은 탄소수 1 내지 5의 알킬기로 치환된 페녹시기; 또는 탄소수 1 내지 5의 알콕시기, 혹은 히드록시기로 치환된 페닐기를 나타낸다. 식 (Ⅳ) 내지 (V) 중 Y-은 산, 바람직하게는 강산, 보다 바람직하게는 초강산의 음이온을 나타낸다.In the formulas (IV) to (V), R 5 , R 6 , R 5 ' , R 6' and R 7 are each independently a hydrogen atom; A phenyl group; Naphthyl group; Anthracenyl group; Phenoxy group; Naphthoxy group; Anthracene oxy group; An amino group; Amide group; A halogen atom; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxy group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms; Branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, an amino group, an amide group, or a hydroxy group A substituted phenyl group; An alkoxy group having 1 to 5 carbon atoms, an alkyl group having 1 to 5 carbon atoms, an amino group, an amide group, or a naphthoxy group substituted with a hydroxy group; An anthraceneoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, an alkyl group having 1 to 5 carbon atoms, an amino group, an amide group, or a hydroxy group; Branched, or cyclic alkyl group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) substituted with an alkoxy group having 1 to 5 carbon atoms, a phenoxy group, a naphthoxy group, an anthracenoxy group, an amino group, an amide group, or a hydroxy group A cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group); Or a carbonyl group to which an alkyl group having 1 to 12 carbon atoms is bonded. In the formulas (IV) to (V), the hydrogen atom of the hydroxy group is preferably a phenyl group; A halogen atom; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); Branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxy group Or may be substituted. When the hydrogen atom of the hydroxy group is substituted, the iodonium salt compound includes a ketal compound group or an acetal compound group. Any two or more groups of R 5 , R 6 and R 7 in the formula (IV) may be bonded to each other by a single bond or a double bond, or -CH 2 -, -O-, -S-, -SO 2 NH-, -C (═O) -, -C (═O) O-, -NHCO-, -NHC (═O) NH-, -CHR f -, -CR f 2 -, -NH- or -NR f - And may form a ring structure through bonding. Formula (V) of the R 5, R 6, R 5 ' and R 6', -CH 2 or by any of a single bond or a double bond, two or more groups of the -, -O-, -S-, -SO 2 NH-, -C (= O) -, -C (= O) O-, -NHCO-, -NHC (= O) NH-, -CHR f -, -CR f 2 -, -NH- or -NR and may form a cyclic structure through a bond including f -. R f is a phenyl group; Phenoxy group; A halogen atom; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxy group, or an alkyl group having 1 to 5 carbon atoms; Branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxy group, . R 5 , R 6 , R 5 ' , R 6' and R 7 are each independently preferably a phenyl group; Phenoxy group; A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxy group, or an alkyl group having 1 to 5 carbon atoms; Or an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxy group. Y - in the formulas (IV) to (V) represents an acid, preferably a strong acid, more preferably an anion of super strong acid.

상기 식 (Ⅳ) 내지 (V)에 있어서, -C(-OH)R5R6 및 -C(-OH)R5'R6 '로 표시되는 기로서는, 예를 들어 상기 식 (I) 내지 (Ⅲ)에 있어서 예시한 -C(-OH)R1R2, -C(-OH)R1'R2 ', -C(-OH)R1"R2 " 등으로 표시되는 기와 마찬가지의 기를 들 수 있다.Examples of the group represented by -C (-OH) R 5 R 6 and -C (-OH) R 5 ' R 6 ' in the formulas (IV) to (V) (-OH) R 1 R 2 , -C (-OH) R 1 ' R 2 ' , -C (-OH) R 1 " R 2 " and the like exemplified in .

(a) 감방사선성 산-증감체 발생제는 (1) 중합체 성분을 구성하는 중합체의 일부일 수도 있다. 이 경우, (a) 감방사선성 산-증감체 발생제는 상기 화합물로부터 수소 원자 1개를 제외한 기가 중합체에 결합하는 형태로 존재한다.(a) The radiation-sensitive acid-sensitizer generator may be part of (1) the polymer constituting the polymer component. In this case, (a) the radiation-sensitive acid-sensitizer generator is present in such a form that a group excluding one hydrogen atom from the compound binds to the polymer.

(a) 감방사선성 산-증감체 발생제가 (1) 중합체 성분과는 상이한 성분인 경우, (1) 중합체 성분 100질량부에 대한 (a) 감방사선성 산-증감체 발생제의 배합량의 하한으로서는 0.005질량부가 바람직하고, 0.1질량부가 보다 바람직하다. 한편, 상기 배합량의 상한으로서는 50질량부가 바람직하고, 30질량부가 보다 바람직하다.(a) when the radiation-sensitive acid-sensitizer generator is a component different from (1) the polymer component, (1) the lower limit of the amount of the radiation-sensitive acid-sensitizer generator to 100 parts by mass of the polymer component Is preferably 0.005 part by mass, more preferably 0.1 part by mass. On the other hand, the upper limit of the blending amount is preferably 50 parts by mass, more preferably 30 parts by mass.

(a) 감방사선성 산-증감체 발생제가 (1) 중합체 성분을 구성하는 중합체의 일부인 경우, (1) 중합체 성분의 1몰에 대한 (a) 감방사선성 산-증감체 발생제의 함유 비율의 하한으로서는 0.001몰이 바람직하고, 0.002몰이 보다 바람직하고, 0.01몰이 더욱 바람직하다. 한편, 상기 함유 비율의 상한으로서는 0.5몰이 바람직하고, 0.3몰이 보다 바람직하다.(a) when the radiation-sensitive acid-sensitizer generator is part of the polymer constituting (1) the polymer component, (1) the content ratio of the (a) radiation-sensitive acid- Is preferably 0.001 mol, more preferably 0.002 mol, and still more preferably 0.01 mol. On the other hand, the upper limit of the content is preferably 0.5 mol, more preferably 0.3 mol.

상기 배합량 또는 함유 비율이 상기 하한보다 작으면, 감도가 저하될 우려가 있다. 반대로, 상기 배합량 또는 함유 비율이 상기 상한을 초과하면, 레지스트 재료막을 형성하기 어려워질 우려나, 레지스트 패턴의 단면 형상에 있어서의 직사각형성이 저하될 우려가 있다.If the blending amount or content ratio is smaller than the above lower limit, the sensitivity may be lowered. On the other hand, if the blending amount or the content ratio exceeds the upper limit, the resist material film tends to be difficult to form, and the rectangularity of the cross-sectional shape of the resist pattern may deteriorate.

((b) 감방사선성 증감체 발생제)((b) a radiation-sensitive sensitizer generating agent)

(b) 감방사선성 증감체 발생제는 상기 제1 방사선을 조사하고, 상기 제2 방사선을 방사하지 않는 경우에, 상기 제2 방사선을 흡수하는 감방사선성 증감체를 발생시키며, 또한 상기 제1 방사선을 조사하지 않고 상기 제2 방사선만을 조사한 경우에 상기 감방사선성 증감체를 실질적으로 발생시키지 않는 성분이며, 상기 (a) 감방사선성 산-증감체 발생제와는 상이한 것이다.(b) a radiation-sensitive sensitizer generating agent is irradiated with the first radiation and generates a radiation-sensitive sensitizer for absorbing the second radiation when the second radiation is not emitted, Sensitizer is substantially free of the radiation-sensitive sensitizer when irradiated with only the second radiation without irradiating the radiation, and is different from the (a) radiation-sensitive acid-sensitizer generator.

당해 화학 증폭형 레지스트 재료에서는 제1 방사선의 조사에 의해 (b) 감방사선성 증감체 발생제의 화학 구조가 직접적 또는 간접적인 반응에 의해 변환하고, 제2 방사선 조사 시에 산 발생을 보조하는 감방사선성 증감체를 생성한다. 이 감방사선성 증감체는 (b) 감방사선성 증감체 발생제와 비교하여 제2 방사선을 흡수하기 쉽기 때문에, 제1 방사선에 의해 패턴 노광을 행한 경우에, 감방사선성 증감체가 발생하는 노광부와 감방사선성 증감체가 발생하지 않는 패턴 비노광부 사이에 있어서의 제2 방사선의 흡수량이 크게 상이하여, 흡수량의 콘트라스트가 얻어지기 쉬워진다.In the chemically amplified resist material, the chemical structure of the radiation-sensitive sensitizer generator (b) is directly or indirectly converted by the irradiation of the first radiation, and the chemical structure of the radiation- Thereby generating a radiation-sensitive sensitizer. Since this radiation-sensitive sensitizer is easier to absorb the second radiation than (b) the radiation-sensitive sensitizer generator, it is preferable that, when the pattern exposure is performed by the first radiation, The amount of absorption of the second radiation between the pattern unexposed portion that does not generate the radiation sensitive property and the pattern unexposed portion greatly differs, and the contrast of the absorption amount tends to be obtained.

(b) 감방사선성 증감체 발생제는 제1 방사선의 조사에 의해, 제2 방사선을 흡수하는 카르보닐기를 갖는 카르보닐 화합물이 되는 것이 바람직하다. 카르보닐 화합물로서는, 예를 들어 알데히드, 케톤, 카르복실산, 카르복실산에스테르 등을 들 수 있다. 상기 반응에 의해, 패턴 노광부의 (b) 감방사선성 증감체 발생제에서만 방사선의 흡수 파장의 피크 시프트가 일어난다. 따라서, 패턴 노광 후에, 패턴 노광부만을 흡수할 수 있는 파장의 방사선으로 일괄 노광을 행하면, 패턴 노광부만을 선택적으로 증감할 수 있다. (b) 감방사선성 증감체 발생제로서는 하기 식 (VI)로 표시되는 알코올 화합물이 보다 바람직하고, 제2급 알코올 화합물일 수도 있다. 또한, 본 명세서에 있어서, 알코올 화합물이란, 알코올성 수산기를 갖고 있는 화합물만을 가리키는 것은 아니며, 알코올성 수산기의 수소 원자가 치환된 케탈 화합물 및 아세탈 화합물 및 오르토에스테르 화합물 등일 수도 있다. (b) 감방사선성 증감체 발생제가 케탈 화합물 또는 아세탈 화합물인 경우, 패턴 노광으로 발생한 산 촉매에 의한 카르보닐 화합물에 대한 가수분해 반응을 가속하기 위해, 패턴 노광 후 일괄 노광 전에 가열할 수도 있다.It is preferable that (b) the radiation-sensitive sensitizer generating agent is a carbonyl compound having a carbonyl group absorbing the second radiation upon irradiation with the first radiation. Examples of the carbonyl compound include aldehydes, ketones, carboxylic acids, and carboxylic acid esters. By this reaction, the peak shift of the absorption wavelength of radiation occurs only in the (b) radiation-sensitive sensitizer generator of the pattern exposure unit. Therefore, if the batch exposure is performed with the radiation of the wavelength capable of absorbing only the pattern exposure portion after the pattern exposure, only the pattern exposure portion can be selectively increased or decreased. As the (b) sensitizing radiation sensitizer generating agent, an alcohol compound represented by the following formula (VI) is more preferable, and it may be a secondary alcohol compound. In the present specification, the alcohol compound does not only mean a compound having an alcoholic hydroxyl group but also may be a ketal compound in which a hydrogen atom of an alcoholic hydroxyl group is substituted, an acetal compound, an orthoester compound or the like. (b) When the radiation-sensitive sensitizer generating agent is a ketal compound or acetal compound, it may be heated before the pattern exposure and after the batch exposure in order to accelerate the hydrolysis reaction of the carbonyl compound by the acid catalyst generated by the pattern exposure.

Figure pat00031
Figure pat00031

식 (VI) 중 R8, R9 및 R10은 각각 독립적으로, 수소 원자; 페닐기; 나프틸기; 안트라세닐기; 탄소수 1 내지 5의 알콕시기; 탄소수 1 내지 5의 알킬티오기; 페녹시기; 나프톡시기; 안트라센옥시기; 아미노기; 아미드기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 아미노기, 아미드기, 혹은 히드록실기로 치환된, 탄소수 1 내지 5의 알콕시기; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 아미노기, 아미드기, 혹은 히드록실기로 치환된, 탄소수 1 내지 5의 알킬티오기; 탄소수 1 내지 5의 알콕시기, 히드록실기, 아미노기, 아미드기, 혹은 탄소수 1 내지 5의 알킬기로 치환된 페녹시기; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 아미노기, 아미드기, 혹은 히드록실기로 치환된 페닐기; 탄소수 1 내지 5의 알콕시기, 탄소수 1 내지 5의 알킬기, 아미노기, 아미드기, 혹은 히드록실기로 치환된 나프톡시기; 탄소수 1 내지 5의 알콕시기, 탄소수 1 내지 5의 알킬기, 아미노기, 아미드기, 혹은 히드록실기로 치환된 안트라센옥시기; 탄소수 1 내지 5의 알콕시기, 페녹시기, 나프톡시기, 안트라센옥시기, 아미노기, 아미드기, 혹은 히드록실기로 치환된, 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 또는 탄소수 1 내지 12의 알킬기가 결합한 카르보닐기를 나타낸다. 알코올 화합물은 식 (VI) 중의 알코올성 수산기(히드록실기)가 티올기가 된 티올 화합물일 수도 있다. 상기 식 (VI) 중 히드록실기 또는 티올기의 수소 원자는 페닐기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 또는 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 혹은 히드록실기로 치환된 페닐기로 치환되어 있을 수도 있다. 식 중 R8, R9 및 R10 중 임의의 2개 이상의 기는 단결합 혹은 이중 결합에 의해, 또는 -CH2-, -O-, -S-, -SO2-, -SO2NH-, -C(=O)-, -C(=O)O-, -NHCO-, -NHC(=O)NH-, -CHRg-, -CRg 2-, -NH- 혹은 -NRg-를 포함하는 결합을 통하여 환 구조를 형성할 수도 있다. Rg는 페닐기; 페녹시기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 탄소수 1 내지 5의 알콕시기, 히드록실기, 혹은 탄소수 1 내지 5의 알킬기로 치환된 페녹시기; 또는 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 혹은 히드록실기로 치환된 페닐기를 나타낸다. R8, R9 및 R10은 각각 독립적으로, 바람직하게는 수소 원자; 페닐기; 페녹시기; 탄소수 1 내지 5의 알콕시기, 히드록실기, 혹은 탄소수 1 내지 5의 알킬기로 치환된 페녹시기; 또는 탄소수 1 내지 5의 알콕시기, 혹은 히드록실기로 치환된 페닐기를 나타낸다.R 8 , R 9 and R 10 in the formula (VI) each independently represent a hydrogen atom; A phenyl group; Naphthyl group; Anthracenyl group; An alkoxy group having 1 to 5 carbon atoms; An alkylthio group having 1 to 5 carbon atoms; Phenoxy group; Naphthoxy group; Anthracene oxy group; An amino group; Amide group; A halogen atom; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); Branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, an amino group, an amide group, or a hydrocarbyl group An alkoxy group having 1 to 5 carbon atoms substituted by an actual group; Branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, an amino group, an amide group, or a hydrocarbyl group An alkylthio group having 1 to 5 carbon atoms substituted by an actual group; A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms; Branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, an amino group, an amide group, or a hydrocarbyl group A phenyl group substituted by an actual group; An alkoxy group having 1 to 5 carbon atoms, an alkyl group having 1 to 5 carbon atoms, an amino group, an amide group, or a naphthoxy group substituted with a hydroxyl group; An anthraceneoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, an alkyl group having 1 to 5 carbon atoms, an amino group, an amide group, or a hydroxyl group; An alkoxy group having 1 to 5 carbon atoms, a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) substituted with a phenoxy group, a naphthoxy group, an anthracenoxy group, an amino group, an amide group or a hydroxyl group A saturated or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group); Or a carbonyl group to which an alkyl group having 1 to 12 carbon atoms is bonded. The alcohol compound may be a thiol compound in which the alcoholic hydroxyl group (hydroxyl group) in the formula (VI) is a thiol group. In the formula (VI), the hydrogen atom of the hydroxyl group or thiol group is preferably a phenyl group; A halogen atom; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); Or a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, And may be substituted with a phenyl group. In the formula, any two or more groups of R 8 , R 9 and R 10 may be replaced by a single bond or a double bond, or -CH 2 -, -O-, -S-, -SO 2 -, -SO 2 NH-, -C (= O) -, -C (= O) O-, -NHCO-, -NHC (= O) NH-, -CHR g -, -CR g 2 -, -NH- or -NR g - a To form a ring structure. R g is a phenyl group; Phenoxy group; A halogen atom; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms; Or a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, Phenyl group. R 8 , R 9 and R 10 are each independently preferably a hydrogen atom; A phenyl group; Phenoxy group; A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms; Or an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.

또한, 식 (VI) 중의 히드록실기의 수소 원자가 치환된 케탈 화합물 또는 아세탈 화합물로서는 하기 식 (XXXVI)로 표시되는 화합물이 바람직하다. 즉, (b) 감방사선성 증감체 발생제는 하기 식 (XXXVI)로 표시되는 화합물일 수도 있다. R9 또는 R10 중 어느 한쪽이 수소 원자인 경우, 하기 식 (XXXVI)로 표시되는 화합물은 아세탈 화합물이라고 할 수 있다.The ketal compound or acetal compound in which the hydrogen atom of the hydroxyl group in the formula (VI) is substituted is preferably a compound represented by the following formula (XXXVI). That is, the (b) radiation-sensitive sensitizer generator may be a compound represented by the following formula (XXXVI). When either R 9 or R 10 is a hydrogen atom, the compound represented by the following formula (XXXVI) may be referred to as an acetal compound.

Figure pat00032
Figure pat00032

식 (XXXVI) 중 R9 및 R10은 상기 식 (VI) 중의 R9 및 R10과 각각 동의이다. R9 및 R10은 상기 식 (VI) 중의 R9 및 R10과 마찬가지로 환 구조를 형성하고 있을 수도 있다. 식 (XXXVI) 중 R23 및 R24는 각각 독립적으로, 페닐기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 또는 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 혹은 히드록실기로 치환된 페닐기를 나타낸다. R23 및 R24는 단결합, 이중 결합, -CH2-, -O-, -S-, -SO2-, -SO2NH-, -C(=O)-, -C(=O)O-, -NHCO-, NHC(=O)NH-, -CHRg-, -CRg 2, -NH- 또는 -NRg-를 포함하는 결합을 통하여 환 구조를 형성하고 있을 수도 있다. Rg는 상기 식 (VI) 중의 Rg와 동의이다. 케탈 화합물 또는 아세탈 화합물은 식 (XXXVI) 중의 R23 및/또는 R24와 결합하는 산소 원자가 황으로 치환된 티오케탈 화합물 또는 티오아세탈 화합물일 수도 있다.Formula R 9 and R 10 of (XXXVI) is R 9 and R 10 and accept, respectively in the above formula (VI). R 9 and R 10 may be to form a ring structure like the R 9 and R 10 in the formula (VI). In the formula (XXXVI), R 23 and R 24 each independently represent a phenyl group; A halogen atom; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); Or a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, Phenyl group. R 23 and R 24 are independently a single bond, a double bond, -CH 2 -, -O-, -S-, -SO 2 -, -SO 2 NH-, -C (= O) O-, -NHCO-, NHC (= O ) NH-, -CHR g - there may be formed a ring structure via a coupling comprising a -, -CR g 2, -NH- or -NR g. R g is synonymous with R g in formula (VI) above. The ketal compound or acetal compound may be a thioketal compound or thioacetal compound in which an oxygen atom bonded to R 23 and / or R 24 in formula (XXXVI) is substituted with sulfur.

케탈 화합물 및 아세탈 화합물은 카르보닐 화합물을 알코올과 반응시킴으로써 얻을 수 있다. 상기 반응은 방사선 증감 작용에 기여하는 카르보닐기를 보호하는 반응이라고 할 수 있고, 상기 식 (XXXVI)에 있어서의 R23 및 R24는 카르보닐기의 보호기라고 할 수 있다. 이 경우, 방사선 등에 의해 (b) 감방사선성 증감체 발생제가 감방사선성 증감체가 되는 반응을 탈보호 반응이라고 할 수 있다. 보호기의 반응성(탈보호 반응이 일어나기 쉬움)의 예를 하기에 기재한다. 보호기의 반응성은 우측으로 갈수록 높고, 좌측으로 갈수록 낮다. 예를 들어 메톡시기를 카르보닐기의 보호기로서 사용하면, 탈보호 반응의 반응성은 높아, 상온에서도 산 촉매 하에서 탈보호 반응이 진행되는 경향이 있다. 이와 같이 상온에서 탈보호 반응이 진행됨으로써, 상(像)의 번짐을 방지할 수 있다는 장점이 있다. 한편, 패턴 노광의 시점에서, 패턴 미노광부에 있어서 탈보호 반응이 일어나 감방사선성 증감체가 생성되면, 레지스트의 콘트라스트가 열화될 우려가 있다. 패턴 미노광부에 있어서의 감방사선성 증감체의 생성을 방지하기 위하여, 탈보호 반응의 활성화 에너지를 올리도록(보호기의 반응성을 내리도록) 보호기를 선택할 수도 있다. 보호기의 반응성을 내리는 관점에서는 식 (XXXVI) 중의 R23 및 R24가 서로 결합하여 환 구조를 형성한 환상의 보호기가 보다 바람직하다. 상기 환 구조로서는 6원환 및 5원환을 들 수 있고, 5원환이 바람직하다. 반응성이 낮은 보호기를 사용하는 경우는, 레지스트 재료는 후술하는 제1 포착제를 포함하는 것이 바람직하며, 또한 패턴 노광 후 일괄 노광 전에 레지스트 재료막을 베이크하는 것이 바람직하다. 베이크를 행함으로써, 패턴 미노광부의 불필요한 산이 포착제에 의해 중화되어, 잠상의 콘트라스트를 향상시킬 수 있다. 또한, 상기 베이크에 의해 보호기의 반응성의 저하를 보충할 수 있음과 함께, 베이크에 의한 물질의 확산에 의해 레지스트 재료막 중의 산의 잠상의 러프니스를 저감시킬 수 있다.The ketal compound and the acetal compound can be obtained by reacting a carbonyl compound with an alcohol. The reaction may be a reaction for protecting a carbonyl group contributing to a radiation-sensitizing action, and R 23 and R 24 in the formula (XXXVI) may be a protecting group for a carbonyl group. In this case, the reaction in which the radiation-sensitive sensitizer generator (b) becomes a radiation-sensitive sensitizer by radiation or the like can be referred to as a deprotection reaction. An example of the reactivity of the protecting group (the tendency of the deprotection reaction to occur) is described below. The reactivity of the protecting group is higher toward the right side and lower toward the left side. For example, when a methoxy group is used as a protecting group for a carbonyl group, the reactivity of the deprotection reaction is high, and the deprotection reaction tends to proceed under acid catalysis even at room temperature. As such, the deprotection reaction proceeds at room temperature, which is advantageous in that image blur can be prevented. On the other hand, when the deprotection reaction occurs in the pattern unexposed portion at the time of pattern exposure to generate a radiation-sensitive sensitizer, the contrast of the resist may be deteriorated. A protecting group may be selected so as to increase the activation energy of the deprotection reaction (so as to lower the reactivity of the protecting group) in order to prevent the generation of the sensitizing radiation sensitizer in the pattern unexposed portion. From the viewpoint of decreasing the reactivity of the protecting group, a cyclic protecting group in which R 23 and R 24 in formula (XXXVI) are bonded to each other to form a ring structure is more preferable. Examples of the ring structure include a 6-membered ring and a 5-membered ring, and a 5-membered ring is preferred. When a protective group having low reactivity is used, the resist material preferably includes a first capturing agent described later, and it is preferable to bake the resist material film before the batch exposure after the pattern exposure. By performing the baking, the unnecessary acid of the pattern unexposed portion is neutralized by the capturing agent, and the contrast of the latent image can be improved. In addition, the baking can compensate for the lowering of the reactivity of the protecting group, and the roughness of the latent image of the acid in the resist material film can be reduced by the diffusion of the substance by baking.

Figure pat00033
Figure pat00033

케탈 타입의 (b) 감방사선성 증감체 발생제는 하기 식 (XXVII) 내지 (XXX)로 표시되는 화합물일 수도 있다.(B) the radiation-sensitive sensitizer generator of the kettle type may be a compound represented by the following formulas (XXVII) to (XXX).

Figure pat00034
Figure pat00034

식 (XXVII) 내지 (XXX) 중 R23 및 R24는 식 (XXXVI) 중의 R23 및 R24와 각각 동의이다. 식 (XXVII) 내지 (XXX) 중 방향환의 수소 원자는 탄소수 1 내지 5의 알콕시기 또는 탄소수 1 내지 5의 알킬기로 치환되어 있을 수도 있고, 방향환은 다른 방향환과 결합하여 나프탈렌환 또는 안트라센환을 형성하고 있을 수도 있다. R25는 탄소수 1 내지 5의 알킬기를 나타낸다. (b) 감방사선성 증감체 발생제로서 상기 식 (XXVII) 내지 (XXX)로 표시되는 화합물을 사용한 경우, (b) 감방사선성 증감체 발생제로부터 감방사선성 증감체가 되었을 때의 방사선의 흡수 파장의 시프트가 보다 커서, 패턴 노광부에서의 보다 선택적인 증감 반응을 일으킬 수 있다.Wherein R 23 and R 24 (XXVII) through (XXX) is R 23 and R 24 each synonymous with the formula (XXXVI). The hydrogen atoms of the aromatic rings in the formulas (XXVII) to (XXX) may be substituted with an alkoxy group having 1 to 5 carbon atoms or an alkyl group having 1 to 5 carbon atoms, and the aromatic ring may be bonded to another aromatic ring to form a naphthalene ring or an anthracene ring There may be. And R 25 represents an alkyl group having 1 to 5 carbon atoms. (b) when the compounds represented by the above formulas (XXVII) to (XXX) are used as the radiation-sensitive sensitizer generating agent, (b) when the radiation-sensitive sensitizer is irradiated from the radiation- The shift of the wavelength is larger and it is possible to cause a more selective increase / decrease reaction in the pattern exposure portion.

또한, 식 (VI) 중의 히드록실기의 수소 원자가 치환된 오르토에스테르 화합물로서는 하기 식 (XLVI)로 표시되는 화합물이 바람직하다. 즉, (b) 감방사선성 증감체 발생제는 하기 식 (XLVI)로 표시되는 화합물일 수도 있다.The orthoester compound in which the hydrogen atom of the hydroxyl group in the formula (VI) is substituted is preferably a compound represented by the following formula (XLVI). That is, the (b) radiation-sensitive sensitizer generator may be a compound represented by the following formula (XLVI).

Figure pat00035
Figure pat00035

식 (XLVI) 중 R9는 상기 식 (VI) 중의 R9와 동의이다. 식 (XLVI) 중 R38 내지 R40은 각각 독립적으로, 페닐기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소(바람직하게는 알킬기); 또는 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 혹은 히드록실기로 치환된 페닐기를 나타낸다. R38 내지 R40은 단결합 혹은 이중 결합에 의해, 또는 -CH2-, -O-, -S-, -SO2-, -SO2NH-, -C(=O)-, -C(=O)O-, -NHCO-, -NHC(=O)NH-, -CHRg-, -CRg 2, -NH- 혹은 -NRg-를 포함하는 결합을 통하여 환 구조를 형성하고 있을 수도 있다. Rg는 상기 식 (VI) 중의 Rg와 동의이다.R 9 of the formula (XLVI) is synonymous with R 9 in the formula (VI). R 38 to R 40 in the formula (XLVI) each independently represent a phenyl group; A halogen atom; Linear, branched or cyclic saturated or unsaturated hydrocarbons (preferably alkyl groups) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); Or a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, Phenyl group. R 38 to R 40 are independently selected from the group consisting of a single bond or a double bond or a group selected from the group consisting of -CH 2 -, -O-, -S-, -SO 2 -, -SO 2 NH-, -C (= O) = O) O-, -NHCO-, -NHC (= O) NH-, -CHR g -, -CR g 2, -NH- or -NR g - might be to form a ring structure via a coupling that includes have. R g is synonymous with R g in formula (VI) above.

오르토에스테르 화합물은 패턴 노광에 있어서 탈보호 반응으로 분해되어, 예를 들어 카르보닐기를 포함하는 카르복실산에스테르 또는 카르복실산이 된다. 오르토에스테르 화합물로서는 카르복실기를 갖는 감방사선성 증감체의 카르복실기의 부분을 OBO(예를 들어 4-메틸2,6,7-트리옥사비시클로[2.2.2]옥탄-1-일)로 치환(보호)한, 하기 식 (XLVII)로 표시되는 OBO 에스테르 화합물이 바람직하다. OBO로 카르복실기를 보호한 (b) 감방사선성 증감체 발생제는 패턴 노광 시에 발생하는 산 촉매에 의해 카르복실산을 생성하고, 방사선의 흡수 파장이 시프트하여, 일괄 노광 시에 감방사선성 증감체로서 작용한다. (b) 감방사선성 증감체 발생제로부터 카르복실산이 생성됨으로써, 패턴 노광부에서, 예를 들어 비극성으로부터 극성으로 레지스트의 극성이 바뀐다. 이로 인해, 오르토에스테르 화합물은 현상 공정에서의 용해 촉진제로서도 기능하여, 레지스트 콘트라스트의 향상에도 기여한다. (b) 감방사선성 증감체 발생제가 OBO 에스테르 화합물을 포함함으로써, 감방사선성 증감체의 생성과 극성 변화 반응을 동시에 일으키는 것도 가능하다.The orthoester compound is decomposed into a deprotection reaction in the pattern exposure to be, for example, a carboxylic acid ester containing a carbonyl group or a carboxylic acid. As the orthoester compound, the carboxyl group portion of the radiation-sensitive sensitizer having a carboxyl group is substituted with OBO (for example, 4-methyl 2,6,7-trioxabicyclo [2.2.2] octan-1-yl) ), The OBO ester compound represented by the following formula (XLVII) is preferable. The (b) radiation-sensitive sensitizer generator that protects the carboxyl group with OBO generates a carboxylic acid by an acid catalyst generated at the time of pattern exposure, shifts the absorption wavelength of the radiation, Lt; / RTI &gt; (b) Since the carboxylic acid is generated from the radiation-sensitive sensitizer generator, the polarity of the resist in the pattern exposure section changes from non-polarity to polarity, for example. As a result, the orthoester compound also functions as a dissolution promoter in the development step, contributing to an improvement in resist contrast. (b) Since the radiation-sensitive sensitizer generating agent includes an OBO ester compound, it is also possible to simultaneously generate a radiation-sensitive sensitizer and a polarity-change reaction.

Figure pat00036
Figure pat00036

식 (XLVII) 중 R41 및 R42는 각각 독립적으로, 수소 원자; 페닐기; 나프틸기; 안트라세닐기; 페녹시기; 나프톡시기; 안트라센옥시기; 아미노기; 아미드기; 할로겐 원자; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 탄소수 1 내지 5의 알콕시기, 히드록실기, 아미노기, 아미드기, 혹은 탄소수 1 내지 5의 알킬기로 치환된 페녹시기; 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기), 탄소수 1 내지 5의 알콕시기, 아미노기, 아미드기, 혹은 히드록실기로 치환된 페닐기; 탄소수 1 내지 5의 알콕시기, 탄소수 1 내지 5의 알킬기, 혹은 히드록실기로 치환된 나프톡시기; 탄소수 1 내지 5의 알콕시기, 탄소수 1 내지 5의 알킬기, 아미노기, 아미드기, 혹은 히드록실기로 치환된 안트라센옥시기; 탄소수 1 내지 5의 알콕시기, 페녹시기, 나프톡시기, 안트라센옥시기, 아미노기, 아미드기, 혹은 히드록실기로 치환된, 탄소수 1 내지 30(바람직하게는 탄소수 1 내지 5)의 직쇄상, 분지쇄상 혹은 환상의 포화 혹은 불포화 탄화수소기(바람직하게는 알킬기); 또는 탄소수 1 내지 12의 알킬기가 결합한 카르보닐기를 나타낸다. R41 및 R42는 각각 독립적으로, 바람직하게는 수소 원자; 페닐기; 페녹시기; 탄소수 1 내지 5의 알콕시기, 히드록실기, 혹은 탄소수 1 내지 5의 알킬기로 치환된 페녹시기; 또는 탄소수 1 내지 5의 알콕시기, 혹은 히드록실기로 치환된 페닐기를 나타낸다.Formula (XLVII) of R 41 and R 42 are, each independently, a hydrogen atom; A phenyl group; Naphthyl group; Anthracenyl group; Phenoxy group; Naphthoxy group; Anthracene oxy group; An amino group; Amide group; A halogen atom; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms; Branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, an amino group, an amide group, or a hydrocarbyl group A phenyl group substituted by an actual group; An alkoxy group having 1 to 5 carbon atoms, an alkyl group having 1 to 5 carbon atoms, or a naphthoxy group substituted with a hydroxyl group; An anthraceneoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, an alkyl group having 1 to 5 carbon atoms, an amino group, an amide group, or a hydroxyl group; An alkoxy group having 1 to 5 carbon atoms, a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) substituted with a phenoxy group, a naphthoxy group, an anthracenoxy group, an amino group, an amide group or a hydroxyl group A saturated or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group); Or a carbonyl group to which an alkyl group having 1 to 12 carbon atoms is bonded. R 41 and R 42 are each independently preferably a hydrogen atom; A phenyl group; Phenoxy group; A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms; Or an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.

(b) 감방사선성 증감체 발생제로서는, 예를 들어 하기 식으로 표시되는 화합물 등을 들 수 있다. 이들 화합물은 알코올성 수산기의 수소 원자가 치환되어 있지 않은 알코올 화합물이며, 패턴 노광 시의 반응에 의해 케톤 화합물로 변한다.(b) As the radiation-sensitive sensitizer generating agent, for example, a compound represented by the following formula can be given. These compounds are alcohol compounds in which the hydrogen atom of the alcoholic hydroxyl group is not substituted and are changed into a ketone compound by the reaction at the time of pattern exposure.

Figure pat00037
Figure pat00037

Figure pat00038
Figure pat00038

Figure pat00039
Figure pat00039

Figure pat00040
Figure pat00040

Figure pat00041
Figure pat00041

Figure pat00042
Figure pat00042

Figure pat00043
Figure pat00043

Figure pat00044
Figure pat00044

다음의 화합물은 감방사선성 증감체의 카르보닐기를 보호한, 케탈 화합물 또는 아세탈 화합물의 예이다. 이들 화합물은 패턴 노광으로 생성되는 산에 의한 촉매 작용에 의해 패턴 노광부에 있어서 케톤을 포함하는 감방사선성 증감체가 되는 것이다.The following compounds are examples of ketal compounds or acetal compounds that protect the carbonyl group of the radiation sensitive sensitizer. These compounds are sensitizing radiation sensitizers containing ketones in the pattern exposure part by catalysis by an acid generated by pattern exposure.

Figure pat00045
Figure pat00045

Figure pat00046
Figure pat00046

Figure pat00047
Figure pat00047

Figure pat00048
Figure pat00048

Figure pat00049
Figure pat00049

Figure pat00050
Figure pat00050

다음의 화합물은 3개의 알콕시기로 치환된 탄소 원자를 갖는 오르토에스테르 화합물의 예이다.The following compounds are examples of orthoester compounds having carbon atoms substituted with three alkoxy groups.

Figure pat00051
Figure pat00051

상기 오르토에스테르 화합물은 패턴 노광 시에 발생하는 산 촉매에 의해 탈보호하여, 카르보닐기를 갖는 에스테르(이하의 예에서는 카르복실산메틸)를 생성한다.The orthoester compound is deprotected by an acid catalyst generated during pattern exposure to produce an ester having a carbonyl group (methyl carboxylate in the following examples).

Figure pat00052
Figure pat00052

다음의 화학식은 카르복실기를 갖는 감방사선성 증감체의 카르복실기 부분을 OBO(예를 들어 4-메틸-2,6,7-트리옥사비시클로[2.2.2]옥탄-1-일)로 보호한 유도체인 OBO 에스테르 화합물의 예이다.The following chemical formulas are derived from derivatives in which a carboxyl group portion of a radiation-sensitive sensitizer having a carboxyl group is protected with OBO (for example, 4-methyl-2,6,7-trioxabicyclo [2.2.2] octan-1-yl) Is an example of an OBO ester compound.

Figure pat00053
Figure pat00053

상기 OBO 에스테르 화합물은 패턴 노광 시에 발생하는 산 촉매에 의해 이하와 같은 카르복실산을 생성한다.The OBO ester compound generates the following carboxylic acid by an acid catalyst generated during pattern exposure.

Figure pat00054
Figure pat00054

노광에 의해 상기 (2) 성분(즉, 상기 (a) 감방사선성 산-증감체 발생제 및 (b) 감방사선성 증감체 발생제)으로부터 발생하는 감방사선성 증감체로서는, 예를 들어 칼콘 및 그의 유도체, 1,2-디케톤 및 그의 유도체, 벤조인 및 그의 유도체, 벤조페논 및 그의 유도체, 플루오렌 및 그의 유도체, 나프토퀴논 및 그의 유도체, 안트라퀴논 및 그의 유도체, 크산텐 및 그의 유도체, 티옥산텐 및 그의 유도체, 크산톤 및 그의 유도체, 티옥산톤 및 그의 유도체, 시아닌 및 그의 유도체, 메로시아닌 및 그의 유도체, 나프탈로시아닌 및 그의 유도체, 서브프탈로시아닌 및 그의 유도체, 피릴륨 및 그의 유도체, 티오피릴륨 및 그의 유도체, 테트라피린 및 그의 유도체, 아눌렌 및 그의 유도체, 스피로피란 및 그의 유도체, 스피로옥사진 및 그의 유도체, 티오스피로피란 및 그의 유도체, 옥솔 및 그의 유도체, 아진 및 그의 유도체, 티아진 및 그의 유도체, 옥사진 및 그의 유도체, 인돌린 및 그의 유도체, 아줄렌 및 그의 유도체, 아줄레늄 및 그의 유도체, 스쿠아릴륨 및 그의 유도체, 포르피린 및 그의 유도체, 포르피라진 및 그의 유도체, 트리아릴메탄 및 그의 유도체, 프탈로시아닌 및 그의 유도체, 아크리돈 및 그의 유도체, 쿠마린 및 그의 유도체, 케토쿠마린 및 그의 유도체, 퀴놀리논 및 그의 유도체, 벤조옥사졸 및 그의 유도체, 아크리딘 및 그의 유도체, 티아진 및 그의 유도체, 벤조티아졸 및 그의 유도체, 페노티아진 및 그의 유도체, 벤조트리아졸 및 그의 유도체, 페릴렌 및 그의 유도체, 나프탈렌 및 그의 유도체, 안트라센 및 그의 유도체, 페난트렌 및 그의 유도체, 피렌 및 그의 유도체, 나프타센 및 그의 유도체, 펜타센 및 그의 유도체, 및 코로넨 및 그의 유도체 등을 들 수 있다. 또한, 노광에 의해 상기 (2) 성분으로부터 발생하는 상기 감방사선성 증감체는 카르보닐 화합물을 함유하는 것이 바람직하다. 카르보닐 화합물은 케톤, 알데히드, 카르복실산, 에스테르, 아미드, 에논, 카르복실산 염화물 및 카르복실산 무수물 등을 카르보닐기로서 포함하는 것이 바람직하다. 상기 카르보닐 화합물로서는 일괄 노광 시의 방사선의 파장을 패턴 노광 시의 방사선의 파장으로부터 충분히 이격하여 레지스트의 콘트라스트를 올리는 관점에서, 250㎚를 초과하는 장파장측의 방사선을 흡수하는 화합물이 바람직하다. 카르보닐 화합물로서는, 예를 들어 벤조페논 유도체, 크산톤 유도체, 티오크산톤 유도체, 쿠마린 유도체, 아크리돈 유도체 등을 들 수 있다. 상기 카르보닐 화합물은 나프탈렌 유도체 또는 안트라센 유도체일 수도 있고, 아크리돈 유도체일 수도 있다. 감방사선성 증감체에 있어서, 방향환의 수소는 전자 공여기로 치환되어 있는 것이 바람직하다. 감방사선성 증감체의 방향환의 수소가 전자 공여기로 치환되어 있음으로써, 일괄 노광 시의 증감 반응에 의한 전자 이동 효율이 향상되어, 레지스트의 감도가 향상되는 경향이 있다. 또한, (b) 감방사선성 증감체 발생제의 방사선의 흡수 파장과 감방사선성 증감체의 방사선의 흡수 파장의 차를 크게 할 수 있어, 일괄 노광 시에 보다 선택적으로 감방사선성 증감체를 여기할 수 있기 때문에, 레지스트 재료 중의 산의 잠상의 콘트라스트가 향상되는 경향이 있다. 전자 공여기로서는, 예를 들어 수산기, 메톡시기, 알콕시기, 아미노기, 알킬아미노기, 알킬기 등을 들 수 있다.As the radiation-sensitive sensitizer generated from the component (2) (that is, the radiation-sensitive acid-sensitizer generator (a) and the radiation-sensitive sensitizer generator (b)) by exposure, And derivatives thereof, 1,2-diketone and derivatives thereof, benzoin and derivatives thereof, benzophenone and derivatives thereof, fluorene and derivatives thereof, naphthoquinone and derivatives thereof, anthraquinone and derivatives thereof, xanthene and derivatives thereof , Thioxanthene and derivatives thereof, xanthone and derivatives thereof, thioxanthone and derivatives thereof, cyanine and derivatives thereof, merocyanine and derivatives thereof, naphthalocyanine and derivatives thereof, subphthalocyanine and derivatives thereof, pyrylium and derivatives thereof , Thiopyrilium and derivatives thereof, tetrapyrin and derivatives thereof, annulene and derivatives thereof, spiropyran and derivatives thereof, spirooxazine and derivatives thereof, thiosporopyran and its And derivatives thereof, oxazine and derivatives thereof, azine and derivatives thereof, thiazine and derivatives thereof, oxazine and derivatives thereof, indolin and derivatives thereof, azulene and derivatives thereof, azulenium and derivatives thereof, And derivatives thereof, porphyrin and derivatives thereof, triarylmethane and derivatives thereof, phthalocyanine and derivatives thereof, acridone and derivatives thereof, coumarin and derivatives thereof, ketokmarine and derivatives thereof, quinolinone and derivatives thereof, Solvates and derivatives thereof, acridine and derivatives thereof, thiazine and derivatives thereof, benzothiazole and derivatives thereof, phenothiazine and derivatives thereof, benzotriazole and derivatives thereof, perylene and derivatives thereof, naphthalene and derivatives thereof, Anthracene and derivatives thereof, phenanthrene and derivatives thereof, pyrene and derivatives thereof, naphthacene and derivatives thereof, pentacene And the like derivatives thereof, and coronene and derivatives thereof. It is also preferable that the radiation-sensitive sensitizer generated from the component (2) by exposure contains a carbonyl compound. The carbonyl compound preferably includes a ketone, an aldehyde, a carboxylic acid, an ester, an amide, an enone, a carboxylic acid chloride and a carboxylic acid anhydride as a carbonyl group. The carbonyl compound is preferably a compound that absorbs radiation on the long wavelength side exceeding 250 nm from the viewpoint of increasing the contrast of the resist by sufficiently separating the wavelength of the radiation during the single exposure from the wavelength of the radiation upon pattern exposure. Examples of the carbonyl compound include a benzophenone derivative, a xanthone derivative, a thioxanthone derivative, a coumarin derivative, an acridone derivative, and the like. The carbonyl compound may be a naphthalene derivative, an anthracene derivative, or an acridone derivative. In the sensitizing radiation sensitizer, it is preferable that hydrogen in the aromatic ring is substituted with an electron hole. Since the hydrogen of the aromatic ring of the sensitizing radiation sensitizer is substituted with an electron hole excitation, the electron transfer efficiency by the sensitization reaction during the batch exposure is improved, and the sensitivity of the resist tends to be improved. Furthermore, (b) the difference between the absorption wavelength of the radiation of the radiation sensitive sensitizer generator and the absorption wavelength of the radiation sensitizer can be increased, and the radiation sensitizer can be selectively The contrast of the latent image of the acid in the resist material tends to be improved. Examples of the electron-donating group include a hydroxyl group, a methoxy group, an alkoxy group, an amino group, an alkylamino group, and an alkyl group.

벤조페논 및 그의 유도체로서는, 예를 들어 하기의 화합물을 들 수 있다.Examples of the benzophenone and derivatives thereof include the following compounds.

Figure pat00055
Figure pat00055

Figure pat00056
Figure pat00056

Figure pat00057
Figure pat00057

Figure pat00058
Figure pat00058

티오크산톤 및 그의 유도체로서는, 예를 들어 하기의 화합물을 들 수 있다.Examples of thioxanthone and derivatives thereof include the following compounds.

Figure pat00059
Figure pat00059

크산톤 및 그의 유도체로서는, 예를 들어 하기의 화합물을 들 수 있다.Examples of xanthones and derivatives thereof include the following compounds.

Figure pat00060
Figure pat00060

아크리돈 및 그의 유도체로서는, 예를 들어 하기의 화합물을 들 수 있다.As the acridone and its derivatives, for example, the following compounds can be mentioned.

Figure pat00061
Figure pat00061

쿠마린 및 그의 유도체로서는, 예를 들어 하기의 화합물을 들 수 있다.Examples of coumarin and its derivatives include the following compounds.

Figure pat00062
Figure pat00062

상기 감방사선성 증감체는 하기의 화합물을 포함하고 있을 수도 있다.The radiation-sensitive sensitizer may include the following compounds.

Figure pat00063
Figure pat00063

상기 감방사선성 증감체로서는, 예를 들어 아세토페논, 2,2-디메톡시-2-페닐아세토페논, 디에톡시아세토페논, 1-히드록시시클로헥실페닐케톤, 1,2-히드로옥시-2-메틸-1-페닐프로판-1-온, α-히드록시시클로헥실페닐케톤, 2-히드록시-2-메틸-1-페닐프로파논, 2-히드록시-2-메틸-1-(4-이소프로필페닐)프로파논, 2-히드록시-2-메틸-1-(4-도데실페닐)프로파논, 2-히드록시-2-메틸-1-[(2-히드록시에톡시)페닐]프로파논, 벤조페논, 2-메틸벤조페논, 3-메틸벤조페논, 4-메틸벤조페논, 4-메톡시벤조페논, 2-클로로벤조페논, 4-클로로벤조페논, 4-브로모벤조페논, 2-카르복시벤조페논, 2-에톡시카르보닐벤조페논, 4-벤조일-4'-메틸디페닐술피드, 벤조페논테트라카르복실산 또는 그의 테트라메틸에스테르, 4,4'-비스(디메틸아미노)벤조페논, 4,4'-비스(디시클로헥실아미노)벤조페논, 4,4'-비스(디에틸아미노)벤조페논, 4,4'-비스(디히드록시에틸아미노)벤조페논, 4-메톡시-4'-디메틸아미노벤조페논, 4,4'-디메톡시벤조페논, 4-디메틸아미노벤조페논, 4-디메틸아미노아세토페논, 벤질, 안트라퀴논, 2-t-부틸안트라퀴논, 2-메틸안트라퀴논, 페난트라퀴논, 플루오레논, 2-벤질-2-디메틸아미노-1-(4-모르폴리노페닐)-1-부타논, 2-(디메틸아미노)-2-[(4-메틸페닐)메틸]-1-[4-(4-모르폴리닐)페닐]-1-부타논, 2-메틸-1-[4-(메틸티오)페닐]-2-모르폴리노-1-프로파논, 2-히드록시-2-메틸-[4-(1-메틸비닐)페닐]프로판올올리고머, 벤조인, 벤조인메틸에테르, 벤조인에틸에테르, 벤조인프로필에테르, 벤조인이소프로필에테르, 벤조인이소부틸에테르, 벤조인페닐에테르, 벤질디메틸케탈, 아크리돈, 클로로아크리돈, N-메틸아크리돈, N-부틸아크리돈, N-부틸-클로로아크리돈, 2,4,6-트리메틸벤조일디페닐포스핀옥사이드, 2,6-디메톡시벤조일디페닐포스핀옥사이드, 2,6-디클로로벤조일디페닐포스핀옥사이드, 2,4,6-트리메틸벤조일메톡시페닐포스핀옥사이드, 2,4,6-트리메틸벤조일에톡시페닐포스핀옥사이드, 2,3,5,6-테트라메틸벤조일디페닐포스핀옥사이드, 비스-(2,6-디클로로벤조일)페닐포스핀옥사이드, 비스-(2,6-디클로로벤조일)-2,5-디메틸페닐포스핀옥사이드, 비스-(2,6-디클로로벤조일)-4-프로필페닐포스핀옥사이드, 비스-(2,6-디클로로벤조일)-1-나프틸포스핀옥사이드, 비스-(2,6-디메톡시벤조일)페닐포스핀옥사이드, 비스-(2,6-디메톡시벤조일)-2, 4,4-트리메틸펜틸포스핀옥사이드, 비스-(2,6-디메톡시벤조일)-2,5-디메틸페닐포스핀옥사이드, 비스-(2,4,6-트리메틸벤조일)페닐포스핀옥사이드, (2,5,6-트리메틸벤조일)-2,4,4-트리메틸펜틸포스핀옥사이드, 2-이소프로필티오크산톤, 4-이소프로필티오크산톤, 2,4-디에틸티오크산톤, 2,4-디클로로티오크산톤, 1-클로로-4-프로폭시티오크산톤, 벤조일디-(2,6-디메틸페닐)포스포네이트, 1-[4-(페닐티오)페닐]-1,2-옥탄디온-2-(O-벤조일옥심), 1-[9-에틸-6-(2-메틸벤조일)-9H-카르바졸-3-일]에타논-1-(O-아세틸옥심), 1-[9-에틸-6-(2-메틸벤조일)-9H-카르바졸-3-일]-3-시클로펜틸프로파논-1-(O-아세틸옥심), 1-[4-(페닐티오)페닐]-3-시클로펜틸프로판-1,2-디온-2-(O-벤조일옥심), 2,2-디메톡시-1,2-디페닐에탄-1-온, 1-[4-(2-히드록시에톡시)-페닐]-2-히드록시-2-메틸-1-프로판-1-온, 2-히드록시-1-{4-[4-(2-히드록시-2-메틸-프로피오닐)-벤질]페닐}-2-메틸-프로판-1-온, 페닐글리옥실산메틸에스테르, 2-메틸-1-(4-메틸티오페닐)-2-모르폴리노프로판-1-온, 2-벤질-2-디메틸아미노-1-(4-모르폴리노페닐)-부타논-1, 1.2-옥탄디온,1-[4-(페닐티오)-,2-(O-벤조일옥심)], 에타논,1-[9-에틸-6-(2-메틸벤조일)-9H-카르바졸-3-일]-,1-(O-아세틸옥심) 등을 들 수 있다.Examples of the radiation sensitive sensitizer include acetophenone, 2,2-dimethoxy-2-phenylacetophenone, diethoxyacetophenone, 1-hydroxycyclohexyl phenyl ketone, 1,2- Methyl-1-phenylpropan-1-one, -hydroxycyclohexyl phenyl ketone, 2-hydroxy-2- 2-methyl-1 - [(2-hydroxyethoxy) phenyl] propanone, 2-hydroxy- Benzophenone, 4-chlorobenzophenone, 4-bromobenzophenone, 2-methylbenzophenone, 4-methylbenzophenone, 4-methoxybenzophenone, Benzoyl-4'-methyldiphenylsulfide, benzophenonetetracarboxylic acid or its tetramethyl ester, 4,4'-bis (dimethylamino) benzoate, Phenone, 4,4'-bis (dicyclohexylamino) benzophenone, 4,4'- (Diethylamino) benzophenone, 4,4'-bis (dihydroxyethylamino) benzophenone, 4-methoxy-4'-dimethylaminobenzophenone, 4,4'-dimethoxybenzophenone, 4- Benzyl anthraquinone, 2-t-butyl anthraquinone, 2-methylanthraquinone, phenanthraquinone, fluorenone, 2-benzyl-2-dimethylamino-1- ( (4-morpholinophenyl) -1-butanone, 2- (dimethylamino) -2 - [ Methyl-1- [4- (methylthio) phenyl] -2-morpholino-1-propanone, 2-hydroxy- , Benzoin methyl ether, benzoin ethyl ether, benzoin propyl ether, benzoin isopropyl ether, benzoin isobutyl ether, benzoin phenyl ether, benzyl dimethyl ketal, acridone, chloroacridone, N Methyl acridone, N-butyl acridone, N-butyl-chloroacridone, 2,4,6- Dimethoxybenzoyldiphenylphosphine oxide, 2,6-dichlorobenzoyldiphenylphosphine oxide, 2,4,6-trimethylbenzoylmethoxyphenylphosphine oxide, 2 &lt; RTI ID = 0.0 &gt; Tetramethylbenzoyldiphenylphosphine oxide, bis- (2,6-dichlorobenzoyl) phenylphosphine oxide, bis- (2, 3-dichlorobenzoyl) phenylphosphine oxide, 4,6-trimethylbenzoylethoxyphenylphosphine oxide, Bis (2,6-dichlorobenzoyl) -4-propylphenylphosphine oxide, bis- (2,6-dichlorobenzoyl) -1-naphtho Bis (2,6-dimethoxybenzoyl) phenylphosphine oxide, bis- (2,6-dimethoxybenzoyl) -2,4,4-trimethylpentylphosphine oxide, bis- Dimethoxybenzoyl) -2,5-dimethylphenylphosphine oxide, bis- (2,4,6-trimethylbenzoyl) phenylphosphine oxide, (2,5,6-trimethylbenzoyl) -2,4,4 - trimethylpentylphosphinoxa 2-isopropylthioxanthone, 4-isopropylthioxanthone, 2,4-diethylthioxanthone, 2,4-dichlorothioxanthone, 1-chloro-4- propanedioxanthone, benzoyl 2- (O-benzoyloxime), 1- [9-ethyl-6 (phenylthio) phenyl] -9-ethyl-6- (2-methylbenzoyl) -9H-carbazol-3-yl] (O-acetyloxime), 1- [4- (phenylthio) phenyl] -3-cyclopentylpropane- Benzoyloxime), 2,2-dimethoxy-1,2-diphenylethan-1-one, 1- [4- (2-hydroxyethoxy) -phenyl] -2-hydroxy- 2-methyl-propan-1-one, phenyl (2-hydroxy-2-methyl-propionyl) (4-methylthiophenyl) -2-morpholinopropane-1-one, 2-benzyl-2-dimethylamino-1- (4-morpholinophenyl) - butanone-1 , 1,2-octanedione, 1- [4- (phenylthio) -, 2- (O-benzoyloxime)], ethanone, 1- [ Yl] -, 1- (O-acetyloxime), and the like.

(b) 감방사선성 증감체 발생제는 (1) 중합체 성분을 구성하는 중합체의 일부일 수도 있다. 이 경우, (b) 감방사선성 증감체 발생제는 상기 화합물로부터 수소 원자 1개를 제외한 기가 중합체에 결합하는 형태로 존재한다.(b) the radiation-sensitive sensitizer generator may be a part of (1) the polymer constituting the polymer component. In this case, (b) the radiation sensitive sensitizer generator is present in such a form that a group excluding one hydrogen atom from the compound binds to the polymer.

(b) 감방사선성 증감체 발생제가 (1) 중합체 성분과는 상이한 성분인 경우, (1) 중합체 성분 100질량부에 대한 (b) 감방사선성 증감체 발생제의 배합량의 하한으로서는 0.005질량부가 바람직하고, 0.1질량부가 보다 바람직하다. 한편, 상기 배합량의 상한으로서는 50질량부가 바람직하고, 30질량부가 보다 바람직하다.(b) when the radiation-sensitive sensitizer generator (b) is a component different from (1) the polymer component, the lower limit of the blending amount of the (b) radiation-sensitive sensitizer generator per 100 parts by mass of the polymer component is preferably 0.005 mass part And more preferably 0.1 part by mass. On the other hand, the upper limit of the blending amount is preferably 50 parts by mass, more preferably 30 parts by mass.

(b) 감방사선성 증감체 발생제가 (1) 중합체 성분을 구성하는 중합체의 일부인 경우, (1) 중합체 성분의 1몰에 대한 (b) 감방사선성 증감체 발생제의 함유 비율의 하한으로서는 0.001몰이 바람직하고, 0.002몰이 보다 바람직하고, 0.01몰이 더욱 바람직하다. 한편, 상기 함유 비율의 상한으로서는 0.95몰이 바람직하고, 0.3몰이 보다 바람직하다.(b) the radiation-sensitive sensitizer generating agent is part of the polymer constituting the polymer component, (1) the lower limit of the content ratio of the (b) radiation-sensitive sensitizer generator per mole of the polymer component is 0.001 Mol, more preferably 0.002 mol, and still more preferably 0.01 mol. On the other hand, the upper limit of the content is preferably 0.95 mol, more preferably 0.3 mol.

상기 배합량 또는 함유 비율이 상기 하한보다 작으면, 감도가 저하될 우려가 있다. 반대로, 상기 배합량 또는 함유 비율이 상기 상한을 초과하면, 레지스트 재료막을 형성하기 어려워질 우려나, 레지스트 패턴의 단면 형상에 있어서의 직사각형성이 저하될 우려가 있다.If the blending amount or content ratio is smaller than the above lower limit, the sensitivity may be lowered. On the other hand, if the blending amount or the content ratio exceeds the upper limit, the resist material film tends to be difficult to form, and the rectangularity of the cross-sectional shape of the resist pattern may deteriorate.

((c) 감방사선성 산 발생제)((c) a radiation-sensitive acid generator)

(c) 감방사선성 산 발생제는 상기 제1 방사선을 조사하고, 상기 제2 방사선을 방사하지 않는 경우에, 산을 발생시키며, 또한 상기 제1 방사선을 조사하지 않고 상기 제2 방사선만을 조사한 경우에 상기 산을 실질적으로 발생시키지 않는 성분이며, 상기 (a) 감방사선성 산-증감체 발생제와는 상이한 것이다. (c) 감방사선성 산 발생제는 상기 성질을 가지므로, 일괄 노광 시에 방사선 증감 반응에 의해 레지스트 재료막의 패턴 노광부에서만 산을 발생시킬 수 있다.(c) a radiation-sensitive acid generator generates an acid when irradiating the first radiation and not irradiating the second radiation, and when irradiating only the second radiation without irradiating the first radiation Is a component which does not substantially generate the acid, and is different from the (a) radiation-sensitive acid-sensitizer generator. (c) Since the radiation-sensitive acid generator has the above properties, an acid can be generated only in the pattern exposure portion of the resist material film by the radiation sensitization reaction during the batch exposure.

상기 [C1] 화합물 및 [C2] 화합물에 있어서의 양이온 (I) 및 양이온 (Ⅱ)로서는, 예를 들어 상술한 양이온 (I) 및 양이온 (Ⅱ)로서 X+로 표시되는 1가의 오늄 양이온을 들 수 있고, 노광 광의 조사에 의해 분해된다. 노광부에서는 이 오늄 양이온의 분해에 의해 생성되는 프로톤과, 술포네이트 음이온으로부터 술폰산을 발생한다. 이 양이온은 감방사선성 증감체를 발생하지 않는 것이다.Examples of the cation (I) and cation (II) in the [C1] compound and the [C2] compound include monovalent onium cations represented by X + as the cation (I) and the cation And decomposed by irradiation with exposure light. In the exposed part, protons generated by the decomposition of the onium cations and sulfonic acids are generated from the sulfonate anions. These cations do not generate sensitizing radiation sensitizers.

상기 X+로 표시되는 1가의 오늄 양이온으로서는, 예를 들어 상술한 식 (X-1) 및 (X-2)로 표시되는 양이온을 들 수 있다.Examples of the monovalent onium cations represented by X &lt; + &gt; include the cations represented by the above-mentioned formulas (X-1) and (X-2).

Figure pat00064
Figure pat00064

X+로서는 이들 중에서 트리페닐술포늄 양이온이 바람직하다.Among them, triphenylsulfonium cation is preferable as X &lt; + & gt ;.

상기 [C1] 화합물 및 [C2] 화합물에 있어서의 음이온 (I) 및 음이온 (Ⅱ)로서는, 예를 들어 상술한 음이온 (I) 및 음이온 (Ⅱ)로서 예시한 것과 마찬가지의 음이온을 들 수 있다.Examples of the anion (I) and the anion (II) in the [C1] compound and the [C2] compound include the same anions as exemplified above for the anion (I) and the anion (II).

이러한 (c) 감방사선성 산 발생제가 되는 [C1] 화합물 및 [C2] 화합물로서는, 예를 들어 상술한 오늄염 화합물인 술포늄염 화합물을 들 수 있다.Examples of the [C1] compound and the [C2] compound as the (c) radiation-sensitive acid generator include a sulfonium salt compound as the onium salt compound described above.

술포늄염 화합물로서는, 예를 들어 상술한 [C1] 화합물 및 [C2] 화합물 중 트리페닐술포늄트리플루오로메탄술포네이트, 트리페닐술포늄노나플루오로-n-부탄술포네이트, 트리페닐술포늄퍼플루오로-n-옥탄술포네이트, 트리페닐술포늄2-비시클로[2.2.1]헵트-2-일-1,1,2,2-테트라플루오로에탄술포네이트, 트리페닐술포늄6-(아다만탄-1-일카르복시옥시)-1,1,2,2-테트라플루오로헥산-1-술포네이트, 트리페닐술포늄아다만탄-1-일옥시카르보닐카르복실레이트, 트리페닐술포늄4-트리플루오로메틸 살리실레이트, 4-메톡시페닐디페닐술포늄1,2-디(시클로헥실옥시카르보닐)에탄-1-술포네이트 등을 들 수 있다.As the sulfonium salt compound, for example, triphenylsulfonium trifluoromethanesulfonate, triphenylsulfonium nonafluoro-n-butanesulfonate, triphenylsulfonium purple in the [C1] compound and the [C2] Triphenylsulfonium 2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethanesulfonate, triphenylsulfonium 6- ( Adamantan-1-ylcarboxyoxy) -1,1,2,2-tetrafluorohexane-1-sulfonate, triphenylsulfoniumadamantane-1-yloxycarbonyl carboxylate, triphenylsulfonium 4-trifluoromethyl salicylate, 4-methoxyphenyldiphenylsulfonium 1,2-di (cyclohexyloxycarbonyl) ethane-1-sulfonate, and the like.

(c) 감방사선성 산 발생제로서는 상기 [C1] 화합물 및 [C2] 화합물 이외의 화합물도 갖고 있을 수도 있고, [C1] 화합물 및 [C2] 화합물 이외의 (c) 감방사선성 산 발생제로서는, 예를 들어 그 밖의 오늄염 화합물, 디아조메탄 화합물, 술폰이미드 화합물 등을 들 수 있다. 오늄염 화합물로서는, 예를 들어 술포늄염 화합물 이외에 테트라히드로티오페늄염 화합물, 요오도늄염 화합물 등을 들 수 있다.(c) The radiation-sensitive acid generator may also have a compound other than the [C1] compound and the [C2] compound, and the (c) radiation-sensitive acid generator other than the [C1] , For example, other onium salt compounds, diazomethane compounds, and sulfonimide compounds. Examples of the onium salt compound include a tetrahydrothiophenium salt compound and an iodonium salt compound in addition to the sulfonium salt compound.

그 밖의 술포늄염 화합물로서는, 예를 들어 4-시클로헥실페닐디페닐술포늄트리플루오로메탄술포네이트, 4-시클로헥실페닐디페닐술포늄노나플루오로-n-부탄술포네이트, 4-시클로헥실페닐디페닐술포늄퍼플루오로-n-옥탄술포네이트, 4-시클로헥실페닐디페닐술포늄2-비시클로[2.2.1]헵트-2-일-1,1,2,2-테트라플루오로에탄술포네이트, 4-메탄술포닐페닐디페닐술포늄트리플루오로메탄술포네이트, 4-메탄술포닐페닐디페닐술포늄노나플루오로-n-부탄술포네이트, 4-메탄술포닐페닐디페닐술포늄퍼플루오로-n-옥탄술포네이트, 4-메탄술포닐페닐디페닐술포늄2-비시클로[2.2.1]헵트-2-일-1,1,2,2-테트라플루오로에탄술포네이트 등을 들 수 있다.As other sulfonium salt compounds, for example, 4-cyclohexylphenyldiphenylsulfonium trifluoromethanesulfonate, 4-cyclohexylphenyldiphenylsulfonium nonafluoro-n-butanesulfonate, 4-cyclohexylphenyl Octylsulfonate, 4-cyclohexylphenyldiphenylsulfonium 2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethane Sulfonates such as 4-methanesulfonylphenyldiphenylsulfonium trifluoromethane sulfonate, 4-methanesulfonylphenyldiphenylsulfonium nonafluoro-n-butanesulfonate, 4-methanesulfonylphenyldiphenylsulfonium Perfluoro-n-octanesulfonate, 4-methanesulfonylphenyldiphenylsulfonium 2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethanesulfonate, etc. .

테트라히드로티오페늄염 화합물로서는, 예를 들어 1-(4-n-부톡시나프탈렌-1-일)테트라히드로티오페늄트리플루오로메탄술포네이트, 1-(4-n-부톡시나프탈렌-1-일)테트라히드로티오페늄노나플루오로-n-부탄술포네이트, 1-(4-n-부톡시나프탈렌-1-일)테트라히드로티오페늄퍼플루오로-n-옥탄술포네이트, 1-(4-n-부톡시나프탈렌-1-일)테트라히드로티오페늄2-비시클로[2.2.1]헵트-2-일-1,1,2,2-테트라플루오로에탄술포네이트, 1-(6-n-부톡시나프탈렌-2-일)테트라히드로티오페늄트리플루오로메탄술포네이트, 1-(6-n-부톡시나프탈렌-2-일)테트라히드로티오페늄노나플루오로-n-부탄술포네이트, 1-(6-n-부톡시나프탈렌-2-일)테트라히드로티오페늄퍼플루오로-n-옥탄술포네이트, 1-(6-n-부톡시나프탈렌-2-일)테트라히드로티오페늄2-비시클로[2.2.1]헵트-2-일-1,1,2,2-테트라플루오로에탄술포네이트, 1-(3,5-디메틸-4-히드록시페닐)테트라히드로티오페늄트리플루오로메탄술포네이트, 1-(3,5-디메틸-4-히드록시페닐)테트라히드로티오페늄노나플루오로-n-부탄술포네이트, 1-(3,5-디메틸-4-히드록시페닐)테트라히드로티오페늄퍼플루오로-n-옥탄술포네이트, 1-(3,5-디메틸-4-히드록시페닐)테트라히드로티오페늄2-비시클로[2.2.1]헵트-2-일-1,1,2,2-테트라플루오로에탄술포네이트 등을 들 수 있다.Examples of the tetrahydrothiophenium salt compound include 1- (4-n-butoxynaphthalen-1-yl) tetrahydrothiophenium trifluoromethanesulfonate, 1- (4-n-butoxynaphthalen- N-butanesulfonate, 1- (4-n-butoxynaphthalen-1-yl) tetrahydrothiophenium perfluoro-n-octanesulfonate, 1- Tetrahydrothiophenium 2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethanesulfonate, 1- (4-n-butoxynaphthalen- (6-n-butoxynaphthalen-2-yl) tetrahydrothiophenium trifluoromethanesulfonate, 1- (6-n-butoxynaphthalen-2-yl) tetrahydrothiophenium nonafluoro-n -Butane sulfonate, 1- (6-n-butoxynaphthalen-2-yl) tetrahydrothiophenium perfluoro-n-octanesulfonate, 1- Tetrahydrothiophenium 2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethane sulphate (3,5-dimethyl-4-hydroxyphenyl) tetrahydrothiophenium trifluoromethanesulfonate, 1- (3,5-dimethyl-4-hydroxyphenyl) tetrahydrothiophenium nonane N-butanesulfonate, 1- (3,5-dimethyl-4-hydroxyphenyl) tetrahydrothiophenium perfluoro-n-octanesulfonate, 1- Hydroxyphenyl) tetrahydrothiophenium 2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethanesulfonate.

요오도늄염 화합물로서는, 예를 들어 디페닐요오도늄트리플루오로메탄술포네이트, 디페닐요오도늄노나플루오로-n-부탄술포네이트, 디페닐요오도늄퍼플루오로-n-옥탄술포네이트, 디페닐요오도늄2-비시클로[2.2.1]헵트-2-일-1,1,2,2-테트라플루오로에탄술포네이트, 비스(4-t-부틸페닐)요오도늄트리플루오로메탄술포네이트, 비스(4-t-부틸페닐)요오도늄노나플루오로-n-부탄술포네이트, 비스(4-t-부틸페닐)요오도늄퍼플루오로-n-옥탄술포네이트, 비스(4-t-부틸페닐)요오도늄2-비시클로[2.2.1]헵트-2-일-1,1,2,2-테트라플루오로에탄술포네이트 등을 들 수 있다.Examples of the iodonium salt compounds include diphenyl iodonium trifluoromethanesulfonate, diphenyl iodonium nonafluoro-n-butanesulfonate, diphenyl iodonium perfluoro-n-octanesulfonate , Diphenyl iodonium 2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethanesulfonate, bis (4-t- butylphenyl) iodonium trifluoro (4-t-butylphenyl) iodonium perfluoro-n-octanesulfonate, bis (4-t-butylphenyl) iodonium nonafluoro-n-butanesulfonate, bis (4-t-butylphenyl) iodonium 2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethanesulfonate.

술폰이미드 화합물로서는, 예를 들어 N-(트리플루오로메탄술포닐옥시)비시클로[2.2.1]헵트-5-엔-2,3-디카르복시이미드, N-(노나플루오로-n-부탄술포닐옥시)비시클로[2.2.1]헵트-5-엔-2,3-디카르복시이미드, N-(퍼플루오로-n-옥탄술포닐옥시)비시클로[2.2.1]헵트-5-엔-2,3-디카르복시이미드, N-(2-비시클로[2.2.1]헵트-2-일-1,1,2,2-테트라플루오로에탄술포닐옥시)비시클로[2.2.1]헵트-5-엔-2,3-디카르복시이미드 등을 들 수 있다.Examples of the sulfone imide compound include N- (trifluoromethanesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboxyimide, N- (nonafluoro- (Perfluoro-n-octanesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboxyimide, N- -2,3-dicarboxyimide, N- (2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethanesulfonyloxy) bicyclo [2.2. 1] hept-5-ene-2,3-dicarboxyimide.

디아조메탄 화합물로서는, 예를 들어 비스(n-프로필술포닐)디아조메탄, 비스(이소프로필술포닐)디아조메탄, 비스(n-부틸술포닐)디아조메탄, 비스(tert-부틸술포늄)디아조메탄, 비스(시클로펜틸술포닐)디아조메탄, 비스(시클로헥실술포닐)디아조메탄, 비스(페닐술포닐)디아조메탄, 비스(4-클로로페닐술포닐)디아조메탄, 비스(p-톨릴술포닐)디아조메탄, 비스(2,4-크실릴술포닐)디아조메탄, 비스(4-이소프로필페닐술포닐)디아조메탄, 비스(4-tert-부틸페닐술포닐)디아조메탄, 비스(나프틸술포닐)디아조메탄, 비스(안트라세닐술포닐)디아조메탄 등을 들 수 있다.Examples of the diazomethane compound include bis (n-propylsulfonyl) diazomethane, bis (isopropylsulfonyl) diazomethane, bis Bis (cyclopentylsulfonyl) diazomethane, bis (cyclopentylsulfonyl) diazomethane, bis (cyclopentylsulfonyl) diazomethane, bis Bis (p-tolylsulfonyl) diazomethane, bis (2,4-xylylsulfonyl) diazomethane, bis (4-isopropylphenylsulfonyl) diazomethane, bis Bis (naphthylsulfonyl) diazomethane, bis (anthracenylsulfonyl) diazomethane, and the like.

(c) 감방사선성 산 발생제는 (1) 중합체 성분을 구성하는 중합체의 일부일 수도 있다. 이 경우, (c) 감방사선성 산 발생제는 상기 화합물로부터 수소 원자 1개를 제외한 기가 중합체에 결합하는 형태로 존재한다.(c) The radiation-sensitive acid generator may be part of (1) a polymer constituting the polymer component. In this case, (c) the radiation-sensitive acid generator is present in such a form that a group excluding one hydrogen atom from the compound bonds to the polymer.

(c) 감방사선성 산 발생제가 (1) 중합체 성분과는 상이한 성분인 경우, (1) 중합체 성분 100질량부에 대한 (c) 감방사선성 산 발생제의 배합량의 하한으로서는 0.1질량부가 바람직하고, 1질량부가 보다 바람직하다. 한편, 상기 배합량의 상한으로서는 50질량부가 바람직하고, 30질량부가 보다 바람직하다.When the (c) radiation-sensitive acid generator is a component different from (1) the polymer component, the lower limit of the blending amount of (c) the radiation-sensitive acid generator relative to 100 parts by mass of the polymer component is preferably 0.1 part by mass , More preferably 1 part by mass. On the other hand, the upper limit of the blending amount is preferably 50 parts by mass, more preferably 30 parts by mass.

(c) 감방사선성 산 발생제가 (1) 중합체 성분을 구성하는 중합체의 일부인 경우, (1) 중합체 성분의 1몰에 대한 (c) 감방사선성 산 발생제의 함유 비율의 하한으로서는 0.01몰이 바람직하고, 0.02몰이 보다 바람직하고, 0.1몰이 더욱 바람직하다. 한편, 상기 함유 비율의 상한으로서는 0.5몰이 바람직하고, 0.3몰이 보다 바람직하다.(c) when the radiation-sensitive acid generator is part of the polymer constituting (1) the polymer component, (1) the lower limit of the content ratio of the (c) radiation-sensitive acid generator to 1 mole of the polymer component is preferably 0.01 , More preferably 0.02 mol, and still more preferably 0.1 mol. On the other hand, the upper limit of the content is preferably 0.5 mol, more preferably 0.3 mol.

상기 배합량 또는 함유 비율이 상기 하한보다 작으면, 감도가 저하될 우려가 있다. 반대로, 상기 배합량 또는 함유 비율이 상기 상한을 초과하면, 레지스트 재료막을 형성하기 어려워질 우려나, 레지스트 패턴의 단면 형상에 있어서의 직사각형성이 저하될 우려가 있다.If the blending amount or content ratio is smaller than the above lower limit, the sensitivity may be lowered. On the other hand, if the blending amount or the content ratio exceeds the upper limit, the resist material film tends to be difficult to form, and the rectangularity of the cross-sectional shape of the resist pattern may deteriorate.

(2) 성분이 (1) 중합체 성분과는 상이한 성분인 경우, 당해 화학 증폭형 레지스트 재료의 고형분에 대한 (2) 성분의 함유량의 하한으로서는 10질량%가 바람직하고, 15질량%가 보다 바람직하다. 한편, 상기 함유량의 상한으로서는 30질량%가 바람직하고, 25질량%가 보다 바람직하다. (2) 성분의 함유량을 상기 범위로 함으로써, 당해 화학 증폭형 레지스트 재료의 감도 및 리소그래피 성능을 보다 향상시킬 수 있다. 여기서 「(2) 성분의 함유량」이란, (2) 성분 중 (1) 중합체 성분과 상이한 성분의 합계 함유량을 의미한다.When the component (2) is a component different from (1) the polymer component, the lower limit of the content of the component (2) relative to the solid content of the chemically amplified resist material is preferably 10% by mass, more preferably 15% . On the other hand, the upper limit of the content is preferably 30% by mass, more preferably 25% by mass. By setting the content of the component (2) within the above range, the sensitivity and lithography performance of the chemically amplified resist material can be further improved. Here, the "content of the component (2)" means the total content of the components different from the polymer component (1) in the component (2).

[다른 산 확산 제어제][Other acid diffusion control agent]

당해 화학 증폭형 레지스트 재료는 [C1] 화합물 및 [C2] 화합물 이외의 다른 산 확산 제어제를 배합할 수도 있다. 다른 산 확산 제어제는 산 및 양이온을 포착하는 것이며, 퀀처로서 기능하는 것이다. 당해 화학 증폭형 레지스트 재료가 다른 산 확산 제어제를 포함함으로써, 레지스트 재료막 중에서 발생한 잉여의 산을 중화하여, 패턴 노광부와 패턴 비노광부 사이에 있어서의 산의 잠상의 화학 콘트라스트를 올릴 수 있다.The chemical amplification type resist material may be mixed with an acid diffusion control agent other than the [C1] compound and the [C2] compound. Other acid diffusion control agents capture acid and cations and function as a quencher. The chemically amplified resist material contains other acid diffusion control agent so that the excess acid generated in the resist material film is neutralized and the chemical contrast of the latent image of the acid between the pattern exposure portion and the pattern unexposed portion can be increased.

상기 산 확산 제어제는 감방사선성을 갖는 화합물과 감방사선성을 갖지 않는 화합물로 나뉜다.The acid diffusion control agent is divided into a compound having a radiation-sensitive property and a compound having no radiation-sensitive property.

상기 감방사선성을 갖지 않는 화합물로서는 염기성 화합물이 바람직하다. 이 염기성 화합물로서는, 예를 들어 히드록시드 화합물, 카르복실레이트 화합물, 아민 화합물, 이민 화합물, 아미드 화합물 등을 들 수 있고, 보다 구체적으로는, 제1급 내지 제3급 지방족 아민, 방향족 아민, 복소환 아민, 카르복실기를 갖는 질소 함유 화합물, 술포닐기를 갖는 질소 함유 화합물, 히드록실기를 갖는 질소 함유 화합물, 히드록시페닐기를 갖는 질소 함유 화합물, 알코올성 질소 함유 화합물, 카르바메이트기를 갖는 질소 함유 화합물, 아미드 화합물, 이미드 화합물 등을 들 수 있고, 이들 중에서 카르바메이트기를 갖는 질소 함유 화합물이 바람직하다.As the compound having no radiation-sensitive properties, a basic compound is preferable. Examples of the basic compound include a hydroxide compound, a carboxylate compound, an amine compound, an imine compound, and an amide compound, and more specifically, a primary, secondary or tertiary aliphatic amine, an aromatic amine, A nitrogen-containing compound having a carboxyl group, a nitrogen-containing compound having a sulfonyl group, a nitrogen-containing compound having a hydroxyl group, a nitrogen-containing compound having a hydroxyphenyl group, an alcoholic nitrogen- , An amide compound, an imide compound, etc. Among them, a nitrogen-containing compound having a carbamate group is preferable.

상기 염기성 화합물은 트뢰거(Troger's) 염기; 디아자비시클로운데센(DBU), 디아자비시클로노넨(DBM) 등의 힌더드 아민; 테트라부틸암모늄히드록시드(TBAH), 테트라부틸암모늄락테이트 등의 이온성 퀀처일 수도 있다.The basic compounds include Troger's base; Hindered amines such as diazabicyclo undecene (DBU) and diazabicyclononene (DBM); Tetrabutylammonium hydroxide (TBAH), tetrabutylammonium lactate, and the like.

상기 제1급 지방족 아민으로서는, 예를 들어 암모니아, 메틸아민, 에틸아민, n-프로필아민, 이소프로필아민, n-부틸아민, 이소부틸아민, sec-부틸아민, tert-부틸아민, 펜틸아민, tert-아밀아민, 시클로펜틸아민, 헥실아민, 시클로헥실아민, 헵틸아민, 옥틸아민, 노닐아민, 데실아민, 도데실아민, 세틸아민, 메틸렌디아민, 에틸렌디아민, 테트라에틸렌펜타민 등을 들 수 있다.Examples of the primary aliphatic amine include aliphatic amines such as ammonia, methylamine, ethylamine, n-propylamine, isopropylamine, n-butylamine, isobutylamine, sec-butylamine, there may be mentioned tertiary amines such as tert-amylamine, cyclopentylamine, hexylamine, cyclohexylamine, heptylamine, octylamine, nonylamine, decylamine, dodecylamine, cetylamine, methylenediamine, ethylenediamine and tetraethylenepentamine .

상기 제2급 지방족 아민으로서는, 예를 들어 디메틸아민, 디에틸아민, 디-n-프로필아민, 디이소프로필아민, 디-n-부틸아민, 디이소부틸아민, 디-sec-부틸아민, 디펜틸아민, 디시클로펜틸아민, 디헥실아민, 디시클로헥실아민, 디헵틸아민, 디옥틸아민, 디노닐아민, 디데실아민, 디도데실아민, 디세틸아민, N,N-디메틸메틸렌디아민, N,N-디메틸에틸렌디아민, N,N-디메틸테트라에틸렌펜타민 등을 들 수 있다.Examples of the secondary aliphatic amine include dimethylamine, diethylamine, di-n-propylamine, diisopropylamine, di-n-butylamine, diisobutylamine, di- N, N-dimethylmethylenediamine, N, N-dimethylmethylenediamine, N, N-dimethylmethylenediamine, N, N-dimethylmethylenediamine, N, N-dimethylmethylenediamine, N, , N-dimethylethylenediamine, N, N-dimethyltetraethylenepentamine, and the like.

상기 제3급 지방족 아민으로서는, 예를 들어 트리메틸아민, 트리에틸아민, 트리-n-프로필아민, 트리이소프로필아민, 트리-n-부틸아민, 트리이소부틸아민, 트리-sec-부틸아민, 트리펜틸아민, 트리시클로펜틸아민, 트리헥실아민, 트리시클로헥실아민, 트리헵틸아민, 트리옥틸아민, 트리노닐아민, 트리데실아민, 트리도데실아민, 트리세틸아민, N,N,N',N'-테트라메틸메틸렌디아민, N,N,N',N'-테트라메틸에틸렌디아민, N,N,N',N'-테트라메틸테트라에틸렌펜타민 등을 들 수 있다.Examples of the tertiary aliphatic amine include trimethylamine, triethylamine, tri-n-propylamine, triisopropylamine, tri-n-butylamine, triisobutylamine, tri- N, N ', N', N'-tetramethylethylenediamine, triethylamine, tricyclohexylamine, trihexylamine, trioctylamine, trinonylamine, tridecylamine, N, N, N ', N'-tetramethylethylenediamine, N, N, N', N'-tetramethyltetraethylenepentamine, and the like.

상기 방향족 아민 및 복소환 아민으로서는, 예를 들어 아닐린, N-메틸아닐린, N-에틸아닐린, N-프로필아닐린, N,N-디메틸아닐린, 2-메틸아닐린, 3-메틸아닐린, 4-메틸아닐린, 에틸아닐린, 프로필아닐린, 트리메틸아닐린, 2-니트로아닐린, 3-니트로아닐린, 4-니트로아닐린, 2,4-디니트로아닐린, 2,6-디니트로아닐린, 3,5-디니트로아닐린, N,N-디메틸톨루이딘 등의 아닐린 유도체; 디페닐(p-톨릴)아민; 메틸디페닐아민; 트리페닐아민; 페닐렌디아민; 나프틸아민; 디아미노나프탈렌; 피롤, 2H-피롤, 1-메틸피롤, 2,4-디메틸피롤, 2,5-디메틸피롤, N-메틸피롤 등의 피롤 유도체; 옥사졸, 이소옥사졸 등의 옥사졸 유도체; 티아졸, 이소티아졸 등의 티아졸 유도체; 이미다졸, 4-메틸이미다졸, 4-메틸-2-페닐이미다졸 등의 이미다졸 유도체; 피라졸 유도체; 푸라잔 유도체; 피로인, 2-메틸-1-피로인 등의 피로인 유도체; 피롤리딘, N-메틸피롤리딘, 피롤리디논, N-메틸피롤리돈 등의 피롤리딘 유도체; 이미다졸린 유도체; 이미다졸리딘 유도체; 피리딘, 메틸피리딘, 에틸피리딘, 프로필피리딘, 부틸피리딘, 4-(1-부틸펜틸)피리딘, 디메틸피리딘, 트리메틸피리딘, 트리에틸피리딘, 페닐피리딘, 3-메틸-2-페닐피리딘, 4-tert-부틸피리딘, 디페닐피리딘, 벤질피리딘, 메톡시피리딘, 부톡시피리딘, 디메톡시피리딘, 4-피롤리디노피리딘, 2-(1-에틸프로필)피리딘, 아미노피리딘, 디메틸아미노피리딘 등의 피리딘 유도체; 피리다진 유도체; 피리미딘 유도체; 피라진 유도체; 피라졸린 유도체; 피라졸리딘 유도체; 피페리딘 유도체; 피페라진 유도체; 모르폴린 유도체; 인돌 유도체; 이소인돌 유도체; 1H-인다졸 유도체; 인돌린 유도체; 퀴놀린, 3-퀴놀린카르보니트릴 등의 퀴놀린 유도체; 이소퀴놀린 유도체; 신놀린 유도체; 퀴나졸린 유도체; 퀴녹살린 유도체; 프탈라진 유도체; 퓨린 유도체; 프테리딘 유도체; 카르바졸 유도체; 페난트리딘 유도체; 아크리딘 유도체; 페나진 유도체; 1,10-페난트롤린 유도체; 아데닌 유도체; 아데노신 유도체; 구아닌 유도체; 구아노신 유도체; 우라실 유도체; 우리딘 유도체 등을 들 수 있다.Examples of the aromatic amine and heterocyclic amine include aniline, N-methylaniline, N-ethyl aniline, N-propylaniline, N, N-dimethylaniline, 2-nitroaniline, 4-nitroaniline, 2,4-dinitroaniline, 2,6-dinitroaniline, 3,5-dinitroaniline, N , Aniline derivatives such as N-dimethyltoluidine; Diphenyl (p-tolyl) amine; Methyl diphenylamine; Triphenylamine; Phenylenediamine; Naphthylamine; Diaminonaphthalene; Pyrrole derivatives such as pyrrole, 2H-pyrrole, 1-methylpyrrole, 2,4-dimethylpyrrole, 2,5-dimethylpyrrole and N-methylpyrrole; Oxazole derivatives such as oxazole and isoxazole; Thiazole derivatives such as thiazole and isothiazole; Imidazole derivatives such as imidazole, 4-methylimidazole and 4-methyl-2-phenylimidazole; Pyrazole derivatives; Furazan derivatives; Pyrrole derivatives such as pyrrole, 2-methyl-1-pyrene and the like; Pyrrolidine derivatives such as pyrrolidine, N-methylpyrrolidine, pyrrolidinone, and N-methylpyrrolidone; Imidazoline derivatives; Imidazolidine derivatives; (1-butylphenyl) pyridine, dimethylpyridine, trimethylpyridine, triethylpyridine, phenylpyridine, 3-methyl-2-phenylpyridine, 4-tert-butylpyridine, Pyridine derivatives such as butylpyridine, diphenylpyridine, benzylpyridine, methoxypyridine, butoxypyridine, dimethoxypyridine, 4-pyrrolidinopyridine, 2- (1-ethylpropyl) pyridine, aminopyridine, dimethylaminopyridine; Pyridazine derivatives; Pyrimidine derivatives; Pyrazine derivatives; Pyrazoline derivatives; Pyrazolidine derivatives; Piperidine derivatives; Piperazine derivatives; Morpholine derivatives; Indole derivatives; Isoindole derivatives; 1H-indazole derivatives; Indolin derivatives; Quinoline derivatives such as quinoline and 3-quinolinecarbonitrile; Isoquinoline derivatives; Cinnoline derivative; Quinazoline derivatives; Quinoxaline derivatives; Phthalazine derivatives; Purine derivatives; Pyridine derivatives; Carbazole derivatives; Phenanthridine derivatives; Acridine derivatives; Phenazine derivatives; 1,10-phenanthroline derivatives; Adenine derivatives; Adenosine derivatives; Guanine derivatives; Guanosine derivatives; Uracil derivatives; Pyridine derivatives and the like.

상기 카르복시기를 갖는 질소 함유 화합물로서는, 예를 들어 아미노벤조산; 인돌카르복실산; 니코틴산, 알라닌, 아르기닌, 아스파라긴산, 글루탐산, 글리신, 히스티딘, 이소류신, 글리실류신, 류신, 메티오닌, 페닐알라닌, 트레오닌, 리신, 3-아미노피라진-2-카르복실산, 메톡시알라닌 등의 아미노산 유도체 등을 들 수 있다.Examples of the nitrogen-containing compound having a carboxyl group include aminobenzoic acid; Indolecarboxylic acid; Amino acid derivatives such as nicotinic acid, alanine, arginine, aspartic acid, glutamic acid, glycine, histidine, isoleucine, glycyrrhizin, leucine, methionine, phenylalanine, threonine, lysine, 3-aminopyrazine-2-carboxylic acid and methoxyalanine .

상기 술포닐기를 갖는 질소 함유 화합물로서는, 예를 들어 3-피리딘술폰산, p-톨루엔술폰산피리디늄 등을 들 수 있다.Examples of the nitrogen-containing compound having a sulfonyl group include 3-pyridinesulfonic acid, pyridinium p-toluenesulfonate, and the like.

상기 히드록실기를 갖는 질소 함유 화합물, 히드록시페닐기를 갖는 질소 함유 화합물 및 알코올성 질소 함유 화합물로서는, 예를 들어 2-히드록시피리딘, 아미노크레졸, 2,4-퀴놀린디올, 3-인돌메탄올히드레이트, 모노에탄올아민, 디에탄올아민, 트리에탄올아민, N-에틸디에탄올아민, N,N-디에틸에탄올아민, 트리이소프로판올아민, 2,2'-이미노디에탄올, 2-아미노에탄올, 3-아미노-1-프로판올, 4-아미노-1-부탄올, 4-(2-히드록시에틸)모르폴린, 2-(2-히드록시에틸)피리딘, 1-(2-히드록시에틸)피페라진, 1-[2-(2-히드록시에톡시)에틸]피페라진, 피페리딘에탄올, 1-(2-히드록시에틸)피롤리딘, 1-(2-히드록시에틸)-2-피롤리디논, 3-피페리디노-1,2-프로판디올, 3-피롤리디노-1,2-프로판디올, 8-히드록시유돌리딘, 3-퀴누클리디놀, 3-트로파놀, 1-메틸-2-피롤리딘에탄올, 1-아지리딘에탄올, N-(2-히드록시에틸)프탈이미드, N-(2-히드록시에틸)이소니코틴아미드 등을 들 수 있다.Examples of the nitrogen-containing compound having a hydroxyl group, the nitrogen-containing compound having a hydroxyphenyl group and the alcoholic nitrogen-containing compound include 2-hydroxypyridine, aminocresol, 2,4-quinolinediol, , Monoethanolamine, diethanolamine, triethanolamine, N-ethyldiethanolamine, N, N-diethylethanolamine, triisopropanolamine, 2,2'-iminodiethanol, 1-butanol, 4- (2-hydroxyethyl) morpholine, 2- (2-hydroxyethyl) pyridine, 1- (2-hydroxyethoxy) ethyl] piperazine, piperidine ethanol, 1- (2-hydroxyethyl) pyrrolidine, 1- 2-propanediol, 3-pyrrolidino-1,2-propanediol, 8-hydroxydilolidine, 3-quinuclidinol, 3- Pyrrolidine ethanol, 1-aziridine Ethanol, N- (2-hydroxyethyl) phthalimide, N- (2-hydroxyethyl) isonicotinamide and the like.

카르바메이트기를 갖는 질소 함유 화합물로서는, 예를 들어 N-(tert-부톡시카르보닐)-L-알라닌, N-(tert-부톡시카르보닐)-L-알라닌메틸에스테르, (S)-(-)-2-(tert-부톡시카르보닐아미노)-3-시클로헥실-1-프로판올, (R)-(+)-2-(tert-부톡시카르보닐아미노)-3-메틸-1-부탄올, (R)-(+)-2-(tert-부톡시카르보닐아미노)-3-페닐프로판올, (S)-(-)-2-(tert-부톡시카르보닐아미노)-3-페닐프로판올, (R)-(+)-2-(tert-부톡시카르보닐아미노)-3-페닐-1-프로판올, (S)-(-)-2-(tert-부톡시카르보닐아미노)-3-페닐-1-프로판올, (R)-(+)-2-(tert-부톡시카르보닐아미노)-1-프로판올, (S)-(-)-2-(tert-부톡시카르보닐아미노)-1-프로판올, N-(tert-부톡시카르보닐)-L-아스파라긴산4-벤질에스테르, N-(tert-부톡시카르보닐)-O-벤질-L-트레오닌, (R)-(+)-1-(tert-부톡시카르보닐)-2-tert-부틸-3-메틸-4-이미다졸리디논, (S)-(-)-1-(tert-부톡시카르보닐)-2-tert-부틸-3-메틸-4-이미다졸리디논, N-(tert-부톡시카르보닐)-3-시클로헥실-L-알라닌메틸에스테르, N-(tert-부톡시카르보닐)-L-시스테인메틸에스테르, N-(tert-부톡시카르보닐)에탄올아민, N-(tert-부톡시카르보닐에틸렌디아민, N-(tert-부톡시카르보닐)-D-글루코오스아민, Nα-(tert-부톡시카르보닐)-L-글루타민, 1-(tert-부톡시카르보닐)이미다졸, N-(tert-부톡시카르보닐)-L-이소류신, N-(tert-부톡시카르보닐)-L-이소류신메틸에스테르, N-(tert-부톡시카르보닐)-L-류시놀, Nα-(tert-부톡시카르보닐)-L-리신, N-(tert-부톡시카르보닐)-L-메티오닌, N-(tert-부톡시카르보닐)-3-(2-나프틸)-L-알라닌, N-(tert-부톡시카르보닐)-L-페닐알라닌, N-(tert-부톡시카르보닐)-L-페닐알라닌메틸에스테르, N-(tert-부톡시카르보닐)-D-프롤리날, N-(tert-부톡시카르보닐)-L-프롤린, N-(tert-부톡시카르보닐)-L-프롤린-N'-메톡시-N'-메틸아미드, N-(tert-부톡시카르보닐)-1H-피라졸-1-카르복시아미진, (S)-(-)-1-(tert-부톡시카르보닐)-2-피롤리딘메탄올, (R)-(+)-1-(tert-부톡시카르보닐)-2-피롤리딘메탄올, 1-(tert-부톡시카르보닐)3-[4-(1-피롤릴)페닐]-L-알라닌, N-(tert-부톡시카르보닐)-L-세린, N-(tert-부톡시카르보닐)-L-세린메틸에스테르, N-(tert-부톡시카르보닐)-L-트레오닌, N-(tert-부톡시카르보닐)-p-톨루엔술폰아미드, N-(tert-부톡시카르보닐)-S-트리틸-L-시스테인, Nα-(tert-부톡시카르보닐)-L-트립토판, N-(tert-부톡시카르보닐)-L-티로신, N-(tert-부톡시카르보닐)-L-티로신메틸에스테르, N-(tert-부톡시카르보닐)-L-발린, N-(tert-부톡시카르보닐)-L-발린메틸에스테르, N-(tert-부톡시카르보닐)-L-발리놀, tert-부틸N-(3-히드록시프로필)카르바메이트, tert-부틸N-(6-아미노헥실)카르바메이트, tert-부틸카르바메이트, tert-부틸카르바제이트, tert-부틸-N-(벤질옥시)카르바메이트, tert-부틸-4-벤질-1-피페라진카르복실레이트, tert-부틸(1S,4S)-(-)-2,5-디아자비시클로[2.2.1]헵탄-2-카르복실레이트, tert-부틸-N-(2,3-디히드록시프로필)카르바메이트, tert-부틸(S)-(-)-4-포르밀-2,2-디메틸-3-옥사졸리딘카르복실레이트, tert-부틸[R-(R*,S*)]-N-[2-히드록시-2-(3-히드록시페닐)-1-메틸에틸]카르바메이트, tert-부틸-4-옥소-1-피페리딘카르복실레이트, tert-부틸-1-피롤카르복실레이트, tert-부틸-1-피롤리딘카르복실레이트, tert-부틸(테트라히드로-2-옥소-3-프라닐)카르바메이트 등을 들 수 있다.Examples of the nitrogen-containing compound having a carbamate group include N- (tert-butoxycarbonyl) -L- alanine, N- (tert-butoxycarbonyl) -L-alanine methyl ester, (S) - -) - 2- (tert-butoxycarbonylamino) -3-cyclohexyl-1-propanol, (R) Butanol, (R) - (+) - 2- (tert-butoxycarbonylamino) -3-phenylpropanol, (S) Propanol, (R) - (+) - 2- (tert-butoxycarbonylamino) -3-phenyl-1-propanol, (R) - (+) - 2- (tert-butoxycarbonylamino) -1-propanol, Benzyl-L-threonine, N- (tert-butoxycarbonyl) -L-aspartic acid 4-benzyl ester, N- (tert-butoxycarbonyl) Methyl-4-imidazolidinone, (S) - (-) - 1- (tert-butoxycarbonyl) -2-tert- Methyl-4-imidazolidinone, N- (tert-butoxycarbonyl) -3-cyclohexyl-L-alanine methyl ester, N- (tert- N- (tert-butoxycarbonyl) -D-glucosamine, N- (tert-butoxycarbonyl) ethylamine, N- Butoxycarbonyl) -L-isoleucine, N- (tert-butoxycarbonyl) -L-glutamine, 1- (tert-butoxycarbonyl) imidazole, N- (Tert-butoxycarbonyl) -L-lysine, N- (tert-butoxycarbonyl) -L-lysine, N- (tert-butoxycarbonyl) ) -L-methionine, N- (tert-butoxycarbonyl) -3- (2-naphthyl) -L- alanine, N- (tert- (Tert-butoxycarbonyl) -L-proline, N- (tert-butoxycarbonyl) -L-phenylalanine methyl ester, N- Propoxycarbonyl) -L-prol (S) - (-) - l- (tert-butoxy) -N'-methoxy-N'-methyl amide, N- (tert-butoxycarbonyl) (R) - (+) - 1- (tert-butoxycarbonyl) -2-pyrrolidinemethanol and 1- (tert-butoxycarbonyl) N- (tert-butoxycarbonyl) -L-serine methyl ester, N- (tert-butoxycarbonyl) (tert-butoxycarbonyl) -L-threonine, N- (tert-butoxycarbonyl) -p-toluenesulfonamide, N- - (tert-butoxycarbonyl) -L-tryptophan, N- (tert-butoxycarbonyl) -L-tyrosine, N- (Tert-butoxycarbonyl) -L-valine, N- (tert-butoxycarbonyl) -L-valine methyl ester, N- 3-hydroxypropyl) carbamate, tert-butyl N- (6-aminohexyl) carbamate, Butyl-4-benzyl-1-piperazinecarboxylate, tert-butyl (1S, 4S) - ((tert-butylcarbamoylcarbamoyl) -) - 2,5-diazabicyclo [2.2.1] heptane-2-carboxylate, tert-butyl N- (2,3- dihydroxypropyl) carbamate, tert- (R *, S *)] - N- [2-hydroxy-2- ( 1-methylethyl] carbamate, tert-butyl-4-oxo-1-piperidinecarboxylate, tert-butyl- Pyrrolidinecarboxylate, and tert-butyl (tetrahydro-2-oxo-3-pranyl) carbamate.

상기 아미드 화합물로서는, 예를 들어 포름아미드, N-메틸포름아미드, N,N-디메틸포름아미드, 아세트아미드, N-메틸아세트아미드, N,N-디메틸아세트아미드, 프로피온아미드, 벤즈아미드, 1-시클로헥실피롤리돈 등을 들 수 있다.Examples of the amide compound include amides such as formamide, N-methylformamide, N, N-dimethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, propionamide, Cyclohexylpyrrolidone, and the like.

상기 이미드 화합물로서는, 예를 들어 프탈이미드, 숙신이미드, 말레이미드 등을 들 수 있다.Examples of the imide compound include phthalimide, succinimide and maleimide.

상기 감방사선성을 갖는 화합물은 방사선에 의해 분해되어 산 확산 제어능을 상실하는 화합물(방사선 분해형 화합물) 및 방사선에 의해 생성되어 산 확산 제어능을 얻는 것(방사선 생성형 화합물)으로 나뉜다.The compound having a radiation-sensitive property is divided into a compound (radiation-decomposable compound) which is decomposed by radiation and loses acid diffusion control ability and a compound which is produced by radiation and obtains acid diffusion control ability (radiation-generating compound).

상기 방사선 분해형 화합물이 패턴 노광 공정에 있어서 패턴 노광부에서만 분해됨으로써, 패턴 노광부에서는 산 및 양이온을 포착하는 작용이 저하되고, 패턴 비노광부에서는 산 및 양이온을 포착하는 작용이 유지된다. 이로 인해, 노광부와 비노광부 사이에 있어서의 산의 잠상의 화학 콘트라스트를 향상시킬 수 있다.The radiation-decomposable compound is decomposed only in the pattern exposure portion in the pattern exposure process, so that the action of capturing the acid and the cation is deteriorated in the pattern exposure portion, and the action of capturing the acid and the cation is retained in the pattern non-exposure portion. As a result, the chemical contrast of the latent image of the acid between the exposed portion and the unexposed portion can be improved.

상기 방사선 분해형 화합물로서는 [C1] 화합물 및 [C2] 화합물 이외의 방사선 분해성 양이온의 술폰산염 및 카르복실산염이 바람직하다. 상기 술폰산염에 있어서의 술폰산으로서는 약한 산이 바람직하고, 탄소수 1 내지 10의 탄화수소기를 가지며, 또한 상기 탄화수소기가 불소를 포함하지 않는 것이 보다 바람직하다. 이러한 술폰산으로서는, 예를 들어 알킬술폰산, 벤젠술폰산, 10-캄포술폰산 등의 술폰산을 들 수 있다. 상기 카르복실산염에 있어서의 카르복실산으로서는 약산이 바람직하고, 탄소수 1 내지 20의 카르복실산이 보다 바람직하다. 이러한 카르복실산으로서는, 예를 들어 포름산, 아세트산, 프로피온산, 타르타르산, 숙신산, 시클로헥실카르복실산, 벤조산, 살리실산 등의 카르복실산을 들 수 있다. 방사선 분해성 양이온의 카르복실산염에 있어서의 방사선 분해성 양이온으로서는 오늄 양이온이 바람직하고, 이 오늄 양이온으로서는, 예를 들어 요오도늄 양이온 등을 들 수 있다.As the radiation-decomposable compound, sulfonic acid salts and carboxylic acid salts of a radiation-decomposable cation other than the [C1] compound and the [C2] compound are preferable. The sulfonic acid in the sulfonic acid salt is preferably a weak acid, more preferably a hydrocarbon group having 1 to 10 carbon atoms, and the hydrocarbon group does not contain fluorine. Examples of such sulfonic acids include sulfonic acids such as alkylsulfonic acid, benzenesulfonic acid, and 10-camphorsulfonic acid. The carboxylic acid in the carboxylic acid salt is preferably a weak acid, and more preferably a carboxylic acid having 1 to 20 carbon atoms. Examples of such carboxylic acids include carboxylic acids such as formic acid, acetic acid, propionic acid, tartaric acid, succinic acid, cyclohexylcarboxylic acid, benzoic acid and salicylic acid. The radiation-decomposable cation in the carboxylate of the radiation-decomposable cation is preferably an onium cation, and examples of the onium cation include iodonium cation and the like.

상기 방사선 생성형 화합물이 패턴 노광 공정에 있어서 패턴 노광부에서만 생성됨으로써, 패턴 노광부에서는 산 및 양이온을 포착하는 작용이 발생하고, 패턴 비노광부에서 발생하지 않는다.The radiation-generating compound is generated only in the pattern exposure portion in the pattern exposure process, so that the action of capturing the acid and the cation occurs in the pattern exposure portion and does not occur in the pattern non-exposure portion.

상기 방사선 생성형 화합물은 패턴 노광 공정에 있어서 생성되지 않고, 일괄 노광 공정에 있어서 생성되는 것일 수도 있다. 이 경우, 패턴 노광 공정의 노광부에 있어서는 감방사선성 증감체를 효율적으로 발생할 수 있음과 함께, 일괄 노광 공정의 비노광부에 있어서의 불필요한 산 및 양이온을 포착할 수 있다.The radiation-generating compound may not be generated in the pattern exposure process but may be generated in the batch exposure process. In this case, in the exposure unit of the pattern exposure process, the radiation-sensitive sensitizer can be efficiently generated, and the unnecessary acid and cation in the unexposed area of the batch exposure process can be captured.

상기 방사선 생성형 화합물로서는 노광에 의해 염기를 발생시키는 화합물(감방사선성 염기 발생제)이 바람직하고, 아미노기를 발생시키는 질소 함유 유기 화합물이 보다 바람직하다.As the above radiation-generating compound, a compound which generates a base by exposure (radiation-sensitive base generator) is preferable, and a nitrogen-containing organic compound which generates an amino group is more preferable.

상기 감방사선성 염기 발생제로서는, 예를 들어 일본 특허 공개 (평)4-151156호, 동 4-162040호, 동 5-197148호, 동 5-5995호, 동 6-194834호, 동 8-146608호, 동 10-83079호 및 유럽 특허 622682호에 기재된 화합물을 들 수 있다.Examples of the radiation-sensitive base generator include those disclosed in JP-A Nos. 4-151156, 4-162040, 5-197148, 5-5995, 6-194834, 8- 146608, 10-83079 and European Patent 622682, all of which are incorporated herein by reference.

상기 감방사선성 염기 발생제로서는 카르바메이트기(우레탄 결합)를 함유하는 화합물, 아실옥시이미노기를 함유하는 화합물, 이온계 화합물(음이온-양이온 복합체), 카르바모일옥시이미노기를 함유하는 화합물 등을 들 수 있고, 카르바메이트기(우레탄 결합)를 함유하는 화합물, 아실옥시이미노기를 함유하는 화합물 및 이온계 화합물(음이온-양이온 복합체)이 바람직하다.Examples of the radiation-sensitive base generator include a compound containing a carbamate group (urethane bond), a compound containing an acyloxyimino group, an ionic compound (anion-cationic complex), a compound containing a carbamoyloxyimino group , And a compound containing a carbamate group (urethane bond), a compound containing an acyloxyimino group, and an ionic compound (anion-cation complex) are preferable.

또한, 감방사선성 염기 발생제로서는 분자 내에 환 구조를 갖는 화합물이 바람직하다. 이 환 구조로서는, 예를 들어 벤젠 구조, 나프탈렌 구조, 안트라센 구조, 크산톤 구조, 티오크산톤 구조, 안트라퀴논 구조, 플루오렌 구조 등을 들 수 있다.The radiation-sensitive base generator is preferably a compound having a cyclic structure in the molecule. Examples of the ring structure include a benzene structure, a naphthalene structure, an anthracene structure, a xanthone structure, a thioxanthone structure, an anthraquinone structure, and a fluorene structure.

감방사선성 염기 발생제로서는, 예를 들어 2-니트로벤질카르바메이트, 2,5-디니트로벤질시클로헥실카르바메이트, N-시클로헥실-4-메틸페닐술폰아미드, 1,1-디메틸-2-페닐에틸-N-이소프로필카르바메이트 등을 들 수 있다.Examples of the radiation-sensitive base generator include 2-nitrobenzylcarbamate, 2,5-dinitrobenzylcyclohexylcarbamate, N-cyclohexyl-4-methylphenylsulfonamide, 1,1-dimethyl- Phenylethyl-N-isopropylcarbamate, and the like.

산 확산 제어제는 열반응에 의해 생성되어 산 확산 제어능을 얻는 화합물(열 생성형 화합물)일 수도 있다. 이 경우, 일괄 노광 공정 후의 베이크 공정에 있어서 생성되는 것이 바람직하다. 이와 같이, 베이크 공정에 있어서 산 확산 제어제가 산 확산 제어능을 얻는 관점에서, 후술하는 베이크 공정에서의 가열 온도는 다른 공정에서의 가열 온도보다도 높은 것이 바람직하다.The acid diffusion control agent may be a compound (heat generation type compound) which is produced by a thermal reaction and obtains acid diffusion control ability. In this case, it is preferable to be generated in the baking step after the batch exposure step. Thus, from the viewpoint of obtaining the acid diffusion control ability in the baking step, it is preferable that the heating temperature in the baking step described later is higher than the heating temperature in the other steps.

당해 화학 증폭형 레지스트 재료가 상기 산 확산 제어제를 함유하는 경우, (1) 중합체 성분 100질량부에 대한 산 확산 제어제의 함유량의 하한으로서는 0.001질량부가 바람직하고, 0.01질량부가 보다 바람직하다. 한편, 상기 함유량의 상한으로서는 20질량부가 바람직하고, 10질량부가 보다 바람직하다. 상기 함유량이 상기 하한보다 작은 경우, 상기 산 확산 제어제가 충분히 산 및 양이온을 포착하지 못할 우려가 있다. 반대로, 상기 함유량이 상기 상한을 초과하는 경우, 감도가 과도하게 저하될 우려가 있다.When the chemical amplification type resist material contains the acid diffusion control agent, the lower limit of the content of (1) the acid diffusion control agent relative to 100 parts by mass of the polymer component is preferably 0.001 part by mass, more preferably 0.01 part by mass. On the other hand, the upper limit of the content is preferably 20 parts by mass, more preferably 10 parts by mass. When the content is smaller than the lower limit, there is a fear that the acid diffusion control agent can not sufficiently capture acid and cation. Conversely, when the content exceeds the upper limit, the sensitivity may be excessively lowered.

[라디칼 포착제][Radical Picking Agent]

상기 라디칼 포착제는 유리 라디칼을 포착하는 것이다. 당해 화학 증폭형 레지스트 재료가 상기 라디칼 포착제를 포함함으로써, 패턴 비노광부에 있어서 라디칼에 의한 반응을 경유한 감방사선성 증감체의 발생이 저감되어, 후술하는 일괄 노광 공정 후의 패턴 노광부와 비노광부의 산 농도의 콘트라스트를 보다 향상시킬 수 있다. 이 라디칼 포착제로서는, 예를 들어 페놀계 화합물, 퀴논계 화합물, 아민계 화합물 등의 화합물이나, 고무 등의 천연 유래의 산화 방지제 등을 들 수 있다.The radical scavenger is to capture free radicals. Since the chemically amplified resist material contains the radical scavenger, generation of the radiation-sensitive sensitizer through the radical-induced reaction in the pattern unexposed area is reduced, and the pattern exposure unit and the non- The contrast of the acid concentration can be further improved. Examples of the radical scavenger include compounds such as phenol compounds, quinone compounds, and amine compounds, and antioxidants derived from natural materials such as rubber.

[가교제][Crosslinking agent]

가교제는, 일괄 노광 후의 베이크 공정에 있어서, 산 촉매 반응에 의해 중합체 성분 사이에서 가교 반응을 야기하여, 중합체 성분의 분자량을 증가시켜, 현상액에 대하여 불용화되기 위한 것이고, 상기 (1) 중합체 성분과는 상이한 것이다. 레지스트 재료가 가교제를 포함함으로써, 가교와 동시에 극성 부위가 비극성화되어, 현상액에 대하여 불용화되기 때문에, 네거티브형 레지스트 재료를 제공할 수 있다.The crosslinking agent is to cause a crosslinking reaction between polymer components by an acid catalysis reaction in a baking step after collective exposure to increase the molecular weight of the polymer component and insolubilize with the developing solution. It is different. Since the resist material contains a cross-linking agent, the polarity portion becomes non-polar at the same time as the cross-linking, and is insoluble in the developer, so that a negative resist material can be provided.

가교제는 2개 이상의 관능기를 갖는 화합물이다. 상기 관능기는 (메트)아크릴로일기, 히드록시메틸기, 알콕시메틸기, 에폭시기 및 비닐에테르기로 이루어지는 군으로부터 선택되는 적어도 1개인 것이 바람직하다.The crosslinking agent is a compound having two or more functional groups. The functional group is preferably at least one selected from the group consisting of a (meth) acryloyl group, a hydroxymethyl group, an alkoxymethyl group, an epoxy group and a vinyl ether group.

[그 밖의 첨가제][Other additives]

그 밖의 첨가제로서는, 예를 들어 계면 활성제, 산화 방지제, 용해 억제제, 가소제, 안정제, 착색제, 할레이션 방지제, 염료 등을 들 수 있다. 계면 활성제, 산화 방지제, 용해 억제제, 가소제, 안정제, 착색제, 할레이션 방지제 및 염료에는 공지의 재료를 선택할 수 있다. 계면 활성제로서는, 예를 들어 이온성이나 비이온성의 불소계 계면 활성제, 실리콘계 계면 활성제 등을 사용할 수 있다. 산화 방지제로서는, 예를 들어 페놀계 산화 방지제, 유기산 유도체를 포함하는 산화 방지제, 황 함유 산화 방지제, 인계 산화 방지제, 아민계 산화 방지제, 아민-알데히드 축합물을 포함하는 산화 방지제, 아민-케톤 축합물을 포함하는 산화 방지제 등을 들 수 있다.Examples of other additives include a surfactant, an antioxidant, a dissolution inhibitor, a plasticizer, a stabilizer, a colorant, a halation inhibitor, and a dye. Known materials can be selected for the surfactant, the antioxidant, the dissolution inhibitor, the plasticizer, the stabilizer, the colorant, the halation inhibitor and the dye. As the surfactant, for example, ionic or nonionic fluoric surfactants, silicone surfactants and the like can be used. Examples of the antioxidant include phenolic antioxidants, antioxidants containing organic acid derivatives, sulfur-containing antioxidants, phosphorus-based antioxidants, amine-based antioxidants, antioxidants containing amine-aldehyde condensates, amine-ketone condensates An antioxidant and the like.

[용매][menstruum]

용매로서는 레지스트 재료의 조성물을 용해하여, 스핀 코팅법 등에서의 도포기에 의한 레지스트 재료막의 형성을 용이하게 하기 위한 것이다. 또한, 상기 (b) 감방사선성 증감체 발생제 등에 포함되는 화합물은 용매로부터는 제외하는 것으로 한다. 용매로서는, 예를 들어 시클로헥사논, 메틸-2-아밀케톤 등의 케톤류; 3-메톡시부탄올, 3-메틸-3-메톡시부탄올, 1-메톡시-2-프로판올, 1-에톡시-2-프로판올 등의 알코올류; 프로필렌글리콜모노메틸에테르, 에틸렌글리콜모노메틸에테르, 프로필렌글리콜모노에틸에테르, 에틸렌글리콜모노에틸에테르, 프로필렌글리콜디메틸에테르, 디에틸렌글리콜디메틸에테르 등의 에테르류; 및 프로필렌글리콜모노메틸에테르아세테이트, 프로필렌글리콜모노에틸에테르아세테이트, 락트산에틸, 피루브산에틸, 아세트산부틸, 3-메톡시프로피온산메틸, 3-에톡시프로피온산에틸, 아세트산tert-부틸, 프로피온산tert-부틸, 아세트산프로필렌글리콜모노메틸에테르, 프로필렌글리콜모노tert-부틸에테르아세테이트 등의 에스테르류 등을 들 수 있다.The solvent is intended to dissolve the composition of the resist material to facilitate the formation of the resist material film by the applicator in the spin coating method or the like. In addition, the compound contained in the above-mentioned (b) radiation-sensitive sensitizer generators and the like is excluded from the solvent. As the solvent, for example, ketones such as cyclohexanone and methyl-2-amyl ketone; Alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol and 1-ethoxy-2-propanol; Ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether and diethylene glycol dimethyl ether; And propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert- butyl acetate, And esters such as glycol monomethyl ether, propylene glycol mono-tert-butyl ether acetate and the like.

[화학 증폭형 레지스트 재료의 제조 방법][Method of producing chemically amplified resist material]

당해 화학 증폭형 레지스트 재료는, 예를 들어 (1) 중합체 성분, (2) 성분, 및 필요에 따라 그 밖의 임의 성분을 소정의 비율로 혼합함으로써 제조할 수 있다. 당해 화학 증폭형 레지스트 재료는, 혼합 후에 예를 들어 구멍 직경 0.2㎛ 정도의 필터 등으로 여과하는 것이 바람직하다. 당해 화학 증폭형 레지스트 재료의 고형분 농도의 하한으로서는 통상 0.1질량%이며, 0.5질량%가 바람직하고, 1질량%가 보다 바람직하다. 한편, 상기 고형분 농도의 상한으로서는 통상 50질량%이며, 30질량%가 바람직하고, 20질량%가 보다 바람직하다.Such a chemically amplified resist material can be produced, for example, by mixing (1) a polymer component, (2) component and optionally other optional components in a predetermined ratio. After mixing, the chemically amplified resist material is preferably filtered, for example, with a filter having a pore diameter of about 0.2 탆. The lower limit of the solid concentration of the chemically amplified resist material is usually 0.1% by mass, preferably 0.5% by mass, and more preferably 1% by mass. On the other hand, the upper limit of the solid content concentration is usually 50% by mass, preferably 30% by mass, and more preferably 20% by mass.

<레지스트 패턴 형성 방법><Method of Forming Resist Pattern>

상기 레지스트 재료는 2단 노광 리소그래피 프로세스에 적합하게 사용된다. 즉, 본 실시 형태에 관한 리소그래피 프로세스(레지스트 패턴 형성 방법을 포함함)는, 상기 레지스트 재료를 사용하여 형성된 레지스트 재료막을 기판 위에 형성하는 막 형성 공정과, 상기 레지스트 재료막에 마스크를 개재하여 제1 방사선을 조사하는 패턴 노광 공정과, 상기 패턴 노광 공정 후의 레지스트 재료막에 제2 방사선을 조사하는 일괄 노광 공정과, 상기 일괄 노광 공정 후의 레지스트 재료막을 가열하는 베이크 공정과, 상기 베이크 공정 후의 레지스트 재료막을 현상액에 접촉시키는 공정을 구비한다.The resist material is suitably used for a two-step exposure lithography process. That is, the lithography process (including the resist pattern forming method) according to the present embodiment includes a film forming step of forming a resist material film formed using the resist material on a substrate, A batch exposure step of irradiating a resist film after the pattern exposure step with a second radiation, a baking step of heating the resist material film after the batch exposure step, a resist film film after the baking step And bringing the developer into contact with the developer.

도 1은 본 실시 형태에 관한 리소그래피 프로세스를 도시하는 공정도이다. 또한, 도 2는 종래의 화학 증폭형 레지스트 재료를 사용한 레지스트 패턴 형성 방법의 일례를 도시하는 공정도이다.1 is a process diagram showing a lithography process according to the present embodiment. 2 is a process diagram showing an example of a resist pattern forming method using a conventional chemically amplified resist material.

도 1에 도시한 바와 같이, 본 실시 형태에 관한 리소그래피 프로세스는 이하의 공정을 구비한다.As shown in Fig. 1, the lithography process according to the present embodiment includes the following steps.

공정 S1: 가공 대상의 기판을 준비하는 공정Step S1: Step of preparing a substrate to be processed

공정 S2: 하층막 및 레지스트 재료막을 형성하는 공정(막 형성 공정)Step S2: a step of forming a lower layer film and a resist material film (film forming step)

공정 S3: 패턴 노광에 의해, 노광부에 산을 발생시키는 공정(패턴 노광 공정)Step S3: A step of generating an acid in the exposure part by pattern exposure (pattern exposure step)

공정 S4: 일괄 노광에 의해, 패턴 노광부에만 산을 증식시키는 공정(일괄 노광 공정)Step S4: a step of growing the acid only in the pattern exposure part by the batch exposure (batch exposure step)

공정 S5: 노광 후 베이크에 의해, 패턴 노광부에 산 촉매에 의한 극성 변화 반응을 발생시키는 공정(베이크 공정)Step S5: A step of generating a polarity change reaction by the acid catalyst on the pattern exposure part by baking after exposure (baking step)

공정 S6: 현상 처리에 의해 레지스트 패턴을 형성하는 공정(현상 공정)Step S6: a step of forming a resist pattern by a developing process (developing step)

공정 S7: 에칭에 의해 패턴을 전사하는 공정(에칭 공정)Step S7: a step of transferring the pattern by etching (etching step)

(공정 S1)(Step S1)

이하의 공정에 있어서 가공 대상이 되는 기판(피가공 기판)은 실리콘 기판, 이산화실리콘 기판, 유리 기판 및 ITO 기판 등의 반도체 웨이퍼로 구성된 것일 수도 있고, 상기 반도체 웨이퍼 위에 절연막층이 형성된 것일 수도 있다.The substrate (the processed substrate) to be processed in the following steps may be a semiconductor wafer such as a silicon substrate, a silicon dioxide substrate, a glass substrate, and an ITO substrate, or may be an insulating film layer formed on the semiconductor wafer.

(공정 S2: 막 형성 공정)(Step S2: film forming step)

상기 레지스트 재료막은 본 실시 형태의 레지스트 재료를 사용하여 형성된다. 레지스트 재료막의 형성 방법으로서는, 예를 들어 액상의 레지스트 재료를 스핀 코팅 등에 의해 도포하는 방법, 필름상(고체상)의 레지스트 재료를 부착하는 방법 등을 들 수 있다. 액상의 레지스트 재료를 도포하는 경우에는, 도포 후에 가열(프리베이크)하여 레지스트 재료 중의 용매를 휘발시킬 수도 있다. 레지스트 재료막의 형성 조건은 레지스트 재료의 성상 및 얻어지는 레지스트 재료막의 두께 등에 따라 적절히 선택된다. 레지스트 재료막의 평균 두께로서는 1㎚ 이상 5,000㎚ 이하가 바람직하고, 10㎚ 이상 1,000㎚ 이하가 보다 바람직하고, 30㎚ 이상 200㎚ 이하가 더욱 바람직하다.The resist material film is formed using the resist material of the present embodiment. Examples of the method for forming the resist material film include a method of applying a liquid resist material by spin coating or the like, a method of adhering a resist material in the form of a film (solid phase), and the like. When a liquid resist material is applied, it may be heated (pre-baked) after application to volatilize the solvent in the resist material. The conditions for forming the resist material film are appropriately selected according to properties of the resist material and the thickness of the obtained resist material film. The average thickness of the resist material film is preferably 1 nm or more and 5,000 nm or less, more preferably 10 nm or more and 1,000 nm or less, and still more preferably 30 nm or more and 200 nm or less.

기판 위에 레지스트 재료막을 형성하기에 앞서, 상기 기판 위에 하층막(반사 방지막, 레지스트 밀착성 개선을 위한 막, 레지스트 형상 개선을 위한 막 등)을 형성할 수도 있다. 반사 방지막을 형성함으로써, 패턴 노광 공정에 있어서 방사선이 기판 등에서 반사하는 것에 의한 정재파의 발생을 억제할 수 있다. 레지스트 밀착성 개선을 위한 막을 형성함으로써, 기판과 레지스트 재료막 사이의 밀착성을 향상시킬 수 있다. 레지스트 형상 개선을 위한 막을 형성함으로써, 현상 후의 레지스트 형상을 더욱 향상시킬 수 있다. 즉, 레지스트의 풋팅(footing) 형상 또는 잘록한 형상을 저감시킬 수 있다. 한편, 일괄 노광의 방사선의 정재파 발생에 의한 레지스트 형상 열화를 방지하기 위하여, 하층막의 두께는 일괄 노광의 방사선의 반사도 억제되도록 설계하는 것이 바람직하다. 하층막은 일괄 노광의 방사선을 흡수하지 않는 막인 것이 바람직하다. 가령, 하층막이 일괄 노광의 방사선을 흡수하는 경우, 하층막으로부터의 에너지 이동 또는 전자 이동에 의해 레지스트 재료막 내에서 방사선 증감 반응이 발생하고, 이에 의해 패턴 미노광부에서 산이 발생할 우려가 있다. 그로 인해, 레지스트 재료막과 하층막 사이에 방사선 증감 반응을 전반하지 않는 버퍼층을 배치하고, 방사선을 흡수한 하층막으로부터의 증감을 방지할 수도 있다.Prior to forming the resist material film on the substrate, a lower layer film (a film for improving the adhesion of the resist, a film for improving the resist shape, etc.) may be formed on the substrate. By forming the antireflection film, it is possible to suppress the generation of standing waves due to the reflection of the radiation on the substrate or the like in the pattern exposure process. By forming a film for improving resist adhesion, adhesion between the substrate and the resist material film can be improved. By forming a film for improving the shape of the resist, the shape of the resist after development can be further improved. That is, the footing shape or constricted shape of the resist can be reduced. On the other hand, in order to prevent the degradation of the resist shape due to the generation of the standing wave of the radiation of the batch exposure, it is preferable that the thickness of the lower layer film is designed so as to suppress the reflection of the radiation of the batch exposure. The lower layer film is preferably a film that does not absorb the radiation of the batch exposure. For example, when the lower layer film absorbs the radiation of the batch exposure, the radiation increase / decrease reaction occurs in the resist material film due to the energy transfer or the electron transfer from the lower layer film, thereby causing a risk of acid generation in the pattern unexposed portion. As a result, a buffer layer that does not carry out the radiation sensitization reaction can be disposed between the resist material film and the lower layer film to prevent the lower layer film from absorbing radiation.

상기 레지스트 재료막 위에 보호막을 더 형성할 수도 있다. 보호막을 형성함으로써 패턴 노광 공정 S3에서 생성하는 감방사선성 증감체, 산 및 이들의 반응 중간체의 실활을 억제하여, 프로세스 안정성을 향상시킬 수 있다. 상기 보호막은 일괄 노광 공정에서의 미노광부에서의 산 발생 반응을 방지하기 위하여, 상기 (a) 혹은 (c) 성분(감방사선성 산 발생제)이 직접 흡수하는 비전리 방사선의 파장의 적어도 일부를 흡수하는 흡수막일 수도 있다. 상기 흡수막을 사용함으로써 EUV 노광 시에 발생하는 자외선 영역의 방사선인 아웃 오브 밴드광(OOB 광)의 레지스트 재료막으로의 진입을 억제하여, 패턴 미노광부에 있어서의 감방사선성 산 발생제 또는 감방사선성 산 발생기의 분해를 방지할 수도 있다. 또한, 상기 흡수막이 직접 레지스트 재료막 위에 형성되는 경우는, 패턴 미노광부에 있어서의 방사선 증감 반응에 의한 레지스트 재료막 중의 산 발생을 억제하기 위하여, 일괄 노광 공정에서의 제2 방사선의 파장으로 보호막으로부터의 방사선 증감 반응을 유발하지 않는 것이 좋다. 또한, 레지스트 재료막 내의 감방사선성 증감체가 보호막으로부터의 에너지 이동 또는 전자 이동 등에 의해 증감되지 않도록, 레지스트 재료막과 보호막의 사이에 버퍼층을 배치하여, 방사선을 흡수한 흡수막으로부터의 증감을 방지할 수도 있다. 패턴 노광 공정 S3 후, 일괄 노광 공정 S4 전에 상기 흡수막을 레지스트 재료막 위에 형성함으로써, 일괄 노광 공정 S4에 있어서의 제2 방사선의 조사에 의해, 패턴 노광 공정 S3 후의 상기 레지스트 재료막에 잔존하는 상기 감방사선성 산 발생제 또는 감방사선성 산 발생기로부터 직접 산이 발생하는 것을 더 억제시킬 수 있다.A protective film may be further formed on the resist material film. By forming a protective film, it is possible to suppress the deactivation of the radiation-sensitive sensitizer, the acid, and the reaction intermediate thereof, which are generated in the pattern exposure step S3, and the process stability can be improved. In order to prevent the acid generation reaction in the unexposed portion in the batch exposure step, the protective film may be formed so that at least a part of the wavelength of the non-ionizing radiation directly absorbed by the component (a) or (c) Absorbing film. It is possible to suppress the entry of the out-of-band light (OOB light), which is the radiation of the ultraviolet region generated during the EUV exposure, into the resist material film and to prevent the outgoing radiation of the radiation- It is possible to prevent the decomposition of the generator. When the absorber film is directly formed on the resist material film, in order to suppress the generation of acid in the resist material film due to the radiation sensitization reaction in the pattern unexposed portion, the wavelength of the second radiation beam in the collective exposure step Of the radiation-sensitive reaction. Further, a buffer layer may be disposed between the resist material film and the protective film so as to prevent the sensitizing radiation absorbing film in the resist material film from increasing or decreasing from the absorbing film that absorbs the radiation, It is possible. After the pattern exposure step S3, the absorbing film is formed on the resist material film before the batch exposure step S4. By the irradiation of the second radiation in the batch exposure step S4, It is possible to further suppress the generation of acid directly from the radiation-sensitive acid generator or the radiation-sensitive acid generator.

(공정 S3: 패턴 노광 공정)(Step S3: pattern exposure process)

패턴 노광 공정 S3에서는 상기 막 형성 공정 S2에서 형성된 레지스트 재료막 위에, 소정의 패턴의 차광 마스크를 배치한다. 그 후, 상기 레지스트 재료막에, 투영 렌즈, 전자 광학계 미러, 또는 반사 미러를 갖는 노광 장치(방사선 조사 모듈)로부터, 상기 마스크를 개재하여 제1 방사선이 조사(패턴 노광)된다.In the pattern exposure step S3, a light shielding mask of a predetermined pattern is arranged on the resist material film formed in the film forming step S2. Thereafter, the resist film is irradiated (pattern exposed) with the first radiation through the mask from an exposure apparatus (radiation irradiation module) having a projection lens, an electro-optical system mirror, or a reflection mirror.

패턴 노광에 사용되는 상기 제1 방사선은 전리 방사선 또는 250㎚ 이하의 파장을 갖는 비전리 방사선이다. 상기 비전리 방사선의 파장 상한으로서는 250㎚이며, 200㎚가 바람직하다. 한편, 상기 비전리 방사선의 파장 하한으로서는 150㎚가 바람직하고, 190㎚가 보다 바람직하다.The first radiation used for pattern exposure is ionizing radiation or a non-ionizing radiation having a wavelength of 250 nm or less. The upper limit of the wavelength of the non-ionizing radiation is 250 nm, preferably 200 nm. On the other hand, the lower limit of the wavelength of the non-ionizing radiation is preferably 150 nm, more preferably 190 nm.

또한, 전리 방사선은 원자 또는 분자를 전리시키는데 충분한 에너지를 갖는 방사선이다. 이에 반하여, 비전리 방사선은 원자 또는 분자를 전리시키는데 충분한 에너지를 갖지 않는 방사선이다. 전리 방사선으로서는, 예를 들어 감마선, 엑스선, 알파선, 중입자선, 양자선, 베타선, 이온빔, 전자선, EUV 등을 들 수 있다. 패턴 노광에 사용하는 전리 방사선으로서는 전자선, EUV 및 이온빔이 바람직하고, 전자선 및 EUV가 보다 바람직하다. 비전리 방사선으로서는 KrF 엑시머 레이저광 및 ArF 엑시머 레이저광 등의 250㎚ 이하의 파장을 갖는 비전리 방사선을 들 수 있다.Further, ionizing radiation is radiation having sufficient energy to ionize atoms or molecules. Non-ionizing radiation, on the other hand, is radiation that does not have enough energy to ionize atoms or molecules. Examples of the ionizing radiation include gamma ray, x-ray, alpha ray, intrapersonal ray, quantum ray, beta ray, ion beam, electron beam and EUV. As the ionizing radiation used for pattern exposure, electron beams, EUV and ion beams are preferable, and electron beams and EUV are more preferable. Examples of non-ionizing radiation include non-ionizing radiation having a wavelength of 250 nm or less such as KrF excimer laser light and ArF excimer laser light.

패턴 노광의 광으로서는, 예를 들어 1keV 내지 200keV의 전자선, 13.5㎚의 파장을 갖는 EUV, 193㎚의 엑시머 레이저광(ArF 엑시머 레이저광), 248㎚의 엑시머 레이저광(KrF 엑시머 레이저광)이 사용되는 경우가 많다. 패턴 노광에 있어서의 노광량은 본 실시 형태의 화학 증폭형 레지스트를 사용하여 일괄 노광하는 경우보다도 적은 노광량으로 좋다. 상기 패턴 노광에 의해 레지스트 재료막 중의 상기 (a) 내지 (c) 성분으로 나타나는 기가 분해되어, 산과 제2 방사선을 흡수하는 감방사선성 증감체를 발생한다.EUV having a wavelength of 13.5 nm, excimer laser beam (ArF excimer laser beam) having a wavelength of 193 nm, and excimer laser beam (KrF excimer laser beam) having a wavelength of 248 nm are used as the light for pattern exposure, for example, . The amount of exposure in the pattern exposure is preferably less than that in the case of using the chemically amplified resist of the present embodiment for batch exposure. By the pattern exposure, the groups represented by the components (a) to (c) in the resist material film are decomposed to generate a radiation-sensitive sensitizer for absorbing the acid and the second radiation.

노광에는 「스캐너」라고 불리는 스탭 앤드 스캔 방식의 노광 장치가 널리 사용된다. 이 방법에서는 마스크와 기판을 동기하면서 스캔 노광함으로써, 1샷마다의 패턴이 형성된다. 이 노광에 의해, 레지스트 내에서 노광된 개소에 선택적인 반응이 일어난다.For exposure, a step-and-scan exposure apparatus called &quot; scanner &quot; is widely used. In this method, a pattern is formed for each shot by scanning exposure while synchronizing the mask and the substrate. By this exposure, a selective reaction occurs at a position exposed in the resist.

또한, 하기 일괄 노광 공정 S4를 실시하기에 앞서, 패턴 노광 후 공정 S3의 상기 레지스트 재료막 위에 상기 (a) 혹은 (c) 성분 중의 감방사선성 산 발생제가 직접 흡수하는 비전리 방사선의 파장의 적어도 일부를 흡수하는 흡수막을 형성할 수도 있다. 흡수막을 형성함으로써, 하기 일괄 노광 공정 S4에 있어서의 제2 방사선의 조사에 의해, 패턴 노광 공정 S3 후의 상기 레지스트 재료막에 잔존하는 상기 감방사선성 산 발생제 또는 감방사선성 산 발생기로부터의 직접적인 산 발생을 더 억제할 수 있다.It is also preferable that at least the wavelength of the non-ionizing radiation absorbed directly by the radiation-sensitive acid generator in the component (a) or the component (c) is applied onto the resist material film in the post- It is also possible to form an absorbing film which absorbs a part. By forming the absorbing film, the second radiation in the following batch exposure step S4 is irradiated with the radiation of the radiation-sensitive acid generator or the direct acid from the radiation-sensitive acid generator remaining in the resist material film after the pattern exposure step S3 Occurrence can be further suppressed.

수소 원자가 치환되어 있지 않은 알코올성 수산기를 갖는 (b) 감방사선성 증감체 발생제를 사용하는 경우, 상기 패턴 노광 공정 S3 후 하기 일괄 노광 공정 S4를 실시할 때까지 동안, 상기 레지스트 재료막을 감압 분위기 또는 질소 혹은 아르곤을 포함하는 불활성 분위기에 두는 것이 바람직하다. 레지스트 재료막을 상기 분위기 하에 둠으로써, 노광 중의 레지스트 재료막의 산소에의 폭로 및 이 산소에 의한 라디칼 반응의 정지를 억제할 수 있고, 또한, 미량의 염기성 화합물에 의한 산의 퀀칭을 억제할 수 있는 점에서, 보다 프로세스를 안정화할 수 있는 경향이 있다. 패턴 노광 공정 S3 후, 일괄 노광 공정 S4를 실시할 때까지의 시간(보관 시간)의 상한으로서는 30분이 바람직하고, 10분이 보다 바람직하다. 보관 시간이 30분 이하임으로써, 감도의 저하를 억제할 수 있는 경향이 있다. 한편, 수소 원자가 치환된 알코올성 수산기를 갖는 (b) 감방사선성 증감체 발생제(즉, 케탈 화합물, 아세탈 화합물 또는 오르토에스테르 화합물 등)를 사용하는 경우, 상기 패턴 노광 공정 S3 후, 하기 일괄 노광 공정 S4를 실시할 때까지 동안, 상기 레지스트 재료막이 존재하는 분위기를 아민 제거 필터로 청정화한 대기 중으로 하는 것이 바람직하다. 상기 (b) 감방사선성 증감체 발생제를 사용하는 경우, 상술한 바와 같은 산소의 영향은 받기 어려우므로 아민 제거 필터로 청정화한 대기 중에서 처리할 수도 있다. 레지스트 재료막을 상기 분위기 하에 둠으로써, 미량의 염기성 화합물에 의한 산의 퀀칭을 억제할 수 있는 점에서, 보다 프로세스를 안정화할 수 있는 경향이 있다. 패턴 노광 공정 S3 후, 일괄 노광 공정 S4를 실시할 때까지의 시간(보관 시간)의 상한으로서는 30분이 바람직하고, 10분이 보다 바람직하다. 보관 시간이 30분 이하임으로써, 감도의 저하를 억제할 수 있는 경향이 있다.(B) a radiation-sensitive sensitizer generator having an alcoholic hydroxyl group that is not substituted with a hydrogen atom is used, the resist material film is exposed to a reduced pressure atmosphere It is preferable to place it in an inert atmosphere containing nitrogen or argon. By placing the resist material film in the above-described atmosphere, it is possible to suppress the exposure of the resist material film to exposure to oxygen during the exposure and the stop of the radical reaction by the oxygen, and to suppress the quenching of the acid by the trace amount of the basic compound The process tends to be more stable. The upper limit of the time (storage time) from the pattern exposure step S3 to the execution of the batch exposure step S4 is preferably 30 minutes, more preferably 10 minutes. When the storage time is 30 minutes or less, a decrease in sensitivity tends to be suppressed. On the other hand, when the (b) radiation-sensitive sensitizer generator having an alcoholic hydroxyl group substituted with a hydrogen atom (i.e., a ketal compound, an acetal compound or an orthoester compound) is used, after the pattern exposure step S3, It is preferable that the atmosphere in which the resist material film is present is made into the air that has been cleaned with an amine removing filter. When the above-mentioned (b) radiation-sensitive sensitizer generator is used, since it is difficult to receive the influence of oxygen as described above, it can be treated in an air cleaned with an amine removing filter. By placing the resist material film in the above-described atmosphere, the quenching of the acid by the trace amount of the basic compound can be suppressed, and the process tends to be further stabilized. The upper limit of the time (storage time) from the pattern exposure step S3 to the execution of the batch exposure step S4 is preferably 30 minutes, more preferably 10 minutes. When the storage time is 30 minutes or less, a decrease in sensitivity tends to be suppressed.

본 실시 형태의 레지스트 패턴 형성 방법은 상기 패턴 노광 공정 S3 후, 하기 일괄 노광 공정 S4 전에, 패턴 노광 공정 S3을 실시하는 노광 장치로부터 일괄 노광 공정 S4를 실시하는 노광 장치에 상기 기판을 반송하는 공정을 더 구비하고 있을 수도 있다. 또한, 일괄 노광을 인라인 접속된 도포 현상 장치 중, 또는 노광기와의 인터페이스에 상당하는 모듈에서 행할 수도 있다. 또한, 상기 (2) 성분이 케탈 화합물, 아세탈 화합물 또는 오르토에스테르 화합물을 포함하는 경우, 본 실시 형태의 레지스트 패턴 형성 방법은 상기 패턴 노광 공정 S3 후, 하기 일괄 노광 공정 S4 전에 베이크 공정 S3a(포스트 패턴 익스포저 베이크(PPEB 또는 PEB)라고 하는 경우도 있음)를 구비하고 있을 수도 있다(도 3 참조). 상기 베이크 공정에서의 가열의 온도로서는 30℃ 이상 150℃ 이하가 바람직하고, 50℃ 이상 120℃ 이하가 보다 바람직하고, 60℃ 이상 100℃ 이하가 더욱 바람직하다. 가열 시간으로서는 5초 이상 3분 이하가 바람직하고, 10초 이상 60초 이하가 보다 바람직하다. 또한 상기 베이크는 습도를 제어한 환경 하에서 행하는 것이 바람직하다. 감방사선성 증감체를 생성하는 탈보호 반응으로서 가수분해 반응을 사용한 경우, 습도가 반응 속도에 영향을 미치기 때문이다. 레지스트 패턴 형성 방법이 상기 베이크 공정 S3a를 구비함으로써, 아세탈 화합물, 오르토에스테르 화합물, 또는 케탈 화합물 등으로부터 카르보닐 화합물에 대한 가수분해 반응에 의한 감방사선성 증감체 발생을 가속할 수 있다.In the resist pattern forming method of the present embodiment, after the pattern exposure step S3, before the following batch exposure step S4, the step of carrying the substrate from the exposure apparatus that performs the pattern exposure step S3 to the exposure apparatus that performs the batch exposure step S4 It may be further equipped. Further, the batch exposure may be performed in a module corresponding to an interface with an exposure device in an inline-connected coating and developing device. When the component (2) comprises a ketal compound, an acetal compound or an orthoester compound, the resist pattern forming method of the present embodiment is characterized in that after the pattern exposure step S 3, before the following batch exposure step S 4, the baking step S 3 a (Sometimes referred to as an exposure bake (PPEB or PEB)) (see Fig. 3). The heating temperature in the baking step is preferably 30 占 폚 to 150 占 폚, more preferably 50 占 폚 to 120 占 폚, and still more preferably 60 占 폚 to 100 占 폚. The heating time is preferably 5 seconds or more and 3 minutes or less, more preferably 10 seconds or more and 60 seconds or less. It is also preferable that the baking is performed under an environment in which humidity is controlled. This is because when the hydrolysis reaction is used as the deprotection reaction to generate the sensitizing radiation sensitizer, the humidity affects the reaction rate. The resist pattern forming method can accelerate the generation of the radiation-sensitive sensitizer due to the hydrolysis reaction of the acetyl compound, the ortho ester compound, the ketal compound and the like to the carbonyl compound by including the baking step S3a.

(공정 S4: 일괄 노광 공정)(Step S4: batch exposure process)

일괄 노광 공정 S4에서는 상기 패턴 노광 공정 S3 후의 레지스트 재료막 전체면(패턴 노광부와 패턴 미노광부를 합한 전체면)에, 투영 렌즈(또는 광원)를 갖는 고감도화 모듈(노광 장치 또는 방사선 조사 모듈이라고 하는 경우도 있음)로부터 제2 방사선이 조사(일괄 노광)된다. 이 일괄 노광으로서는 웨이퍼 전체면을 한번에 노광할 수도 있고, 국소적인 노광을 조합한 것일 수도 있고, 또는 중첩하여 노광할 수도 있다. 일괄 노광용의 광원에는, 일반적인 광원을 사용할 수 있고, 밴드 패스 필터나 컷오프 필터를 통과함으로써, 원하는 파장으로 제어한 수은 램프 및 크세논 램프 등으로부터의 자외선 외에, LED 광원, 레이저 다이오드, 레이저광원 등에 의한 대역이 좁은 자외선일 수도 있다. 상기 일괄 노광에서는 레지스트 재료막 중의 패턴 노광부에서 발생한 감방사선성 증감체만이 방사선을 흡수한다. 이로 인해, 일괄 노광에서는 패턴 노광부에 있어서 선택적으로 방사선의 흡수가 일어난다. 따라서, 일괄 노광 중, 패턴 노광부에 있어서만 산을 계속적으로 발생시킬 수 있어, 감도를 크게 향상시키는 것이 가능해진다. 한편, 패턴 미노광부에는 산이 발생하지 않는 점에서, 레지스트 재료막 중의 화학 콘트라스트를 유지하면서 감도를 향상시킬 수 있다.In the batch exposure step S4, a high-sensitivity module (including an exposure apparatus or a radiation irradiation module) having a projection lens (or a light source) is formed on the entire surface of the resist material film after the pattern exposure step S3 The second radiation is irradiated (collectively exposed). As the batch exposure, the entire surface of the wafer may be exposed at one time, or may be combined with local exposure, or may be overlaid and exposed. A general light source can be used for the light source for collective exposure. By passing through a band-pass filter or a cut-off filter, ultraviolet rays from a mercury lamp and a xenon lamp controlled at a desired wavelength, This may be a narrow ultraviolet ray. In the above-mentioned batch exposure, only the radiation-sensitive sensitizer generated in the pattern exposure portion of the resist material film absorbs the radiation. As a result, in the batch exposure, the radiation is selectively absorbed in the pattern exposure unit. Therefore, during the batch exposure, the acid can be continuously generated only in the pattern exposure section, and the sensitivity can be greatly improved. On the other hand, since no acid is generated in the pattern unexposed portion, the sensitivity can be improved while maintaining the chemical contrast in the resist material film.

일괄 노광에 사용되는 상기 제2 방사선은 상기 제1 방사선에 있어서의 비전리 방사선의 파장보다도 긴 파장을 갖고, 250㎚를 초과하는 파장을 갖는 비전리 방사선이며, 근자외선(파장 250 내지 450㎚)이 바람직하다.The second radiation used for the batch exposure has a wavelength longer than the wavelength of the non-ionizing radiation in the first radiation and is a non-ionizing radiation having a wavelength exceeding 250 nm, and the near ultraviolet (wavelength: 250 to 450 nm) .

일괄 노광 공정 S4에서는 패턴 미노광부에서의 산 발생 반응을 억제하기 위하여, (1) 중합체 성분, 감방사선성 산 발생제, 감방사선성 증감체 발생제가 흡수 가능한 방사선의 파장보다도 긴 파장을 갖는 방사선으로 노광할 필요가 있다. 이들을 고려하면, 일괄 노광에 있어서의 비전리 방사선의 파장 하한으로서는 280㎚가 바람직하고, 320㎚가 보다 바람직하다. 더 긴 파장의 방사선을 흡수 가능한 감방사선성 증감체를 발생시키는 경우, 상기 비전리 방사선의 파장은 350㎚ 이상일 수도 있다. 단, 상기 비전리 방사선의 파장이 지나치게 긴 경우에는, 방사선 증감 반응의 효율이 떨어지기 때문에, 중합체 성분, 감방사선성 산 발생제, 감방사선성 증감체 발생제가 흡수 가능한 방사선의 파장을 피하면서도, 감방사선성 증감체가 흡수 가능한 가능한 한 짧은 파장의 비전리 방사선을 사용하는 것이 바람직하다. 이러한 관점에서, 상기 비전리 방사선의 파장 상한으로서는 450㎚가 바람직하고, 400㎚가 보다 바람직하다.In the batch exposure step S4, in order to suppress the acid generation reaction in the pattern unexposed portion, the polymer component, the radiation-sensitive acid generator, and the radiation-sensitive sensitizer generator are irradiated with radiation having a wavelength longer than that of the radiation capable of absorbing It is necessary to expose it. Taking these into consideration, the lower limit of the wavelength of the non-ionizing radiation in the batch exposure is preferably 280 nm, more preferably 320 nm. In the case of generating a radiation-sensitive sensitizer capable of absorbing radiation of a longer wavelength, the wavelength of the non-ionizing radiation may be 350 nm or more. However, when the wavelength of the non-ionizing radiation is excessively long, the efficiency of the radiation sensitization reaction is lowered, so that the polymer component, the radiation-sensitive acid generator, and the radiation- It is preferable to use non-ionizing radiation having as short a wavelength as possible that can absorb the radiation-sensitive sensitizer. From this viewpoint, the upper limit of the wavelength of the non-ionizing radiation is preferably 450 nm, more preferably 400 nm.

패턴 노광 공정 S3 및/또는 일괄 노광 공정 S4는 액침 리소그래피(액침 노광)에 의해 실시될 수도 있고, 드라이 리소그래피(드라이 노광)에 의해 실시될 수도 있다. 액침 리소그래피란, 레지스트 재료막과 투영 렌즈 사이에 액체를 개재시킨 상태에서 행하는 노광을 의미한다. 이에 대해, 드라이 리소그래피란, 레지스트 재료막과 투영 렌즈 사이에 기체를 개재시킨 상태, 감압 하, 또는 진공 중에서 행하는 노광을 의미한다.The pattern exposure step S3 and / or the batch exposure step S4 may be carried out by immersion lithography (immersion exposure) or by dry lithography (dry exposure). Immersion lithography refers to exposure performed in a state in which a liquid is interposed between a resist material film and a projection lens. On the other hand, the term "dry lithography" refers to exposure carried out in a state in which a gas is interposed between a resist material film and a projection lens, under reduced pressure, or in vacuum.

또한, 패턴 노광 공정 S3 및/또는 일괄 노광 공정 S4에 있어서의 상기 액침 리소그래피는, 상기 막 형성 공정 S2에 있어서 형성한 레지스트 재료막 또는 보호막과 투영 렌즈 사이에 굴절률 1.0 이상의 액체를 개재시킨 상태에서 행할 수도 있다. 상기 보호막은 반사 방지 또는 반응 안정성 향상을 위한 것이 바람직하다. 또한, 상기 보호막은 액체의 침투를 방지하여, 막 표면에 있어서의 발수성을 높여, 액침 노광에 있어서의 액체에 기인하는 결함을 방지 가능한 것이 바람직하다.The immersion lithography in the pattern exposure step S3 and / or the batch exposure step S4 is performed in a state in which a resist material film formed in the film formation step S2 or a liquid having a refractive index of 1.0 or more is interposed between the protective film and the projection lens It is possible. The protective film is preferably for preventing reflection or improving the reaction stability. Further, it is preferable that the protective film prevents penetration of liquid, thereby enhancing water repellency on the surface of the film, and preventing defects due to liquid in immersion exposure.

일괄 노광 공정 S4에 있어서의 상기 액침 리소그래피에서는 상기 액체가 상기 (a) 혹은 (c) 성분(감방사선성 산 발생제)이 직접 흡수하는 제2 방사선의 파장의 적어도 일부를 흡수하는 것일 수도 있다. 상기 액침 리소그래피에 상기 액체를 사용함으로써, 일괄 노광 공정 S4에 있어서의 제2 방사선의 조사에 의해, 패턴 노광 공정 S4 후의 상기 레지스트 재료막에 잔존하는 상기 감방사선성 산 발생제 또는 감방사선성 산 발생기로부터의 직접적인 산 발생을 더 억제할 수 있다.In the immersion lithography in the batch exposure step S4, the liquid may absorb at least part of the wavelength of the second radiation directly absorbed by the component (a) or (c) (radiation-sensitive acid generator). By using the liquid for the immersion lithography, by irradiation of the second radiation in the batch exposure step S4, the radiation-sensitive acid generator or the radiation-sensitive acid generator remaining in the resist material film after the pattern exposure step S4 It is possible to further suppress the direct acid generation from the catalyst.

상기 패턴 노광 공정 S3 및/또는 상기 일괄 노광 공정 S4를 드라이 리소그래피로 실시하는 경우, 대기 중 감압 분위기 하 및 불활성 분위기 하의 어떤 경우에서든 실시할 수 있지만, 감압 분위기 하 또는 질소 혹은 아르곤을 포함하는 불활성 분위기 하에서 실시하는 것이 바람직하고, 또한, 실시 시의 분위기에 있어서의 염기성 화합물 농도의 상한으로서는 20ppb가 바람직하고, 5ppb가 보다 바람직하고, 1ppb가 더욱 바람직하다.In the case where the pattern exposure step S3 and / or the batch exposure step S4 are carried out by dry lithography, it can be carried out under any atmospheric pressure reduced atmosphere or under an inert atmosphere, but in an atmosphere of reduced pressure or an inert atmosphere containing nitrogen or argon , And the upper limit of the basic compound concentration in the atmosphere in the practice is preferably 20 ppb, more preferably 5 ppb, and further preferably 1 ppb.

(공정 S5: 베이크 공정)(Process S5: bake process)

베이크 공정 S5에서는 상기 일괄 노광 공정 S4 후의 레지스트 재료막이 가열(이하, 「포스트 플랫 익스포저 베이크(PFEB)」또는 「포스트 익스포저 베이크(PEB)」라고도 함)된다. 또한, 본 실시 형태의 레지스트 패턴 형성 방법이, 상기 패턴 노광 공정 S3 후 상기 일괄 노광 공정 S4 전에 베이크 공정 S3a를 구비하는 경우, 상기 베이크 공정 S3a를 제1 PEB 공정, 상기 베이크 공정 S5를 제2 PEB 공정이라는 경우가 있다(도 3 참조). 가열 조건으로서는, 예를 들어 대기 중 질소나 아르곤 등의 불활성 가스 분위기 하에서, 50℃ 이상 200℃ 이하, 10초 이상 300초 이하로 할 수 있다. 가열 조건을 상기 범위로 함으로써, 산의 확산을 제어할 수 있고, 또한 반도체 웨이퍼의 처리 속도를 확보할 수 있는 경향이 있다. 베이크 공정 S5에서는 상기 패턴 노광 공정 S3 및 일괄 노광 공정 S4에서 발생한 산에 의해, (1) 중합체 성분의 탈보호 반응 등의 극성 변화 반응 및 가교 반응 등이 일어난다. 또한, 레지스트 재료막 내에 있어서의 방사선의 정재파의 영향에 의해 레지스트 측벽에 파상(波狀) 주름이 생기는 경우가 있지만, 베이크 공정 S5에서는 반응물의 확산에 의해 상기 파상 주름을 저감시킬 수 있다.In the baking step S5, the resist material film after the batch exposure step S4 is heated (hereinafter also referred to as "post flat exposing bake (PFEB)" or "post exposing bake (PEB)"). When the resist pattern forming method of the present embodiment includes the baking step S3a after the pattern exposure step S3 and before the collective exposure step S4, the baking step S3a is referred to as a first PEB step, the baking step S5 is referred to as a second PEB (See Fig. 3). As the heating conditions, for example, the temperature can be set to 50 ° C or more and 200 ° C or less and 10 seconds or more and 300 seconds or less in an atmosphere of inert gas such as nitrogen or argon in the air. By setting the heating conditions within the above ranges, it is possible to control the diffusion of the acid and also to ensure the processing speed of the semiconductor wafer. In the baking step S5, the acid generated in the pattern exposure step S3 and the batch exposure step S4 causes (1) a polarity change reaction such as a deprotection reaction of a polymer component, a crosslinking reaction, and the like. In addition, wave wrinkles may occur on the sidewall of the resist due to the influence of the standing wave of radiation in the resist material film. In the baking step S5, the wrinkles on the surface can be reduced by diffusion of the reactant.

(공정 S6: 현상 공정)(Step S6: development step)

현상 공정 S6에서는 상기 베이크 공정 S5 후의 레지스트 재료막을 현상액에 접촉시킨다. 상기 베이크 공정 S5에 있어서의 레지스트 재료막 내의 반응에 의해, 패턴 노광부에서 선택적으로 현상액에 대한 용해성이 바뀌는 것을 이용하여 현상하여, 레지스트 패턴이 형성된다. 현상액은 포지티브형 현상액과 네거티브형 현상액으로 나눌 수 있다.In the developing step S6, the resist material film after the baking step S5 is brought into contact with the developing solution. By the reaction in the resist material film in the baking step S5, the resist pattern is formed by using the fact that the solubility in the developing solution is selectively changed in the pattern exposure part. The developer can be divided into a positive developer and a negative developer.

포지티브형 현상액으로서는 알칼리 현상액이 바람직하다. 알칼리 현상액은 노광 후의 레지스트 재료막의 극성이 높은 부분을 선택적으로 녹인다. 알칼리 현상액으로서는, 예를 들어 수산화칼륨, 수산화나트륨, 탄산나트륨, 탄산칼륨, 인산나트륨, 규산나트륨, 암모니아, 아민류(에탄올아민 등), 수산화테트라알킬암모늄(TAAH) 등의 알칼리성 화합물의 적어도 1종을 용해한 알칼리성 수용액 등을 들 수 있다. 알칼리 현상액으로서는 TAAH를 용해한 알칼리성 수용액이 바람직하다. TAAH로서는, 예를 들어 수산화테트라메틸암모늄(TMAH), 수산화테트라에틸암모늄, 수산화테트라프로필암모늄, 수산화테트라부틸암모늄, 수산화메틸트리에틸암모늄, 수산화트리메틸에틸암모늄, 수산화디메틸디에틸암모늄, 수산화트리메틸(2-히드록시에틸)암모늄(즉, 콜린), 수산화트리에틸(2-히드록시에틸)암모늄, 수산화디메틸디(2-히드록시에틸)암모늄, 수산화디에틸디(2-히드록시에틸)암모늄, 수산화메틸트리(2-히드록시에틸)암모늄, 수산화에틸트리(2-히드록시에틸)암모늄, 수산화테트라(2-히드록시에틸)암모늄 등을 들 수 있다.The positive developer is preferably an alkaline developer. The alkali developing solution selectively melts the portion of the resist film after exposure with high polarity. Examples of the alkali developing solution include a solution obtained by dissolving at least one kind of alkaline compound such as potassium hydroxide, sodium hydroxide, sodium carbonate, potassium carbonate, sodium phosphate, sodium silicate, ammonia, amines (ethanolamine etc.), tetraalkylammonium hydroxide Alkaline aqueous solution and the like. As the alkaline developer, an alkaline aqueous solution in which TAAH is dissolved is preferable. As TAAH, for example, tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, methyltriethylammonium hydroxide, trimethylethylammonium hydroxide, dimethyldiethylammonium hydroxide, trimethylhydroxide (2-hydroxyethyl) ammonium, hydroxylated (2-hydroxyethyl) ammonium, (i.e., choline), triethyl (2-hydroxyethyl) (2-hydroxyethyl) ammonium hydroxide, ethyl tri (2-hydroxyethyl) ammonium hydroxide, and tetra (2-hydroxyethyl) ammonium hydroxide.

포지티브형 현상액에는 수산화테트라메틸암모늄(TMAH)의 2.38질량% 수용액이 널리 사용되고 있다.A 2.38 mass% aqueous solution of tetramethylammonium hydroxide (TMAH) is widely used as a positive developer.

알칼리 현상에서는 노광 후에 레지스트 재료막 중에서 생성하는 카르복실산이나 수산기가 알칼리 현상액 중에서 이온화되어 녹기 시작하는 현상을 이용하여 패턴이 형성된다. 현상 후에는, 기판 위에 잔류되어 있는 현상액을 제거하기 위하여, 린스라고 불리는 수세 처리가 행하여진다.In the alkali development, a pattern is formed by using a phenomenon in which a carboxylic acid or a hydroxyl group generated in a resist material film after exposure is ionized in an alkaline developer and starts to melt. After the development, a washing process called rinse is performed in order to remove the developer remaining on the substrate.

네거티브형 현상액으로서는 유기 현상액이 바람직하다. 유기 현상액은 노광 후의 레지스트 재료막의 극성이 낮은 부분을 선택적으로 녹인다. 유기 현상액은 홀이나 트렌치(홈) 등의 제거 패턴으로 해상 성능과 프로세스 윈도우를 향상시키기 위하여 사용된다. 이 경우, 레지스트 재료막 중의 용매와 유기 현상액의 친화성의 차이로 패턴 노광부와 패턴 미노광부의 용해 콘트라스트를 얻는다. 극성이 높은 부분은 유기 현상액에 대한 용해성이 낮아, 레지스트 패턴으로서 남는다. 유기 현상액으로서는, 예를 들어 2-옥타논, 2-노나논, 2-헵타논, 3-헵타논, 4-헵타논, 2-헥사논, 3-헥사논, 디이소부틸케톤, 메틸시클로헥사논, 아세토페논, 메틸아세토페논, 아세트산프로필, 아세트산부틸, 아세트산이소부틸, 아세트산아밀, 아세트산부테닐, 아세트산이소아밀, 포름산프로필, 포름산부틸, 포름산이소부틸, 포름산아밀, 포름산이소아밀, 발레르산메틸, 펜텐산메틸, 크로톤산메틸, 크로톤산에틸, 프로피온산메틸, 프로피온산에틸, 3-에톡시프로피온산에틸, 락트산메틸, 락트산에틸, 락트산프로필, 락트산부틸, 락트산이소부틸, 락트산아밀, 락트산이소아밀, 2-히드록시이소부티르산메틸, 2-히드록시이소부티르산에틸, 벤조산메틸, 벤조산에틸, 아세트산페닐, 아세트산벤질, 페닐아세트산메틸, 포름산벤질, 포름산페닐에틸, 3-페닐프로피온산메틸, 프로피온산벤질, 페닐아세트산에틸, 아세트산2-페닐에틸 등을 들 수 있다.As the negative developer, an organic developer is preferable. The organic developer selectively melts the low polarity portion of the resist film after exposure. The organic developer is used to improve the resolution performance and process window by removing patterns such as holes and trenches (grooves). In this case, the dissolution contrast between the pattern exposure portion and the pattern unexposed portion is obtained due to the difference in affinity between the solvent in the resist material film and the organic developer. The high polarity portion has low solubility in the organic developer and remains as a resist pattern. Examples of the organic developer include organic solvents such as 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutylketone, methylcyclohexa Acetic acid isoamyl acetate, isoamyl acetate, propyl formate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, valeryl formate, valerol acetate, isobutyl acetate, Wherein the lactic acid is selected from the group consisting of methyl lactate, methyl lactate, methyl propionate, methyl crotonate, ethyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, Methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, 3-phenylpropionic acid Propionate, benzyl, phenyl ethyl, and the like can be mentioned acetic acid 2-phenylethyl.

현상 공정 S6(린스 처리를 포함함) 후의 레지스트 패턴을 가열(포스트베이크라고 하는 경우도 있음)하기도 한다. 포스트베이크에 의해, 린스 처리 후에 남는 린스액을 기화하여 제거할 수 있어, 레지스트 패턴을 경화시킬 수 있다.The resist pattern after the developing step S6 (including the rinsing treatment) is heated (sometimes referred to as post-baking). By the post-baking, the rinsing liquid remaining after the rinsing process can be vaporized and removed, and the resist pattern can be hardened.

(공정 S7)(Step S7)

공정 S7에서는 상기 현상 공정 S6 후의 레지스트 패턴을 마스크로 하여 하지인 기판이 에칭 또는 이온 주입됨으로써 패턴이 형성된다. 에칭은 플라즈마 여기 등의 분위기 하에서의 건식 에칭일 수도 있고, 약액 중에 침지하는 습식 에칭일 수도 있다. 에칭에 의해 기판에 패턴이 형성된 후, 레지스트 패턴이 제거된다.In step S7, the base substrate is etched or ion-implanted using the resist pattern after the development step S6 as a mask to form a pattern. The etching may be dry etching in an atmosphere of plasma excitation or the like, or may be wet etching to be immersed in a chemical solution. After the pattern is formed on the substrate by etching, the resist pattern is removed.

본 실시 형태의 레지스트 패턴 형성 방법은 상기 패턴 노광 공정 S3 및 상기 일괄 노광 공정 S4를 구비함으로써, 노광 후에 발생하는 산을 패턴 노광된 부분에만 대폭 증가시킬 수 있다.The resist pattern forming method of the present embodiment includes the pattern exposure step S3 and the batch exposure step S4 so that the acid generated after exposure can be greatly increased only in the pattern exposed part.

도 4는 일괄 노광 시의 레지스트 재료막의 패턴 노광부의 흡광도와, 미노광부의 흡광도를 나타내는 그래프이다. 레지스트 재료막의 패턴 노광되어 있지 않은 부분(패턴 미노광부)에서는 비교적 짧은 파장을 갖는 자외선에는 흡수를 나타내지만, 긴 파장을 갖는 자외선에는 흡수를 나타내지 않는다. 한편, 레지스트 재료막의 패턴 노광된 부분(패턴 노광부)에서는 상술한 바와 같이, 산 및 감방사선성 증감체가 발생한다. 발생된 감방사선성 증감체는 250㎚를 초과하는 파장을 갖는 비전리 방사선을 흡수하는 것이며, 비교적 긴 파장을 갖는 자외선에 흡수를 나타내는 것이다. 일괄 노광에서는 패턴 노광과 같이 마스크를 사용하지 않고 레지스트 재료막의 전체면에 대하여 방사선이 조사되지만, 패턴 미노광부에서는 일괄 노광 공정 S4에 있어서의 제2 방사선의 흡수는 적다. 따라서, 일괄 노광 공정 S4에서는 패턴 노광부에 있어서 주로 감방사선성 증감체에 의한 산 발생 기구가 일어난다. 이로 인해, 일괄 노광 중에 패턴 노광부에서만 산을 계속적으로 발생시킬 수 있어, 리소그래피 특성을 유지하면서 감도를 향상시킬 수 있다.4 is a graph showing the absorbance of the pattern exposure unit and the absorbance of the unexposed portion of the resist material film at the time of batch exposure. In the pattern unexposed portion (pattern unexposed portion) of the resist material film, absorption is exhibited in ultraviolet rays having a relatively short wavelength but not in ultraviolet rays having a long wavelength. On the other hand, in the pattern exposed portion (pattern exposure portion) of the resist material film, an acid and a radiation-sensitive sensitizer are generated as described above. The generated radiation-sensitive sensitizer absorbs non-ionizing radiation having a wavelength exceeding 250 nm and shows absorption by ultraviolet rays having a relatively long wavelength. In the batch exposure, the entire surface of the resist material film is irradiated with radiation without using a mask like the pattern exposure. In the pattern unexposed portion, the absorption of the second radiation in the batch exposure step S4 is small. Therefore, in the batch exposure step S4, a mechanism for generating acid mainly by the radiation sensitive property adjuster occurs in the pattern exposure part. Therefore, the acid can be continuously generated only in the pattern exposure portion during the batch exposure, and the sensitivity can be improved while maintaining the lithography characteristics.

도 5의 (a)는 종래의 화학 증폭형 레지스트 재료를 사용한 레지스트 패턴 형성 방법에 의한 산 농도 분포를 그래프로서 나타내는 개념도이다. 도 2와 같이 EUV 등으로 패턴 노광만을 행한 경우, 충분한 산을 발생시킬 수 없어 감도가 낮아진다. 감도를 향상시키기 위하여 노광량을 올리면, 레지스트 패턴의 잠상이 열화(리소그래피특성이 저하)되는 점에서, 감도와 리소그래피 특성의 양립이 곤란하다. 도 5의 (b)는 본 실시 형태에 관한 화학 증폭형 레지스트 재료를 사용한 레지스트 패턴 형성 방법에 의한 감방사선성 증감체 농도 분포 및 산 농도 분포를 그래프로서 나타내는 개념도이다. 패턴 노광으로는 레지스트 패턴의 잠상이 우수하지만 충분한 산이 발생하지 않는다. 그러나, 일괄 노광 후에는 패턴 노광으로 발생한 감방사선성 증감체에 의해 패턴 노광부에서만 산의 양을 증가시킬 수 있어, 레지스트 패턴의 우수한 잠상을 유지하면서 적은 노광량으로 감도를 향상시킬 수 있다. 일괄 노광 시의 감방사선성 증감체에 의한 산 발생 기구는 실온에서 일어나기 때문에, 산 발생 시의 잠상의 번짐이 적어, 해상도를 유지한 채 대폭적인 고감도화가 가능해진다.FIG. 5A is a conceptual diagram showing a graph of an acid concentration distribution by a resist pattern forming method using a conventional chemically amplified resist material. FIG. As shown in Fig. 2, when only pattern exposure is performed with EUV or the like, sufficient acid can not be generated and sensitivity is lowered. When the exposure dose is increased to improve the sensitivity, the latent image of the resist pattern is deteriorated (the lithography characteristic is lowered), making it difficult to achieve both sensitivity and lithography characteristics. FIG. 5B is a conceptual diagram showing a radiation-sensitive sensitizer concentration distribution and an acid concentration distribution by a resist pattern forming method using the chemically amplified resist material according to the present embodiment as a graph. FIG. As the pattern exposure, the latent image of the resist pattern is excellent, but sufficient acid is not generated. However, after the batch exposure, the amount of the acid can be increased only in the pattern exposure portion by the radiation-sensitive sensitizer generated by the pattern exposure, and the sensitivity can be improved with a small exposure amount while maintaining a good latent image of the resist pattern. Since the acid generating mechanism by the sensitizing radiation sensitizer at the time of batch exposure occurs at room temperature, the blurring of the latent image at the time of acid generation is small, and the sensitivity can be remarkably increased while maintaining the resolution.

<반도체 디바이스><Semiconductor device>

본 실시 형태에 관한 반도체 디바이스는 상기 방법에 의해 형성된 레지스트 패턴을 사용하여 제조된다. 도 6은 본 실시 형태의 반도체 디바이스의 제조 공정의 일례를 나타낸 단면도이다.The semiconductor device according to the present embodiment is manufactured using a resist pattern formed by the above method. 6 is a cross-sectional view showing an example of a manufacturing process of the semiconductor device of the present embodiment.

도 6의 (a)는 레지스트 패턴 형성 공정을 도시하는 단면도이며, 반도체 웨이퍼(1)와, 상기 반도체 웨이퍼(1) 위에 형성된 피에칭막(3)과, 상기 레지스트 패턴 형성 방법에 의해 상기 피에칭막(3) 위에 형성된 레지스트 패턴(2)의 단면도이다(현상 공정 S6 종료 후에 상당). 피에칭막으로서는, 예를 들어 액티브 레이어, 하층 절연막, 게이트 전극막, 상층 절연막 등을 들 수 있다. 피에칭막(3)과 레지스트 패턴(2) 사이에는 반사 방지막, 레지스트 밀착성 개선을 위한 하층막, 레지스트 형상 개선을 위한 하층막이 형성되어 있을 수도 있다. 또한, 다층 마스크 구조를 채용할 수도 있다. 도 6의 (b)는 에칭 공정을 도시하는 단면도이며, 반도체 웨이퍼(1)와, 레지스트 패턴(2)과, 레지스트 패턴(2)을 마스크로 하여 에칭된 피에칭막(3)의 단면도이다. 피에칭막(3)이 레지스트 패턴(2)의 개구부의 형상을 따라 에칭되어 있다. 도 6의 (c)는 반도체 웨이퍼(1)와, 레지스트 패턴(2)이 제거된 후의 에칭된 피에칭막(3)의 패턴을 구비하는 패턴 기판(10)의 단면도이다.6A is a cross-sectional view showing the step of forming a resist pattern, and FIG. 6A is a cross-sectional view of a semiconductor wafer 1, an etched film 3 formed on the semiconductor wafer 1, Sectional view of the resist pattern 2 formed on the film 3 (equivalent to the end of the developing step S6). Examples of the etched film include an active layer, a lower insulating film, a gate electrode film, and an upper insulating film. Between the etched film 3 and the resist pattern 2, an antireflection film, a lower layer film for improving resist adhesion, and a lower layer film for improving the resist shape may be formed. Further, a multilayer mask structure may be employed. 6B is a cross-sectional view showing an etching process and is a sectional view of a semiconductor wafer 1, a resist pattern 2 and an etched film 3 etched using the resist pattern 2 as a mask. The etched film 3 is etched along the shape of the opening of the resist pattern 2. [ 6C is a cross-sectional view of a patterned substrate 10 having a pattern of a semiconductor wafer 1 and an etched film 3 etched after the resist pattern 2 is removed.

이 피에칭막(3)의 패턴을 구비하는 기판을 사용하여, 반도체 디바이스를 형성할 수 있다. 이 형성 방법으로서는, 예를 들어 레지스트 패턴(2)이 제거된 피에칭막(3)의 패턴 사이에 배선을 매립하고, 또한 디바이스 소자를 기판 위에 적층하는 방법 등을 들 수 있다.A semiconductor device can be formed using a substrate having the pattern of the etched film 3. As the forming method, for example, a method of embedding wiring between patterns of the etched film 3 from which the resist pattern 2 is removed and laminating a device element on the substrate can be given.

<리소그래피용 마스크>&Lt; Mask for lithography >

본 실시 형태에 관한 리소그래피용 마스크는 상기 방법에 의해 형성된 레지스트 패턴을 사용하여, 기판을 가공하여 제조된다. 이 제조 방법으로서는, 예를 들어 유리 기판 표면 또는 유리 기판 표면에 형성된 하드 마스크를, 레지스트 패턴을 사용하여 에칭하는 방법을 들 수 있다. 여기서, 리소그래피용 마스크에는, 자외선 또는 전자선을 사용한 투과형 마스크, EUV광을 사용한 반사형 마스크 등이 포함된다. 리소그래피용 마스크가 투과형 마스크인 경우, 차광부 또는 위상 시프트부를 레지스트 패턴으로 마스크하고, 에칭으로 가공함으로써 제조할 수 있다. 또한, 리소그래피용 마스크가 반사형의 마스크인 경우, 레지스트 패턴을 마스크로 하고, 에칭으로 흡광체를 가공함으로써 제조할 수 있다.The lithography mask according to the present embodiment is manufactured by processing a substrate using a resist pattern formed by the above method. Examples of the manufacturing method include a method of etching a hard mask formed on the surface of a glass substrate or a surface of a glass substrate using a resist pattern. Here, the lithography mask includes a transmissive mask using ultraviolet rays or electron beams, a reflective mask using EUV light, and the like. When the mask for lithography is a transmissive mask, it can be manufactured by masking the light shielding portion or the phase shift portion with a resist pattern and etching the mask. When the lithography mask is a reflection type mask, it can be produced by processing the light absorbing body by etching using the resist pattern as a mask.

<나노임프린트용 템플레이트><Template for Nanoimprint>

본 실시 형태에 관한 나노임프린트용 템플레이트도, 상기 방법에 의해 형성된 레지스트 패턴을 사용하여 제조할 수 있다. 이 제조 방법으로서는, 예를 들어 유리 기판 표면 또는 유리 기판 표면에 형성된 하드 마스크 표면에 레지스트 패턴을 형성하고, 에칭으로 가공하는 방법 등을 들 수 있다.A template for a nanoimprint according to the present embodiment can also be produced using a resist pattern formed by the above method. Examples of the manufacturing method include a method in which a resist pattern is formed on the surface of a hard mask formed on the surface of a glass substrate or a surface of a glass substrate and then processed by etching.

<실시예><Examples>

이하, 본 발명을 실시예에 기초하여 구체적으로 설명하지만, 본 발명은 이들 실시예에 한정되는 것은 아니다. 본 실시예에 있어서의 물성값의 측정 방법을 이하에 기재한다.Hereinafter, the present invention will be described concretely with reference to examples, but the present invention is not limited to these examples. A method of measuring the physical property values in this embodiment will be described below.

[중량 평균 분자량(Mw) 및 수 평균 분자량(Mn)][Weight average molecular weight (Mw) and number average molecular weight (Mn)] [

중합체의 Mw 및 Mn은 GPC 칼럼(G2000HXL 2개, G3000HXL 1개, G4000HXL 1개, 이상 도소사)을 사용하여, 유량 1.0mL/분, 용출 용매 테트라히드로푸란, 시료 농도 1.0질량%, 시료 주입량 100μL, 칼럼 온도 40℃의 분석 조건에서, 검출기로서 시차 굴절계를 사용하여, 단분산 폴리스티렌을 표준으로 하는 겔 투과 크로마토그래피(GPC)에 의해 측정했다.The Mw and Mn of the polymer were determined by using a GPC column (G2000HXL, G3000HXL, G4000HXL, G4000HXL, and one or more resins) at a flow rate of 1.0 mL / min, an eluting solvent of tetrahydrofuran, a sample concentration of 1.0% , And a column temperature of 40 占 폚, using a differential refractometer as a detector, by gel permeation chromatography (GPC) using monodispersed polystyrene as a standard.

[13C-NMR 분석][ 13 C-NMR analysis]

중합체의 구조 단위의 함유 비율을 구하기 위한 13C-NMR 분석은 핵자기 공명 장치(니혼덴시사의 「JNM-ECX400」)를 사용하고, 측정 용매로서 CDCl3을 사용하여, 테트라메틸실란(TMS)을 내부 표준으로 하여 행했다. 13 C-NMR analysis for determining the content of the structural unit of the polymer is used for ( "JNM-ECX400" of Nihon Denshi) nuclear magnetic resonance apparatus, using CDCl 3 as a measuring solvent, and tetramethylsilane (TMS) As an internal standard.

<[A] 중합체의 합성><Synthesis of [A] Polymer>

(1) [A] 중합체의 합성에 사용한 단량체를 이하에 나타낸다.(1) Monomers used for synthesis of [A] polymer are shown below.

Figure pat00065
Figure pat00065

또한, 상기 화합물 (M-1)은 구조 단위 (I)을, 화합물 (M-2)는 구조 단위 (Ⅳ)를, (M-3)은 구조 단위 (Ⅲ)을, 화합물 (M-4)는 구조 단위 (Ⅱ)를 각각 부여한다.(M-2), (M-3), (M-4) and (M-4) (II), respectively.

[합성예 1](중합체 (A-1)의 합성)[Synthesis Example 1] (Synthesis of polymer (A-1)

상기 화합물 (M-2) 55g(50몰%), 상기 화합물 (M-1) 45g(50몰%) 및 아조비스이소부티로니트릴(AIBN) 3g을, 메틸에틸케톤 300g에 용해한 후, 질소 분위기 하에서, 반응 온도를 78℃로 유지하고, 6시간 중합시켰다. 중합 후, 반응 용액을 2,000g의 메탄올 중에 적하하여, 중합체를 응고시켰다. 계속해서, 이 중합체를 300g의 메탄올로 2회 세정하고, 얻어진 백색 분말을 여과하고, 감압 하 50℃에서 밤새 건조하여, [A] 중합체로서의 중합체 (A-1)을 얻었다. 중합체 (A-1)은 Mw가 7,000, Mw/Mn이 2.10이었다. 또한, 13C-NMR 분석의 결과, 화합물 (M-1) 및 화합물 (M-2)에서 유래하는 각 구조 단위의 함유 비율은 각각 52몰% 및 48몰%이었다.After dissolving 55 g (50 mol%) of the compound (M-2), 45 g (50 mol%) of the compound (M-1) and 3 g of azobisisobutyronitrile (AIBN) in 300 g of methyl ethyl ketone, , The polymerization was carried out for 6 hours while maintaining the reaction temperature at 78 占 폚. After the polymerization, the reaction solution was added dropwise to 2,000 g of methanol to solidify the polymer. Subsequently, this polymer was washed twice with 300 g of methanol, and the obtained white powder was filtered and dried overnight at 50 캜 under reduced pressure to obtain a polymer (A-1) as a polymer [A]. The polymer (A-1) had Mw of 7,000 and Mw / Mn of 2.10. As a result of 13 C-NMR analysis, the content ratios of the respective structural units derived from the compound (M-1) and the compound (M-2) were 52 mol% and 48 mol%, respectively.

[합성예 2](중합체 (A-2)의 합성)[Synthesis Example 2] (Synthesis of polymer (A-2)) [

상기 화합물 (M-3) 55g(58몰%), 상기 화합물 (M-1) 45g(42몰%), AIBN 3g 및 t-도데실머캅탄 1g을, 프로필렌글리콜모노메틸에테르 150g에 용해한 후, 질소 분위기 하에서, 반응 온도를 70℃로 유지하고, 16시간 중합시켰다. 중합 후, 반응 용액을 1,000g의 n-헥산 중에 적하하여, 중합체를 응고 정제했다. 계속해서, 이 중합체에, 다시 프로필렌글리콜모노메틸에테르 150g을 첨가한 후, 메탄올 150g, 트리에틸아민 37g 및 물 7g을 더 추가하고, 비점에서 환류시키면서, 8시간 가수분해 반응을 행하여, (M-3)에서 유래하는 구조 단위의 탈아세틸화를 행했다. 반응 후, 용매 및 트리에틸아민을 감압 증류 제거하고, 얻어진 중합체를 아세톤 150g에 용해한 후, 2,000g의 수중에 적하하여 응고시키고, 생성된 백색 분말을 여과하고, 감압 하 50℃에서 밤새 건조하여, [A] 중합체로서의 중합체 (A-2)를 얻었다. 중합체 (A-2)는 Mw가 6,000, Mw/Mn이 1.90이었다. 또한, 13C-NMR 분석의 결과, (M-3)에서 유래하는 구조 단위의 탈아세틸화에 의해 얻어진 p-히드록시스티렌 구조 단위 및 화합물 (M-1)에서 유래하는 구조 단위의 함유 비율은 각각 50몰% 및 50몰%이었다.After dissolving 55 g (58 mol%) of the compound (M-3), 45 g (42 mol%) of the compound (M-1), 3 g of AIBN and 1 g of t-dodecylmercaptan in 150 g of propylene glycol monomethyl ether, Under the atmosphere, the reaction temperature was maintained at 70 占 폚 and polymerization was carried out for 16 hours. After the polymerization, the reaction solution was added dropwise to 1,000 g of n-hexane to coagulate and purify the polymer. Subsequently, 150 g of propylene glycol monomethyl ether was further added to the polymer, and then 150 g of methanol, 37 g of triethylamine and 7 g of water were further added, and hydrolysis was carried out for 8 hours while refluxing from the boiling point to obtain (M- 3) was deacetylated. After the reaction, the solvent and triethylamine were distilled off under reduced pressure, and the obtained polymer was dissolved in 150 g of acetone. The resulting polymer was added dropwise to 2,000 g of water and solidified. The resulting white powder was filtered and dried overnight at 50 캜 under reduced pressure, To thereby obtain a polymer (A-2) as the polymer [A]. The polymer (A-2) had Mw of 6,000 and Mw / Mn of 1.90. As a result of 13 C-NMR analysis, the content ratio of the structural unit derived from the p-hydroxystyrene structural unit and the compound (M-1) obtained by deacetylation of the structural unit derived from (M-3) 50 mol% and 50 mol%, respectively.

[합성예 3](중합체 (A-3)의 합성)[Synthesis Example 3] (Synthesis of polymer (A-3)

화합물 (M-1) 6.99g(40몰%), 화합물 (M-3) 6.22g(40몰%) 및 화합물 (M-4) 6.79g(20몰%)을 프로필렌글리콜모노메틸에테르 40g에 용해하고, 라디칼 중합 개시제로서의 AIBN 0.79g(화합물의 합계 몰수에 대하여 5몰%)을 용해시켜 단량체 용액을 제조했다. 100mL의 삼구 플라스크에 20g의 프로필렌글리콜모노메틸에테르를 투입하고, 30분 질소 퍼지한 후, 반응 가마를 교반하면서 80℃로 가열했다. 거기에, 상기 제조된 단량체 용액을 3시간에 걸쳐 적하하고, 또한 3시간 숙성했다. 중합 종료 후, 중합 반응액을 수냉하여 30℃ 이하로 냉각했다. 이 중합 반응액을 400g의 헥산 중에 투입하여, 석출된 고형분을 여과 분별했다. 여과 분별된 고형분을 80g의 헥산으로 2회 세정한 후, 재차 여과 분별하고, 50℃에서 17시간 건조시켰다. 프로필렌글리콜모노메틸에테르 20g을 넣은 100mL의 가지 플라스크에 이 고형분을 투입하고, 용해시켰다. 또한, 트리에틸아민 3.49g, 순수 0.56g을 추가하여 80℃로 가열하고, 6시간 반응시켜 가수분해했다. 가수분해 종료 후, 반응액을 수냉하여 30℃ 이하로 냉각했다. 이 반응액을 400g의 헥산 중에 투입하여, 석출된 고형분을 여과 분별했다. 여과 분별된 고형분을 80g의 헥산으로 2회 세정한 후, 재차 여과 분별하여, 50℃에서 17시간 건조시켜 중합체 (A-3)을 12.2g(수율 61%) 얻었다. 중합체 (A-3)의 Mw는 7,500, Mw/Mn은 1.52이었다. 13C-NMR 분석의 결과, (M-1)에서 유래하는 구조 단위, (M-3)에서 유래하는 구조 단위의 탈아세틸화에 의해 얻어진 p-히드록시스티렌 구조 단위 및 (M-4)에서 유래하는 각 구조 단위의 함유 비율은 각각 40몰%, 40몰% 및 20몰%이었다. 표 1에, 얻어진 중합체 (A-1) 내지 (A-3)의 Mw, Mw/Mn 및 각 구조 단위 함유 비율에 대하여 나타낸다.6.79 g (20 mol%) of compound (M-1) were dissolved in 40 g of propylene glycol monomethyl ether, 6.99 g (40 mol%) of compound (M- And 0.79 g (5 mol% based on the total molar amount of the compound) of AIBN as a radical polymerization initiator was dissolved to prepare a monomer solution. 20 g of propylene glycol monomethyl ether was added to a 100 mL three-necked flask, and the mixture was purged with nitrogen for 30 minutes, and then the reaction flask was heated to 80 DEG C with stirring. The resulting monomer solution was added dropwise over 3 hours and aged for 3 hours. After completion of the polymerization, the polymerization reaction solution was cooled to 30 캜 or lower by water-cooling. This polymerization reaction solution was poured into 400 g of hexane, and the precipitated solid was separated by filtration. The solid matter separated by filtration was washed twice with 80 g of hexane, filtered again, and dried at 50 DEG C for 17 hours. This solid component was added to a 100 mL branched flask containing 20 g of propylene glycol monomethyl ether and dissolved. Further, 3.49 g of triethylamine and 0.56 g of pure water were added, and the mixture was heated to 80 DEG C and reacted for 6 hours to hydrolyze. After completion of the hydrolysis, the reaction solution was cooled with water to 30 캜 or lower. The reaction solution was poured into 400 g of hexane, and the precipitated solid was separated by filtration. The solid matter separated by filtration was washed twice with 80 g of hexane, filtered again, and dried at 50 캜 for 17 hours to obtain 12.2 g (61%) of polymer (A-3). The polymer (A-3) had an Mw of 7,500 and an Mw / Mn of 1.52. 13 C-NMR results of the analysis, in the (M-1) structural unit, (M-3) p- hydroxystyrene structural unit, and (M-4) obtained by the deacetylation of the structural unit derived from derived from The content ratio of each structural unit derived was 40 mol%, 40 mol% and 20 mol%, respectively. Table 1 shows the Mw, Mw / Mn and ratio of each structural unit in the obtained polymers (A-1) to (A-3).

Figure pat00066
Figure pat00066

*표 중의 M-3의 구조 단위 함유 비율은 M-3에서 유래하는 구조 단위를 탈아세틸화함으로써 얻어진 p-히드록시스티렌 구조 단위로서의 함유 비율을 나타낸다.* The structural unit content ratio of M-3 in the table indicates the content ratio as a p-hydroxystyrene structural unit obtained by deacetylating a structural unit derived from M-3.

<(2) 노광에 의해 감방사선성 증감체와 산을 발생시키는 성분>&Lt; (2) Components generating radiation-sensitive sensitizer and acid by exposure >

[(b) 감방사선성 증감체 발생제][(b) Radiation-sensitive sensitizer generating agent]

(b) 감방사선성 증감체 발생제로서는 이하의 화합물을 사용했다.(b) The following compounds were used as the sensitizing radiation sensitizer generating agent.

B-1: 하기 식 (B-1)로 표시되는 화합물B-1: a compound represented by the following formula (B-1)

B-2: 하기 식 (B-2)로 표시되는 화합물B-2: A compound represented by the following formula (B-2)

Figure pat00067
Figure pat00067

[(b) 성분의 흡광도 측정][Absorbance measurement of component (b)] [

표 2에, (b) 성분 및 이 (b) 성분에서 유래하는 증감제를 함께 나타낸다. 또한, 이들 (b) 성분 및 (b) 성분에서 유래하는 증감제를, 각각 0.0001질량%의 시클로헥산 용액이 되도록 제조했다. 이 제조 용액에 대하여, 시클로헥산을 참조 용매로 하여 분광 광도계(니혼분코사의 「V-670」)를 사용하여 흡광도를 측정했다.In Table 2, the component (b) and the sensitizer derived from the component (b) are shown together. Further, the sensitizer derived from the component (b) and the component (b) was prepared so as to be a cyclohexane solution of 0.0001 mass%, respectively. The absorbance of this production solution was measured using a spectrophotometer ("V-670" manufactured by Nihon Bunko Co., Ltd.) using cyclohexane as a reference solvent.

상기 흡광도는 파장 250㎚ 이상 600㎚ 이하의 각 파장에 있어서, 측정 용액의 흡광도로부터 참조 용매의 흡광도를 차감함으로써 구했다. 파장 300㎚ 이상 450㎚ 이하의 전체 파장 영역에서의 흡광도의 측정값이 0.01 미만인 경우는 「투명」이라고 평가하고, 상기 전체 파장 영역에서 흡광도가 0.01 이상이 되는 파장이 조금이라도 있는 경우를 「흡수 있음」이라고 평가했다. 상기 평가 결과를 하기 표 3에 나타낸다. 또한, 흡광 분석의 측정에 사용한 용매인 시클로헥산의 투과율은 파장 250㎚ 이상 600㎚ 이하의 각 파장 영역 모두에 있어서 95% 이상인 것을 확인했다.The absorbance was obtained by subtracting the absorbance of the reference solvent from the absorbance of the measurement solution at each wavelength of 250 nm to 600 nm. When the measured value of the absorbance in the entire wavelength range of 300 nm or more and 450 nm or less is less than 0.01, it is evaluated as &quot; transparent &quot;, and when there is a slight difference in the wavelength in which the absorbance is 0.01 or more in the whole wavelength range, " The evaluation results are shown in Table 3 below. Also, it was confirmed that the transmittance of cyclohexane, which is a solvent used for the measurement of the absorption analysis, was 95% or more in all wavelength regions of 250 nm to 600 nm.

Figure pat00068
Figure pat00068

Figure pat00069
Figure pat00069

[(c) 감방사선성 산 발생제][(c) Radiation-sensitive acid generator]

(c) 감방사선성 산 발생제로서, 이하의 [C1] 화합물(제1 화합물) 및 [C2] 화합물(제2 화합물)을 사용했다.(c) the following [C1] compound (first compound) and [C2] compound (second compound) were used as the radiation-sensitive acid generator.

(산 발생 화합물)(Acid generating compound)

본 실시예로서는 [C1] 화합물(제1 화합물) 및 [C2] 화합물(제2 화합물) 중 발생하는 산의 pKa가 작은 쪽의 화합물인 이하의 [C1] 화합물을 산 발생 화합물로서 사용했다.In the present embodiment, the following [C1] compound, which is a compound having a smaller pKa of an acid generated in the [C1] compound (the first compound) and the [C2] compound (the second compound), was used as the acid generating compound.

C-1-1: 하기 식 (C-1-1)로 표시되는 화합물C-1-1: A compound represented by the following formula (C-1-1)

C-1-2: 하기 식 (C-1-2)로 표시되는 화합물C-1-2: A compound represented by the following formula (C-1-2)

C-1-3: 하기 식 (C-1-3)으로 표시되는 화합물C-1-3: A compound represented by the following formula (C-1-3)

C-1-4: 하기 식 (C-1-4)로 표시되는 화합물C-1-4: A compound represented by the following formula (C-1-4)

Figure pat00070
Figure pat00070

Figure pat00071
Figure pat00071

(산 발생 화합물([C1] 화합물)로부터 발생하는 산의 pKa)(PKa of an acid generated from an acid generating compound ([C1] compound)

상기 화합물 (C-1-1) 내지 (C-1-4)에 대하여, 이들 화합물로부터 발생한 산의 pKa(산의 산 해리 상수의 역수의 대수값)에 대하여 표 4에 나타낸다.Table 4 shows the pKa (the logarithm value of the reciprocal of the acid dissociation constant of the acid) of the acid generated from these compounds with respect to the compounds (C-1-1) to (C-1-4).

(산 발생 화합물([C1] 화합물) 양이온이 라디칼로 환원될 때에 방출하는 에너지)(The energy that the acid generating compound ([C1] compound) releases when the cation is reduced to a radical)

또한, 상기 화합물 (C-1-1) 내지 (C-1-4)에 대하여, 이들 화합물에 있어서의 양이온이 라디칼로 환원될 때에 방출하는 에너지의 계산 결과에 대하여 표 4에 나타낸다. 여기서, 상기 에너지는 각 양이온과 라디칼의 구조 최적화를 실시 후에, B3LYP/LANL2DZ법으로 각 물질의 에너지 준위를 구하여, 양이온과 라디칼의 에너지차로부터 산출했다.Table 4 shows calculation results of the energy released when the cations in the compounds are reduced to radicals with respect to the compounds (C-1-1) to (C-1-4). Here, the energy was calculated from the energy difference between the positive ion and the radical, by calculating the energy level of each material by the B3LYP / LANL2DZ method after optimizing the structure of each cation and the radical.

Figure pat00072
Figure pat00072

(산 확산 제어제)(Acid diffusion control agent)

본 실시예로서는 [C1] 화합물(제1 화합물) 및 [C2] 화합물(제2 화합물) 중 발생하는 산의 pKa가 큰 쪽의 화합물인 이하의 [C2] 화합물(C-2-1 및 C-2-4)을 산 확산 제어제로서 사용했다. 또한 비교예의 산 확산제 제어제로서 C-2-1 내지 C-2-5를 사용했다.As the present embodiment, the following [C2] compounds (C-2-1 and C-2) which are compounds having a higher pKa of the acid generated in the [C1] compound (first compound) and the [C2] -4) was used as an acid diffusion controlling agent. C-2-1 to C-2-5 were used as the acid diffusion control agent of the comparative example.

C-2-1: 하기 식 (C-2-1)로 표시되는 화합물(감방사선성을 가짐)C-2-1: A compound represented by the following formula (C-2-1) (having a radiation-sensitive property)

C-2-2: 하기 식 (C-2-2)로 표시되는 화합물(감방사선성을 갖지 않음)C-2-2: A compound represented by the following formula (C-2-2) (having no radiation-sensitive property)

C-2-3: 하기 식 (C-2-3)으로 표시되는 화합물(감방사선성을 가짐)C-2-3: A compound represented by the following formula (C-2-3) (having a radiation-sensitive property)

C-2-4: 하기 식 (C-2-4)로 표시되는 화합물(감방사선성을 가짐)C-2-4: A compound represented by the following formula (C-2-4) (having a radiation-sensitive property)

C-2-5: 하기 식 (C-2-5)로 표시되는 화합물(감방사선성을 가짐)C-2-5: A compound represented by the following formula (C-2-5) (having a radiation-sensitive property)

Figure pat00073
Figure pat00073

Figure pat00074
Figure pat00074

(산 확산 제어제([C2] 화합물)로부터 발생하는 산의 pKa)(PKa of the acid generated from the acid diffusion control agent ([C2] compound)

상기 화합물 (C-2-1), (C-2-3), (C-2-4) 및 (C-2-5)의 감방사선성을 갖는 산 확산 제어제에 대하여, 이들 화합물로부터 발생한 산(음이온부)의 pKa(산의 산 해리 상수의 역수의 대수값)에 대하여 표 5에 나타낸다.(C-2-1), (C-2-3), (C-2-4) and (C-2-5) The pKa (the logarithm value of the reciprocal of the acid dissociation constant of the acid) of the acid (anion moiety) is shown in Table 5.

(산 확산 제어제([C2] 화합물)에 있어서의 양이온이 라디칼로 환원될 때에 방출하는 에너지)(The energy released when the cation in the acid diffusion control agent ([C2] compound) is reduced to a radical)

또한, 상기 화합물 (C-2-1), (C-2-3), (C-2-4) 및 (C-2-5)의 감방사선성을 갖는 산 확산 제어제에 대하여, 이들 화합물에 있어서의 양이온이 라디칼로 환원될 때에 방출하는 에너지의 계산 결과에 대하여 표 5에 나타낸다. 여기서, 상기 에너지는 각 양이온과 라디칼의 구조 최적화를 실시 후에, B3LYP/LANL2DZ법으로 각 물질의 에너지 준위를 구하여, 양이온과 라디칼의 에너지차로부터 산출했다.The acid diffusion control agent having the radiation-sensitive properties of the above-mentioned compounds (C-2-1), (C-2-3), (C- 2-4) Table 5 shows the results of calculation of the energy released when the cation in the sample is reduced to the radical. Here, the energy was calculated from the energy difference between the positive ion and the radical, by calculating the energy level of each material by the B3LYP / LANL2DZ method after optimizing the structure of each cation and the radical.

Figure pat00075
Figure pat00075

(용매)(menstruum)

G-1: 아세트산프로필렌글리콜모노메틸에테르G-1: Propylene glycol monomethyl ether acetate

G-2: 락트산에틸G-2: Ethyl lactate

[실시예 1][Example 1]

[A] 중합체 (A-1) 100질량부, (b) 감방사선성 증감체 발생제 (B-1) 5질량부, (c) 감방사선성 산 발생제로서 [C1] 화합물 (C-1-1) 15질량부 및 [C2] 화합물 (C-2-1) 5.0질량부 및 용매 (G-1) 4,300질량부 및 (G-2) 1,900질량부를 혼합했다. 이어서, 얻어진 혼합액을 구멍 직경 0.20㎛의 멤브레인 필터로 여과하여, 화학 증폭형 레지스트 재료 (R-1)을 제조했다.(C1) compound (C-1) as a radiation-sensitive acid generator, 100 parts by mass of the polymer (A-1), (b) 5 parts by mass of the radiation- -1) and 5.0 parts by mass of the [C2] compound (C-2-1), 4,300 parts by mass of the solvent (G-1) and 1,900 parts by mass of the (G-2) were mixed. Subsequently, the obtained mixed solution was filtered with a membrane filter having a pore diameter of 0.20 mu m to prepare a chemically amplified resist material (R-1).

[실시예 2 내지 3 및 비교예 1 내지 10][Examples 2 to 3 and Comparative Examples 1 to 10]

표 6에 나타내는 종류 및 배합량의 각 성분을 사용한 것 이외는 실시예 1과 마찬가지로 조작하여 화학 증폭형 레지스트 재료 (R-2) 내지 (R-13)을 제조했다. 표 중의 「-」은 해당하는 성분을 첨가하지 않은 것을 나타낸다.Chemically amplified resist materials (R-2) to (R-13) were produced in the same manner as in Example 1 except that each component of the type and compounding amount shown in Table 6 was used. "-" in the table indicates that the corresponding component is not added.

Figure pat00076
Figure pat00076

<레지스트 패턴의 형성>&Lt; Formation of resist pattern &

도쿄 일렉트론사의 「클린 트랙 ACT-8」 내에서, 실리콘 웨이퍼 위에 상기 조정된 화학 증폭형 레지스트 재료를 스핀 코팅한 후, 110℃, 60초의 조건에서 PB를 행하여, 평균 두께 50㎚의 레지스트 재료막을 형성했다. 이어서, 이 레지스트 재료막에, 간이형의 전자선 묘화 장치(히타치 세이사쿠쇼사의 「HL800D」, 출력 50KeV, 전류 밀도 5.0A/㎠)를 사용하여 레지스트 재료막에 전자선을 조사하여, 패터닝을 행했다. 이 패터닝으로서는 마스크를 사용하여, 선 폭 150㎚의 라인부와, 인접하는 라인부에 의해 형성되는 간격이 150㎚인 스페이스부를 포함하는 라인·앤드·스페이스 패턴(1L1S)으로 했다. 전자선의 조사 후, 계속하여 이하의 (1) 내지 (3)의 조작 각각에 대하여 평가를 행했다.The adjusted chemically amplified resist material was spin-coated on a silicon wafer in "Clean Track ACT-8" manufactured by Tokyo Electron Co., Ltd. Then, PB was performed under the conditions of 110 ° C. for 60 seconds to form a resist material film having an average thickness of 50 nm did. Subsequently, the resist material film was irradiated with an electron beam using a simple electron beam drawing apparatus (&quot; HL800D &quot;, output: 50 KeV, current density 5.0 A / cm 2) manufactured by Hitachi Seisakusho Co., Ltd., and patterning was performed. As the patterning, a mask was used to form a line-and-space pattern (1L1S) including a line portion having a line width of 150 nm and a space portion having an interval of 150 nm formed by adjacent line portions. After the irradiation of the electron beam, the following operations (1) to (3) were successively evaluated.

(조작 (1): 일괄 노광 없음)(Operation (1): no batch exposure)

전자선의 조사 후, 상기 클린 트랙 ACT-8 내에서, 110℃, 60초의 조건에서 PEB를 행하고, 계속해서 상기 클린 트랙 ACT-8 내에서, 2.38질량% 테트라메틸암모늄히드록시드(TMAH) 수용액을 사용하여, 23℃에서 1분간, 퍼들법에 의해 현상했다. 현상 후, 순수에 의한 수세 및 건조에 의해 포지티브형 레지스트 패턴을 형성했다.After the irradiation of the electron beam, the PEB was performed in the clean track ACT-8 under the conditions of 110 DEG C for 60 seconds, and then an aqueous solution of 2.38 mass% tetramethylammonium hydroxide (TMAH) in the clean track ACT- , And was developed by a puddle method at 23 占 폚 for 1 minute. After development, a positive resist pattern was formed by washing with pure water and drying.

(조작 (2): 일괄 노광 있음(10분))(Operation (2): with a batch exposure (10 minutes))

전자선의 조사 후, 블랙 라이트(도시바사, 파장 320㎚)를 사용하여, 레지스트 재료막의 전체면을 10분간 일괄 노광했다. 계속해서, 상기 클린 트랙 ACT-8 내에서, 110℃, 60초의 조건에서 PEB를 행했다. 그 후, 상기 조작 (1)과 마찬가지로 하여 현상, 수세 및 건조를 행하여, 포지티브형 레지스트 패턴을 형성했다.After the irradiation of the electron beam, the whole surface of the resist material film was subjected to a batch exposure for 10 minutes by using black light (Urban bar, wavelength: 320 nm). Subsequently, PEB was performed in the clean track ACT-8 under conditions of 110 DEG C and 60 seconds. Thereafter, development, washing with water and drying were carried out in the same manner as in the above-mentioned operation (1) to form a positive resist pattern.

(조작 (3): 일괄 노광 있음(30분))(Operation (3): with a batch exposure (30 minutes))

전자선의 조사 후, 블랙 라이트(도시바사, 파장 320㎚)를 사용하여, 레지스트 재료막의 전체면을 30분간 일괄 노광했다. 계속해서, 상기 클린 트랙 ACT-8 내에서, 110℃, 60초의 조건에서 PEB를 행했다. 그 후, 상기 조작 (1)과 마찬가지로 하여 현상, 수세 및 건조를 행하여, 포지티브형 레지스트 패턴을 형성했다.After the irradiation of the electron beam, the entire surface of the resist material film was subjected to a batch exposure for 30 minutes using black light (Urban bar, wavelength: 320 nm). Subsequently, PEB was performed in the clean track ACT-8 under conditions of 110 DEG C and 60 seconds. Thereafter, development, washing with water and drying were carried out in the same manner as in the above-mentioned operation (1) to form a positive resist pattern.

<평가><Evaluation>

상기 형성한 포지티브형 레지스트 패턴에 대하여, 하기에 기재하는 수순에 의해 감도 및 나노에지 러프니스에 관한 평가를 행했다.The positive resist pattern thus formed was evaluated for sensitivity and nano-edge roughness according to the procedure described below.

[감도][Sensitivity]

선 폭 150㎚의 라인부와, 인접하는 라인부에 의해 형성되는 간격이 150㎚인 스페이스부를 포함하는 라인·앤드·스페이스 패턴(1L1S)을 1대1의 선 폭으로 형성하는 노광량을 최적 노광량으로 하고 이 최적 노광량을 감도의 지표로 했다. 최적 노광량이 50μC/㎠ 이하인 경우는 「A(양호)」로, 50μC/㎠ 초과인 경우는 「B(불량)」로 판단했다. 최적 노광량의 측정값 및 감도의 평가 결과를 표 7에 나타낸다.An exposure amount for forming a line-and-space pattern 1L1S including a line portion having a line width of 150 nm and a space portion having an interval of 150 nm formed by adjacent line portions with a line width of 1: And the optimum exposure amount was used as an index of sensitivity. A (good) "when the optimum exposure amount was 50 袖 C / cm 2 or less, and" B (poor) "when the optimum exposure amount was 50 袖 C / cm 2 or more. Table 7 shows the measured values of the optimum exposure amount and the evaluation results of the sensitivity.

[나노에지 러프니스][Nano Edge Roughness]

상기 라인·앤드·스페이스 패턴(1L1S)의 라인 패턴을, 고분해능 FEB 측장 장치(히타치 세이사쿠쇼사의 「S-9220」)를 사용하여 관찰했다. 라인 패턴이 임의의 20점에 있어서 형상을 관찰하고, 각각의 점에 대하여 도 7 및 도 8에 도시한 바와 같이, 기재(실리콘 웨이퍼)(11) 위에 형성한 패턴에 있어서의 라인부(12)의 횡측면(12a)을 따라 발생한 요철이 가장 현저한 개소에 있어서의 선 폭과, 설계 선 폭 150㎚의 차 「ΔCD」를 측정했다. 20점의 ΔCD의 평균값을 나노에지 러프니스의 지표로 했다. ΔCD의 평균값(㎚)이 12.0㎚ 이하인 경우에는 「AA(매우 양호)」로, 12.0㎚ 초과 15.0㎚ 이하인 경우에는 「A(양호)」로, 15.0㎚ 초과인 경우는 「B(불량)」로 판단했다. 또한, 도 7 및 도 8에서 도시한 요철은 실제보다 과장하여 기재하고 있다. ΔCD의 평균값 및 나노에지 러프니스의 평가 결과를 표 7에 나타낸다.The line pattern of the line-and-space pattern 1L1S was observed using a high-resolution FEB measuring apparatus ("S-9220" manufactured by Hitachi, Ltd.). The shape of the line pattern is observed at arbitrary 20 points and the line portion 12 in the pattern formed on the base material (silicon wafer) 11, as shown in Figs. 7 and 8, &Quot; CD &quot; between the line width at the portion where the concavities and convexities most prominent along the transverse side face 12a of the front side face 12a and the design line width 150 nm were measured. The average value of ΔCD of 20 points was used as an index of nano edge roughness. A (good) "when the average value of ΔCD is 12.0 nm or less and" AA (very good) "when it is 12.0 nm or more and 15.0 nm or less and" B (bad) "when the average value I decided. The irregularities shown in Figs. 7 and 8 are exaggerated in actuality. The average value of? CD and the evaluation results of nano edge roughness are shown in Table 7.

Figure pat00077
Figure pat00077

표 7에 나타낸 바와 같이, 라디칼로 환원될 때에 방출하는 에너지가 5.0eV 미만인 오늄 양이온을 모두 포함하는 감방사선성의 [C1] 화합물 및 [C2] 화합물을 (c) 성분의 감방사선성 산 발생제로서 함유하는 실시예에 있어서는 UV 일괄 노광량이 많은 경우에 있어서도, 나노에지 러프니스의 악화를 수반하지 않고 양호한 감도가 얻어지는 것이 확인되었다. 한편, [C1] 화합물 및 [C2] 화합물의 어느 한 오늄 양이온의 라디칼로 환원될 때에 방출하는 에너지가 5.0eV 이상인 비교예의 경우, UV 일괄 노광량이 적은 경우는 나노에지 러프니스의 악화를 수반하지 않고 감도가 증가하지만, 노광 시간 30분과 같은 일정 이상의 노광량이 되면 나노에지 러프니스의 악화가 보였다. 또한, 감방사선성의 [C2] 화합물로 바꾸어 감방사선성을 갖지 않는 화합물을 산 확산 제어제로서 사용한 비교예의 경우, UV 일괄 노광에 있어서의 증감 정도가 억제되는 것이 확인되었다.As shown in Table 7, the radiation-sensitive [C1] compound and the [C2] compound containing both of the onium cations having an energy of emission of less than 5.0 eV when reduced to radicals were used as the radiation- It was confirmed that good sensitivity can be obtained without deterioration of the nano edge roughness even in the case where the UV total exposure amount is large. On the other hand, in the case of the comparative example in which the energy released when the compound is reduced to a radical of an onium cation of the [C1] compound or the [C2] compound is 5.0 eV or more, when the UV cumulative exposure dose is small, the deterioration of the nano- Although the sensitivity is increased, the nano edge roughness is deteriorated when the exposure amount is equal to or more than a constant exposure time such as 30 minutes of exposure time. Further, in the case of the comparative example using a compound having no radiation-sensitive properties as the acid diffusion control agent in place of the [C2] compound as the radiation-sensitive compound, it was confirmed that the degree of increase and decrease in the UV batch exposure was suppressed.

이상 설명한 바와 같이, 당해 화학 증폭형 레지스트 재료 및 당해 레지스트 패턴 형성 방법에 의하면, EUV광, 전자선, 이온빔 등의 전리 방사선, 또는 KrF 엑시머 레이저 및 ArF 엑시머 레이저 등의 250㎚ 이하의 파장을 갖는 비전리 방사선을 패턴 노광 광으로서 사용한 경우에 있어서 양호한 감도를 유지하면서 우수한 리소그래피 성능을 발휘하는 것이 가능하다. 또한, 당해 화학 증폭형 레지스트 재료는 당해 레지스트 패턴 형성 방법에 적합하게 사용할 수 있다.As described above, according to the chemically amplified resist material and the method for forming a resist pattern of the present invention, ionizing radiation such as EUV light, electron beam, and ion beam, or KrF excimer laser and ArF excimer laser, It is possible to exhibit excellent lithography performance while maintaining good sensitivity when radiation is used as pattern exposure light. The chemically amplified resist material can be suitably used for the resist pattern forming method.

1: 반도체 웨이퍼
2, 12: 레지스트 패턴
3: 피에칭막
10: 패턴 기판
11: 기재
12a: 레지스트 패턴의 횡측면
1: semiconductor wafer
2, 12: resist pattern
3: Etching film
10: pattern substrate
11: substrate
12a: lateral side of the resist pattern

Claims (8)

(1) 산의 작용에 의해 현상액에 가용 또는 불용이 되는 중합체 성분과,
(2) 노광에 의해 감방사선성 증감체 및 산을 발생시키는 성분
을 포함하고,
상기 (2) 성분이 하기 (a) 성분, 하기 (a) 내지 (c) 성분 중의 임의의 2개의 성분, 또는 하기 (a) 내지 (c) 성분 모두를 함유하고,
상기 (a) 성분 또는 상기 (c) 성분이, 감방사선성을 갖는 제1 화합물 및 감방사선성을 갖는 제2 화합물을 갖고,
상기 제1 화합물이 제1 오늄 양이온과 제1 음이온을 포함하고, 상기 제2 화합물이 제2 오늄 양이온과 상기 제1 음이온과 상이한 제2 음이온을 포함하고,
상기 제1 오늄 양이온 및 상기 제2 오늄 양이온이, 라디칼로 환원될 때에 방출하는 에너지가 모두 5.0eV 미만인, 화학 증폭형 레지스트 재료.
(a) 250㎚ 이하의 파장을 갖는 방사선인 제1 방사선을 조사하고, 250㎚를 초과하는 파장을 갖는 방사선인 제2 방사선을 조사하지 않는 경우에, 산과, 상기 제2 방사선을 흡수하는 감방사선성 증감체를 발생시키며, 또한 상기 제1 방사선을 조사하지 않고 상기 제2 방사선만을 조사한 경우에 상기 산 및 감방사선성 증감체를 실질적으로 발생시키지 않는 감방사선성 산-증감체 발생제
(b) 상기 제1 방사선을 조사하고, 상기 제2 방사선을 방사하지 않는 경우에, 상기 제2 방사선을 흡수하는 감방사선성 증감체를 발생시키며, 또한 상기 제1 방사선을 조사하지 않고 상기 제2 방사선만을 조사한 경우에 상기 감방사선성 증감체를 실질적으로 발생시키지 않는 감방사선성 증감체 발생제
(c) 상기 제1 방사선을 조사하고, 상기 제2 방사선을 방사하지 않는 경우에, 산을 발생시키며, 또한 상기 제1 방사선을 조사하지 않고 상기 제2 방사선만을 조사한 경우에 상기 산을 실질적으로 발생시키지 않는 감방사선성 산 발생제
(1) a polymer component which becomes soluble or insoluble in a developer by the action of an acid,
(2) a component that generates a sensitizing radiation sensitizer and an acid by exposure
/ RTI &gt;
Wherein the component (2) contains any of the following components (a), (a) to (c), or both of the following components (a)
Wherein the component (a) or the component (c) comprises a first compound having a radiation-sensitive property and a second compound having a radiation-
Wherein the first compound comprises a first onium cation and a first anion and the second compound comprises a second onium cation and a second anion different from the first anion,
Wherein the first onium cation and the second onium cation each have an energy of less than 5.0 eV when they are reduced to a radical.
(a) irradiating a first radiation, which is radiation having a wavelength of 250 nm or shorter, and not irradiating a second radiation, which is radiation having a wavelength exceeding 250 nm, Sensitizer generator which generates a sensitizer and does not substantially generate the acid and the radiation-sensitive sensitizer when only the second radiation is irradiated without irradiating the first radiation,
(b) irradiates the first radiation and generates a radiation-sensitive sensitizer for absorbing the second radiation when the second radiation is not emitted, A radiation-sensitive sensitizer generator which does not substantially generate the radiation-sensitive sensitizer when irradiated only with radiation
(c) generating an acid when irradiating the first radiation and not irradiating the second radiation, and substantially when the second radiation is irradiated without irradiating the first radiation, Non-sensitizing radiation-sensitive acid generator
제1항에 있어서, 상기 화학 증폭형 레지스트 재료 중의 전체 오늄 양이온에 대한 상기 제1 오늄 양이온 및 상기 제2 오늄 양이온의 합계 함유율이 80몰% 이상인 화학 증폭형 레지스트 재료.The chemically amplified resist composition according to claim 1, wherein the total content of the first onium cation and the second onium cation to the total onium cation in the chemically amplified resist material is 80 mol% or more. 제1항 또는 제2항에 있어서, 상기 제1 화합물 및 상기 제2 화합물 중 적어도 한쪽으로부터 발생하는 산의 산 해리 상수의 역수의 대수값이 0 이하인 화학 증폭형 레지스트 재료.3. The chemically amplified resist composition according to claim 1 or 2, wherein the logarithm of the inverse number of the acid dissociation constant of the acid generated from at least one of the first compound and the second compound is 0 or less. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 (1) 중합체 성분이, 산의 작용에 의해 극성기를 발생시키는 기를 포함하는 구조 단위를 갖는 제1 중합체를 포함하는 것인 화학 증폭형 레지스트 재료.The chemical amplification type resist composition according to any one of claims 1 to 3, wherein the (1) polymer component comprises a first polymer having a structural unit containing a group capable of generating a polar group by the action of an acid material. 제4항에 있어서, 상기 제1 중합체가 불소 원자를 포함하는 구조 단위를 갖거나, 또는 상기 (1) 중합체 성분이 상기 제1 중합체와는 상이한 제2 중합체를 포함하고, 이 제2 중합체가 불소 원자를 포함하는 구조 단위를 갖는 것인 화학 증폭형 레지스트 재료.5. The method of claim 4, wherein the first polymer has a structural unit comprising a fluorine atom, or (1) the polymer component comprises a second polymer different from the first polymer, And a structural unit containing an atom. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 (2) 성분이 상기 (1) 중합체 성분과는 상이한 성분인 화학 증폭형 레지스트 재료.The chemically amplified resist material according to any one of claims 1 to 5, wherein the component (2) is a component different from the polymer component (1). 제6항에 있어서, 전체 고형분에 대한 상기 (2) 성분의 함유량이 10질량% 이상 30질량% 이하인 화학 증폭형 레지스트 재료.The chemically amplified resist composition according to claim 6, wherein the content of the component (2) relative to the total solid content is 10% by mass or more and 30% by mass or less. 기판의 적어도 한쪽의 면에 제1항 내지 제7항 중 어느 한 항에 기재된 화학 증폭형 레지스트 재료를 사용하여 레지스트 재료막을 형성하는 막 형성 공정과,
상기 레지스트 재료막에 250㎚ 이하의 파장을 갖는 방사선을 조사하는 패턴 노광 공정과,
상기 패턴 노광 공정 후의 상기 레지스트 재료막에 250㎚를 초과하는 파장을 갖는 방사선을 조사하는 일괄 노광 공정과,
상기 일괄 노광 공정 후의 상기 레지스트 재료막을 가열하는 베이크 공정과,
상기 베이크 공정 후의 상기 레지스트 재료막을 현상액에 접촉시키는 현상 공정
을 구비하는 레지스트 패턴 형성 방법.
A film forming step of forming a resist material film by using the chemically amplified resist material according to any one of claims 1 to 7 on at least one side of the substrate;
A pattern exposure step of irradiating the resist material film with radiation having a wavelength of 250 nm or less,
A batch exposure step of irradiating the resist material film after the pattern exposure process with radiation having a wavelength exceeding 250 nm;
A baking step of heating the resist material film after the batch exposure step,
A developing step of bringing the resist material film after the baking step into contact with a developing solution
To form a resist pattern.
KR1020160146538A 2015-11-09 2016-11-04 Chemically amplified resist material and process for forming resist pattern KR20170054297A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2015-219984 2015-11-09
JP2015219984 2015-11-09
JP2016156025 2016-08-08
JPJP-P-2016-156025 2016-08-08

Publications (1)

Publication Number Publication Date
KR20170054297A true KR20170054297A (en) 2017-05-17

Family

ID=59048689

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160146538A KR20170054297A (en) 2015-11-09 2016-11-04 Chemically amplified resist material and process for forming resist pattern

Country Status (2)

Country Link
JP (1) JP2018025739A (en)
KR (1) KR20170054297A (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019194018A1 (en) * 2018-04-06 2019-10-10 Jsr株式会社 Resist pattern formation method and chemically amplified resist material
JP2021103233A (en) * 2019-12-25 2021-07-15 東京応化工業株式会社 Resist composition and resist pattern forming method
JP2021103236A (en) * 2019-12-25 2021-07-15 東京応化工業株式会社 Resist composition and resist pattern forming method

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6512994B2 (en) * 2015-08-20 2019-05-15 国立大学法人大阪大学 Chemically amplified resist material
JP6774814B2 (en) * 2015-08-20 2020-10-28 国立大学法人大阪大学 Chemically amplified resist material and pattern forming method

Also Published As

Publication number Publication date
JP2018025739A (en) 2018-02-15

Similar Documents

Publication Publication Date Title
TWI697733B (en) Chemically amplified resist material and pattern-forming method
TWI698707B (en) Chemically amplified resist material
KR102357133B1 (en) Photosensitization chemical-amplification type resist material, method for forming pattern using same, semiconductor device, mask for lithography, and template for nanoimprinting
JP6809843B2 (en) Pattern formation method
US10018911B2 (en) Chemically amplified resist material and resist pattern-forming method
WO2018030445A1 (en) Chemically amplified resist material, and method for forming resist pattern
US9989849B2 (en) Chemically amplified resist material and resist pattern-forming method
JP2017054116A (en) Method for forming resist pattern
KR20240009413A (en) Method for manufacturing semiconductor substrate and composition for forming resist underlayer film
JP6586476B2 (en) Photosensitized chemically amplified resist material, pattern forming method using the same, semiconductor device, lithography mask, and nanoimprint template manufacturing method
JP6507958B2 (en) Chemically amplified resist material and resist pattern forming method
KR20170054297A (en) Chemically amplified resist material and process for forming resist pattern
KR20170054298A (en) Chemically amplified resist material and process for forming resist pattern
JP2017173420A (en) Radiation-sensitive composition and pattern formation method