KR20170041154A - Method for processing target object - Google Patents

Method for processing target object Download PDF

Info

Publication number
KR20170041154A
KR20170041154A KR1020160128933A KR20160128933A KR20170041154A KR 20170041154 A KR20170041154 A KR 20170041154A KR 1020160128933 A KR1020160128933 A KR 1020160128933A KR 20160128933 A KR20160128933 A KR 20160128933A KR 20170041154 A KR20170041154 A KR 20170041154A
Authority
KR
South Korea
Prior art keywords
processing
film
gas
etching
mask
Prior art date
Application number
KR1020160128933A
Other languages
Korean (ko)
Other versions
KR102626138B1 (en
Inventor
요시히데 기하라
도루 히사마츠
마사노부 혼다
도모유키 오이시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170041154A publication Critical patent/KR20170041154A/en
Application granted granted Critical
Publication of KR102626138B1 publication Critical patent/KR102626138B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

Provided is a method for realizing precise control of a minimum line width and stable reproducibility of the minimum line width in pattern formation on an object to be processed. The method includes a formation step of forming a silicon oxide film in a processing chamber by repeatedly executing a sequence including a first step of supplying a first gas containing aminosilane-based gas, a second step of purging a space in the processing chamber after the first step, a third step of generating a plasma of a second gas containing oxygen gas after the second step, and a fourth step of purging the space after the third step. The method further includes a preparation step executed before the target object is accommodated in the processing chamber and a processing step of performing an etching process on the target object. The preparation step is performed before the processing step. The formation step is performed in the preparation step and the processing step. In the first step, a plasma of the first gas is not generated.

Description

피처리체의 처리 방법{METHOD FOR PROCESSING TARGET OBJECT}[0001] METHOD FOR PROCESSING TARGET OBJECT [0002]

본 발명의 실시 형태는, 피처리체를 처리하는 방법에 관한 것이고, 특히 플라즈마를 이용하여 반도체 기판의 표면 처리를 행하는 방법에 관한 것이다.An embodiment of the present invention relates to a method of treating an object to be processed, and more particularly to a method of performing surface treatment of a semiconductor substrate by using plasma.

반도체 디바이스라고 하는 전자 디바이스의 제조 프로세스에서는, 플라즈마 처리 장치를 이용하여 피처리체의 플라즈마 처리가 행해지는 일이 있다. 플라즈마 처리의 일종으로서, 플라즈마 에칭이 있다. 플라즈마 에칭은, 피에칭층상에 마련된 마스크의 패턴을 해당 피에칭층에 전사하기 위해 행해진다. 마스크로서는, 일반적으로, 레지스트 마스크가 이용된다. 레지스트 마스크는, 포토리소그래피 기술에 의해 형성된다. 따라서, 피에칭층에 형성되는 패턴의 한계 치수는, 포토리소그래피 기술에 의해 형성되는 레지스트 마스크의 해상도에 의존한다. 그렇지만, 레지스트 마스크의 해상도에는 해상 한계가 있다. 전자 디바이스의 고집적화에 대한 요구가 더욱 더 높아지고 있고, 레지스트 마스크의 해상 한계보다 작은 치수의 패턴을 형성하는 것이 요구되도록 되고 있다. 이 때문에, 특허 문헌 1에 기재되어 있는 바와 같이, 레지스트 마스크상에 실리콘 산화막을 형성하는 것에 의해, 해당 레지스트 마스크의 치수를 조정하고, 해당 레지스트 마스크에 의해 제공되는 개구의 폭을 축소하는 기술이 제안되어 있다.In a manufacturing process of an electronic device called a semiconductor device, plasma processing of an object to be processed may be performed using a plasma processing apparatus. As a kind of plasma treatment, there is plasma etching. The plasma etching is performed to transfer the pattern of the mask provided on the etched layer to the etched layer. As the mask, a resist mask is generally used. The resist mask is formed by photolithography. Therefore, the limit dimension of the pattern formed on the etched layer depends on the resolution of the resist mask formed by the photolithography technique. However, resolution of the resist mask has a resolution limit. There is a growing demand for higher integration of electronic devices and it is required to form a pattern with a dimension smaller than the resolution limit of the resist mask. Therefore, as described in Patent Document 1, a technique of adjusting the dimension of the resist mask by forming a silicon oxide film on the resist mask and reducing the width of the opening provided by the resist mask is proposed .

(선행 기술 문헌)(Prior art document)

(특허 문헌)(Patent Literature)

(특허 문헌 1) 일본 특허 공개 2004-80033호 공보(Patent Document 1) Japanese Patent Laid-Open No. 2004-80033

한편, 최근의 전자 디바이스의 고집적화에 수반되는 미세화에 의해, 피처리체상의 패턴 형성에 있어서, 고정밀도의 최소 선폭(CD : Critical Dimension)의 제어가 요구된다. 또한, 전자 디바이스의 양산성의 관점으로부터는, 장기적으로 안정된 최소 선폭의 재현성 등도 요구된다.On the other hand, with the recent miniaturization accompanied with the high integration of electronic devices, it is required to control the critical dimension (CD) with high accuracy in pattern formation on the object to be processed. From the viewpoint of mass production of electronic devices, reproducibility of a minimum line width that is stable in the long term is also required.

플라즈마 에칭에 있어서의 최소 선폭의 변동의 요인으로서는, 일반적으로, 플라즈마가 생성되는 처리 공간에 노출되는 플라즈마 처리 장치의 구성 부품(예컨대, 플라즈마를 발생시키는 처리 용기의 내벽면이나, 처리 용기에 접속되는 각종 배관의 내벽면 등)의 표면의 상태가 변화하고, 플라즈마 상태가 변화하는 것을 들 수 있다. 처리 공간에 노출되는 플라즈마 처리 장치의 구성 부품의 표면의 상태가 변화하는 요인으로서는, 플라즈마의 장기적인 사용에 의해 해당 부품의 표면이 소모되는 것을 들 수 있다. 이와 같은 소모에 의해 해당 부품의 표면의 온도가 변동하고, 이 표면 온도의 변동에 의해 라디칼의 부착률도 변동한다.As a factor of the variation of the minimum line width in the plasma etching, generally, the component parts of the plasma processing apparatus exposed in the processing space where the plasma is generated (for example, the inner wall surface of the processing vessel for generating plasma, The inner wall surface of various pipes, and the like) changes, and the plasma state changes. Examples of factors that change the state of the surface of the component parts of the plasma processing apparatus that are exposed to the processing space include that the surface of the component is consumed due to long-term use of the plasma. Such a consumption causes the temperature of the surface of the component to fluctuate, and the rate of deposition of the radical also fluctuates due to the variation of the surface temperature.

또한, 플라즈마 처리에 있어서는, 제품의 결함의 요인이 될 수 있는 파티클이 발생하는 경우가 있다. 파티클은, 처리 공간에 노출되는 플라즈마 처리 장치의 구성 부품의 표면으로부터 발생할 수 있고, 웨이퍼상에 부착되어 제품 불량으로 이어진다. 파티클이 패턴상에 부착되는 것에 의해 전사를 방해하기 때문에, 고정밀도의 최소 선폭의 실현 및 안정된 최소 선폭의 재현성 등의 실현을 방해할 수 있다.Further, in the plasma treatment, particles which may be a factor of defects of the product may be generated. The particles can originate from the surface of the component parts of the plasma processing apparatus exposed to the processing space, and adhere to the wafer, leading to product defects. It is possible to prevent realization of a minimum line width with high precision and reproducibility of a stable minimum line width because the particles are prevented from being transferred by being attached to the pattern.

이상과 같이, 피처리체상의 패턴 형성에 있어서는, 고집적화에 수반되는 미세화를 위해, 고정밀도의 최소 선폭의 제어 및 안정된 최소 선폭의 재현성 등을 실현하기 위한 방법이 필요하게 된다.As described above, in the pattern formation on the object to be processed, a method for realizing the control of the minimum line width with high precision and the reproducibility of the stable minimum line width is required for miniaturization accompanied with high integration.

일 형태에 있어서는, 피처리체를 처리하는 방법이 제공된다. 본 형태와 관련되는 방법은, ⒜ 플라즈마 처리 장치의 처리 용기 내에 아미노실란계 가스를 포함하는 제 1 가스를 공급하는 제 1 공정과, 제 1 공정의 실행 후에 처리 용기 내의 공간을 퍼지하는 제 2 공정과, 제 2 공정의 실행 후에 처리 용기 내에서 산소 가스를 포함하는 제 2 가스의 플라즈마를 생성하는 제 3 공정과, 제 3 공정의 실행 후에 처리 용기 내의 공간을 퍼지하는 제 4 공정을 포함하는 시퀀스를 반복 실행하여 처리 용기 내에 실리콘 산화막을 형성하는 형성 공정과, ⒝ 피처리체를 처리 용기 내에 수용하기 전에 행하는 준비 공정과, ⒞ 처리 용기 내에 수용된 피처리체에 대하여 에칭 처리를 행하는 처리 공정을 구비한다. 준비 공정은, 처리 공정의 전에 행해진다. 형성 공정은, 준비 공정에서 실행되고, 또한, 처리 공정에서 실행된다. 제 1 공정은, 제 1 가스의 플라즈마를 생성하지 않는다.In one aspect, a method of treating an object to be treated is provided. The method according to this mode is characterized in that (a) a first step of supplying a first gas containing an aminosilane-based gas into a processing vessel of a plasma processing apparatus, a second step of purging a space in the processing vessel after the execution of the first step A third step of generating a plasma of a second gas containing oxygen gas in the processing vessel after the execution of the second processing, and a fourth step of purifying the space in the processing vessel after execution of the third processing To form a silicon oxide film in the processing container; (b) a preparation step to be performed before the object to be processed is received in the processing container; and (e) a processing step of performing an etching process on the object to be processed contained in the processing container. The preparation step is carried out before the treatment step. The forming step is carried out in the preparation step and also in the processing step. The first step does not generate the plasma of the first gas.

상기 방법에 의하면, 제 1 공정에 있어서, 플라즈마의 생성을 행하지 않고서 아미노실란계 가스를 포함하는 제 1 가스가 처리 용기 내에 공급되고, 또한 이 후에, 제 3 공정에 있어서, 산소 가스를 함유하는 제 2 가스의 플라즈마가 생성되어 박막의 실리콘 산화막이 형성된다. 따라서, 처리 공정에 있어서 실행되는 제 1 공정~제 4 공정에 의해 박막의 실리콘 산화막이 피처리체의 표면에 있어서 균일하고 컨포멀(conformal)하게 형성된다. 그리고, 처리 공정에 있어서 실행되는 형성 공정에서는, 제 1 공정~제 4 공정이 반복 실행되므로, 피처리체의 표면에 형성되는 실리콘 산화막의 두께를 정밀하게 제어할 수 있다. 따라서, 형성 공정에 의해 형성되는 실리콘 산화막에 의해, 피처리체의 표면에 있어서의 패턴의 최소 선폭을 정밀하게 저감할 수 있고, 고집적화에 수반되는 미세화가 가능하게 된다. 또한, 처리 공정에 있어서 실행되는 형성 공정에 의해, 피처리체의 표면에 실리콘 산화막이 형성됨과 아울러, 처리 용기의 안쪽의 표면 및 처리 용기에 접속되는 각종 배관의 안쪽의 표면에 대해서도 해당 실리콘 산화막과 동일한 두께로 실리콘 산화막이 보호막으로서 더 형성된다. 따라서, 처리 용기의 안쪽의 표면 및 처리 용기에 접속되는 각종 배관의 안쪽의 표면에 형성되는 실리콘 산화막에 의해, 이들 각 표면으로부터 생기는 파티클의 발생과 해당 각 표면의 상태의 변화를 충분히 억제할 수 있으므로, 안정된 최소 선폭의 재현 등이 가능하게 된다. 또한, 처리 공정에 있어서 실행되는 형성 공정과는 독립적으로, 처리 공정 전에 실행되는 준비 공정에 있어서도 형성 공정이 실행된다. 따라서, 처리 공정에 있어서 에칭에 의해 제거되는 실리콘 산화막의 두께에 따른 소망하는 두께의 실리콘 산화막을, 처리 용기의 안쪽의 표면 및 처리 용기에 접속되는 각종 배관의 안쪽의 표면에 대하여, 보호막으로서 형성할 수 있으므로, 처리 공정에 있어서 행해지는 에칭의 정도에 의존하는 일 없이, 이들 각 표면으로부터 생기는 파티클의 발생과 해당 각 표면의 상태의 변화를 충분히 억제할 수 있다.According to the above method, in the first step, the first gas containing the aminosilane-based gas is supplied into the processing vessel without generating the plasma, and thereafter, in the third step, the oxygen- 2 gas is generated and a thin silicon oxide film is formed. Therefore, the silicon oxide film of the thin film is uniformly and conformally formed on the surface of the object to be processed by the first step to the fourth step executed in the processing step. Since the first to fourth steps are repeatedly performed in the forming step executed in the processing step, the thickness of the silicon oxide film formed on the surface of the object to be processed can be precisely controlled. Therefore, the minimum line width of the pattern on the surface of the object to be processed can be precisely reduced by the silicon oxide film formed by the forming process, and miniaturization can be achieved accompanying high integration. In addition, the silicon oxide film is formed on the surface of the object to be processed by the forming process executed in the process step, and the inner surface of the various pipes connected to the process container and the inner surface of the process container A silicon oxide film is further formed as a protective film. Therefore, by the silicon oxide film formed on the inner surface of the processing vessel and on the inner surface of various pipes connected to the processing vessel, it is possible to sufficiently suppress the generation of particles generated from these surfaces and the change of the state of each surface , Stable minimum line width can be reproduced, and so on. In addition, the forming step is executed in the preparing step executed before the processing step, independently of the forming step executed in the processing step. Therefore, a silicon oxide film of a desired thickness corresponding to the thickness of the silicon oxide film removed by etching in the processing step is formed as a protective film on the inner surface of the processing vessel and the inner surface of various pipes connected to the processing vessel It is possible to sufficiently suppress the generation of particles generated from each of these surfaces and the change of the state of each surface without depending on the degree of etching performed in the treatment process.

일 실시 형태에 있어서, 제 1 가스는, 모노아미노실란을 포함할 수 있다. 따라서, 모노아미노실란을 포함하는 제 1 가스를 이용하여, 형성 처리를 행할 수 있다.In one embodiment, the first gas may comprise a monoaminosilane. Therefore, the first gas containing monoaminosilane can be used for the formation treatment.

일 실시 형태에 있어서, 제 1 가스의 아미노실란계 가스는, 1~3개의 규소 원자를 갖는 아미노실란을 포함할 수 있다. 제 1 가스의 아미노실란계 가스는, 1~3개의 아미노기를 갖는 아미노실란을 포함할 수 있다. 이와 같이 제 1 가스의 아미노실란계 가스에는, 1~3개의 규소 원자를 포함하는 아미노실란을 이용할 수 있다. 또한, 제 1 가스의 아미노실란계 가스에는, 1~3개의 아미노기를 포함하는 아미노실란을 이용할 수 있다.In one embodiment, the aminosilane-based gas of the first gas may comprise an aminosilane having 1 to 3 silicon atoms. The aminosilane-based gas of the first gas may contain aminosilane having 1 to 3 amino groups. As described above, the aminosilane gas containing one to three silicon atoms can be used as the aminosilane gas of the first gas. The aminosilane gas of the first gas may contain aminosilane containing 1 to 3 amino groups.

일 실시 형태에 있어서, 처리 공정의 후로서 피처리체를 처리 용기로부터 반출한 후에, 처리 용기 내에 있는 실리콘 산화막을 제거하는 공정을 더 구비할 수 있다. 따라서, 처리 공정의 후에 있어서 처리 용기 내 및 처리 용기에 접속되는 각종 배관 내에 실리콘 산화막이 잔존하는 경우에도, 처리 용기 내 및 처리 용기에 접속되는 각종 배관 내로부터 실리콘 산화막을 확실히 제거하는 것이 가능하게 된다.In one embodiment, the method may further include a step of removing the silicon oxide film in the processing container after the processing object is removed from the processing container after the processing step. Therefore, even when the silicon oxide film remains in the processing vessel and in various piping connected to the processing vessel after the processing step, it is possible to reliably remove the silicon oxide film from the inside of the processing vessel and various pipes connected to the processing vessel .

일 실시 형태에 있어서, 피처리체는, 피에칭층과, 피에칭층상에 마련된 유기막을 구비할 수 있고, 처리 공정은, 처리 용기 내에서 발생시킨 플라즈마에 의해, 유기막을 에칭하는 공정을 구비할 수 있고, 형성 공정은, 처리 공정에 있어서는 유기막을 에칭하는 공정의 전에 실행될 수 있고, 유기막을 에칭하는 공정의 전까지 처리 용기 내에 있어서 형성되는 실리콘 산화막의 막의 두께를, 유기막을 에칭하는 공정의 종료까지 실리콘 산화막 중 에칭되어 제거되는 막의 두께보다 두껍게 할 수 있다. 따라서, 유기막의 에칭이 종료된 후에 있어서도 처리 용기의 안쪽의 표면 및 처리 용기에 접속되는 각종 배관의 안쪽의 표면에 실리콘 산화막이 남게 되므로, 이하의 사태, 즉 에칭 중에 실리콘 산화막이 제거되고 이들 각 표면이 노출되는 것에 의해 해당 각 표면의 상태가 변화하고 해당 각 표면으로부터 파티클이 생기는 등의 사태를 회피할 수 있다. 또한, 유기막의 에칭의 실행 전에 실리콘 산화막을 형성하는 형성 공정이 행해지므로, 유기막의 에칭으로 생기는 활성종(예컨대 수소 라디칼)이 처리 용기의 안쪽의 표면 및 처리 용기에 접속되는 각종 배관의 안쪽의 표면과 반응하는 것을 회피할 수 있고, 따라서, 이들 각 표면으로부터의 파티클의 발생 및 해당 각 표면의 상태의 변화를 충분히 억제할 수 있다.In one embodiment, the object to be processed may include an etched layer and an organic film provided on the etched layer, and the process may include a step of etching the organic film by the plasma generated in the process container The forming process may be performed before the process of etching the organic film in the process step and the thickness of the silicon oxide film formed in the process container before the process of etching the organic film may be performed until the end of the process of etching the organic film, It can be made thicker than the thickness of the film to be etched and removed in the oxide film. Therefore, even after the etching of the organic film is completed, the silicon oxide film remains on the inner surface of the processing vessel and on the inner surface of various pipes connected to the processing vessel. It is possible to avoid such a situation that the state of each surface is changed and particles are generated from the respective surfaces. Further, since the formation step of forming the silicon oxide film is performed before the etching of the organic film is performed, the active species (for example, hydrogen radical) generated by the etching of the organic film is formed on the inner surface of the processing vessel and on the inner surface It is possible to sufficiently suppress the generation of particles from each of these surfaces and the change of the state of each of the surfaces.

일 실시 형태에 있어서, 유기막을 에칭하는 공정의 전까지 처리 용기 내에 있어서 형성되는 실리콘 산화막의 막의 두께를, 피에칭층의 막의 두께보다 얇게 할 수 있다. 따라서, 처리 용기 내 및 처리 용기에 접속되는 각종 배관 내에 있어서 형성되는 실리콘 산화막의 두께가 피에칭층의 막의 두께보다 얇은 것에 의해 처리 용기 내 및 처리 용기에 접속되는 각종 배관 내의 실리콘 산화막이 피에칭층의 에칭에 의해 제거되므로, 처리 공정의 후에 행해지는 처리 용기 내 및 처리 용기에 접속되는 각종 배관 내의 클리닝시에 있어서 처리 용기 내 및 처리 용기에 접속되는 각종 배관 내의 실리콘 산화막을 제거하는 처리가 불필요하게 된다.In one embodiment, the thickness of the silicon oxide film formed in the processing vessel before the step of etching the organic film can be made thinner than the thickness of the film of the etched layer. Therefore, since the thickness of the silicon oxide film formed in the processing vessel and various pipes connected to the processing vessel is thinner than the thickness of the film to be etched, the silicon oxide film in various pipes connected to the processing vessel and the processing vessel, The processing for removing the silicon oxide film in the processing vessel and the various pipes connected to the processing vessel at the time of cleaning in various piping connected to the processing vessel and after the processing step is unnecessary do.

일 실시 형태에 있어서, 피처리체는, 에칭층과, 피에칭층상에 마련된 유기막을 구비할 수 있고, 처리 공정은, 처리 용기 내에서 발생시킨 플라즈마에 의해, 유기막을 에칭하는 공정을 구비할 수 있고, 형성 공정은, 처리 공정에 있어서는 유기막을 에칭하는 공정의 전에 실행될 수 있고, 유기막상에는, 제 1 마스크가 마련될 수 있고, 처리 공정은, 처리 용기 내에서 발생시킨 플라즈마에 의해 그 위에 레지스트 마스크를 갖는 반사 방지막을 에칭하는 공정으로서 반사 방지막으로부터 제 1 마스크를 형성하는 공정을 더 포함할 수 있고, 유기막을 에칭하는 공정은, 반사 방지막을 에칭하는 공정의 후에 실행될 수 있고, 처리 공정에 있어서, 형성 공정은, 반사 방지막을 에칭하는 공정과 유기막을 에칭하는 공정의 사이에 실행될 수 있고, 처리 공정은, 형성 공정과 유기막을 에칭하는 공정의 사이에 있어서, 처리 용기 내에서 발생시킨 플라즈마에 의해, 형성 공정에 의해 형성된 실리콘 산화막 중 유기막의 표면상의 영역을 제거하는 공정을 더 포함할 수 있다.In one embodiment, the object to be processed may include an etching layer and an organic film provided on the etched layer, and the processing step may include a step of etching the organic film by plasma generated in the processing vessel The forming process may be performed before the process of etching the organic film in the process step and the first mask may be provided on the organic film. The process may be performed by a plasma generated in the process container, The step of etching the organic film may be performed after the step of etching the antireflection film, and in the processing step, the step of etching the organic film may be performed after the step of etching the antireflection film, The forming process can be executed between the step of etching the antireflection film and the step of etching the organic film, The method may further include a step of removing a region on the surface of the organic film in the silicon oxide film formed by the forming process by the plasma generated in the process container between the process of etching the film and the organic film.

일 실시 형태에 있어서, 피처리체는, 에칭층과, 피에칭층상에 마련된 유기막과, 유기막상에 마련된 반사 방지막을 구비할 수 있고, 처리 공정은, 처리 용기 내에서 발생시킨 플라즈마에 의해, 유기막을 에칭하는 공정을 구비할 수 있고, 형성 공정은, 처리 공정에 있어서는 유기막을 에칭하는 공정의 전에 실행될 수 있고, 반사 방지막상에는, 제 1 마스크가 마련될 수 있고, 처리 공정은, 형성 공정에 의해 제 1 마스크상 및 반사 방지막상에 실리콘 산화막이 형성된 후에 처리 용기 내에서 발생시킨 플라즈마에 의해 실리콘 산화막 중 반사 방지막 위의 영역과 제 1 마스크의 상면의 위의 영역을 제거하는 공정으로서 실리콘 산화막 중 제 1 마스크의 측면의 위의 영역에 근거하는 제 2 마스크를 형성하는 공정과, 처리 용기 내에서 발생시킨 플라즈마에 의해, 제 1 마스크를 제거하는 공정과, 처리 용기 내에서 발생시킨 플라즈마에 의해, 반사 방지막을 에칭하는 공정을 포함할 수 있고, 유기막을 에칭하는 공정은, 반사 방지막을 에칭하는 공정의 후에 실행되고, 유기막으로 구성되는 제 3 마스크를 형성할 수 있다.In one embodiment, the object to be processed may include an etching layer, an organic film provided on the etched layer, and an antireflection film provided on the organic film, and the processing may be performed by plasma generated in the processing chamber, The forming step may be performed before the step of etching the organic film in the processing step, and the first mask may be provided on the antireflection film, and the processing step may be performed in the forming step Removing the region on the antireflection film and the region above the upper surface of the first mask by the plasma generated in the processing vessel after the silicon oxide film is formed on the first mask and the anti-reflection film, Forming a second mask based on a region above a side surface of the first mask, and a plasma generated in the processing vessel, The step of removing the first mask and the step of etching the antireflection film by the plasma generated in the processing vessel may be included and the step of etching the organic film may be performed after the step of etching the antireflection film, A third mask composed of a film can be formed.

일 실시 형태에 있어서, 형성 공정이 처리 공정에 있어서 실행되는 경우에 있어서, 제 1 공정에 있어서의 피처리체의 온도는, 섭씨 0도 이상이고, 또한, 제 1 마스크에 포함되는 재료의 유리 전이 온도(유리 전이점) 이하일 수 있다. 따라서, 모노아미노실란을 이용하는 경우에는, 피처리체의 온도가 섭씨 0도 이상 또한 제 1 마스크의 마스크 재료의 유리 전이 온도 이하인 비교적 저온에서 제 1 공정이 실행 가능하게 되므로, 웨이퍼를 가열하는 처리가 불필요하게 된다.In one embodiment, when the forming step is performed in the processing step, the temperature of the object to be processed in the first step is not lower than 0 degree Celsius, and the glass transition temperature (Glass transition point) or less. Therefore, in the case of using the monoaminosilane, the first step can be performed at a relatively low temperature at which the temperature of the object to be treated is not lower than 0 degrees Celsius and not higher than the glass transition temperature of the mask material of the first mask, .

이상 설명한 바와 같이, 피처리체상의 패턴 형성에 있어서, 고집적화에 수반되는 미세화를 위해, 고정밀도의 최소 선폭의 제어 및 안정된 최소 선폭의 재현성 등을 실현할 수 있다.As described above, in the pattern formation on the object to be processed, control of a minimum line width with high accuracy and stable reproducibility of a minimum line width can be realized for miniaturization accompanied with high integration.

도 1은 일 실시 형태의 방법을 나타내는 흐름도이다.
도 2는 플라즈마 처리 장치의 일례를 나타내는 도면이다.
도 3은 처리 용기(12)의 안쪽에 있어서의 보호막의 형성의 형태를 나타내는 도면이다.
도 4는 도 1에 나타내는 웨이퍼의 처리 공정의 일 실시 형태와 관련되는 내용을 나타내는 흐름도이다.
도 5는 도 4에 나타내는 각 공정의 실시 전 및 실시 후의 피처리체의 상태를 나타내는 단면도이다.
도 6은 도 4에 나타내는 각 공정의 실시 후의 피처리체의 상태를 나타내는 단면도이다.
도 7은 도 4에 나타내는 보호막을 형성하는 시퀀스에 있어서의 보호막의 형성의 모습을 모식적으로 나타내는 도면이다.
도 8은 도 4에 나타내는 보호막을 형성하는 시퀀스에 있어서의 플라즈마 생성에 관한 타이밍 차트이다.
도 9는 도 1에 나타내는 웨이퍼의 처리 공정의 다른 실시 형태와 관련되는 내용을 나타내는 흐름도이다.
도 10은 도 9에 나타내는 각 공정의 실시 전 및 실시 후의 피처리체의 상태를 나타내는 단면도이다.
도 11은 도 9에 나타내는 각 공정의 실시 후의 피처리체의 상태를 나타내는 단면도이다.
도 12는 도 9에 나타내는 각 공정의 실시 후의 피처리체의 상태를 나타내는 단면도이다.
1 is a flow chart illustrating a method of one embodiment.
2 is a view showing an example of a plasma processing apparatus.
3 is a view showing a form of formation of a protective film on the inner side of the processing container 12. Fig.
4 is a flow chart showing contents related to an embodiment of the wafer processing process shown in Fig.
5 is a cross-sectional view showing the state of an object to be processed before and after each step shown in Fig.
Fig. 6 is a cross-sectional view showing the state of the object to be processed after each step shown in Fig. 4; Fig.
Fig. 7 is a diagram schematically showing the formation of a protective film in the sequence for forming the protective film shown in Fig. 4. Fig.
8 is a timing chart relating to plasma generation in the sequence of forming the protective film shown in Fig.
Fig. 9 is a flowchart showing contents related to another embodiment of the wafer processing process shown in Fig. 1;
10 is a cross-sectional view showing the state of an object to be processed before and after each step shown in Fig.
Fig. 11 is a cross-sectional view showing the state of an object to be processed after each step shown in Fig. 9; Fig.
Fig. 12 is a cross-sectional view showing the state of an object to be processed after each step shown in Fig. 9 is performed.

이하, 도면을 참조하여 다양한 실시 형태에 대하여 상세하게 설명한다. 또, 각 도면에 있어서 동일 또는 상당하는 부분에 대해서는 동일한 부호를 붙이기로 한다.Hereinafter, various embodiments will be described in detail with reference to the drawings. In the drawings, the same or equivalent parts are denoted by the same reference numerals.

도 1은 일 실시 형태의 방법을 나타내는 흐름도이다. 도 1에 나타내는 일 실시 형태의 방법 MT는, 피처리체(이하, 「웨이퍼」라고 하는 경우가 있다)를 처리하는 방법이다. 또한, 일 실시 형태의 방법 MT에서는, 일련의 공정을 단일 플라즈마 처리 장치를 이용하여 실행하는 것이 가능하다.1 is a flow chart illustrating a method of one embodiment. The method MT of the embodiment shown in Fig. 1 is a method of processing an object to be processed (hereinafter sometimes referred to as " wafer "). Further, in the method MT of the embodiment, it is possible to execute a series of processes using a single plasma processing apparatus.

도 2는 플라즈마 처리 장치의 일례를 나타내는 도면이다. 도 2에는, 피처리체를 처리하는 방법의 다양한 실시 형태에서 이용 가능한 플라즈마 처리 장치(10)의 단면 구조가 개략적으로 나타나 있다. 도 2에 나타내는 바와 같이, 플라즈마 처리 장치(10)는, 용량 결합형 플라즈마 에칭 장치이다.2 is a view showing an example of a plasma processing apparatus. 2 schematically shows a cross-sectional structure of a plasma processing apparatus 10 usable in various embodiments of a method for treating an object to be processed. As shown in Fig. 2, the plasma processing apparatus 10 is a capacitively coupled plasma etching apparatus.

플라즈마 처리 장치(10)는, 처리 용기(12), 배기구(12e), 반입출구(12g), 지지부(14), 탑재대 PD, 직류 전원(22), 스위치(23), 냉매 유로(24), 배관(26a), 배관(26b), 가스 공급 라인(28), 상부 전극(30), 절연성 차폐 부재(32), 전극판(34), 가스 토출 구멍(34a), 전극 지지체(36), 가스 확산실(36a), 가스 통류 구멍(36b), 가스 도입구(36c), 가스 공급관(38), 가스 소스군(GSG)(40), 밸브군(VG)(42), 유량 제어기군(FCG)(45), 디포짓 실드(46), 배기 플레이트(48), 배기 장치(GEU)(50), 배기관(52), 게이트 밸브(54), 제 1 고주파 전원(62), 제 2 고주파 전원(64), 정합기(MU)(66), 정합기(68), 전원(PS)(70), 제어부 Cnt, 포커스 링 FR, 히터 전원 HP, 히터 HT를 구비한다. 탑재대 PD는, 정전 척 ESC, 하부 전극 LE를 구비한다. 하부 전극 LE는, 제 1 플레이트(18a), 제 2 플레이트(18b)를 구비한다. 처리 용기(12)는, 처리 공간 Sp를 규정한다.The plasma processing apparatus 10 includes a processing vessel 12, an exhaust port 12e, a loading and unloading port 12g, a support portion 14, a mount stand PD, a DC power source 22, a switch 23, The gas supply line 28, the upper electrode 30, the insulating shield member 32, the electrode plate 34, the gas discharge hole 34a, the electrode support 36, The gas diffusion chamber 36a, the gas communication hole 36b, the gas inlet 36c, the gas supply pipe 38, the gas source group GSG 40, the valve group VG 42, The first high frequency power source 62, the second high frequency power source 62, the second high frequency power source 62, the second high frequency power source 62, the second high frequency power source 62, A power source 64, a matching unit (MU) 66, a matching unit 68, a power source (PS) 70, a control unit Cnt, a focus ring FR, a heater power HP and a heater HT. The mount table PD includes an electrostatic chuck ESC and a lower electrode LE. The lower electrode LE includes a first plate 18a and a second plate 18b. The processing vessel 12 defines the processing space Sp.

처리 용기(12)는, 대략 원통 형상을 갖는다. 처리 용기(12)는, 예컨대, 알루미늄으로 구성된다. 처리 용기(12)의 내벽면은, 양극 산화 처리가 실시되어 있다. 처리 용기(12)는, 보안 접지된다.The processing vessel 12 has a substantially cylindrical shape. The processing vessel 12 is made of, for example, aluminum. The inner wall surface of the processing vessel 12 is anodized. The processing vessel 12 is securely grounded.

지지부(14)는, 처리 용기(12)의 안쪽에 있어서, 처리 용기(12)의 저부상에 마련된다. 지지부(14)는, 대략 원통 형상의 형상을 구비한다. 지지부(14)는, 예컨대, 절연 재료로 구성된다. 지지부(14)를 구성하는 절연 재료는, 석영과 같이 산소를 포함할 수 있다. 지지부(14)는, 처리 용기(12) 내에 있어서, 처리 용기(12)의 저부로부터 연직 방향으로 연장된다.The support portion 14 is provided on the bottom of the processing container 12 on the inner side of the processing container 12. The support portion 14 has a substantially cylindrical shape. The supporting portion 14 is made of, for example, an insulating material. The insulating material constituting the supporting portion 14 may contain oxygen such as quartz. The support portion 14 extends in the vertical direction from the bottom of the processing vessel 12 in the processing vessel 12. [

탑재대 PD는, 처리 용기(12) 내에 마련된다. 탑재대 PD는, 지지부(14)에 의해 지지된다. 탑재대 PD는, 탑재대 PD의 상면에 있어서, 웨이퍼 W(예컨대, 도 5에 나타내는 웨이퍼 W1, 도 10에 나타내는 웨이퍼 W2 등이고, 이하 마찬가지이다.)를 유지한다. 웨이퍼 W는, 피처리체이다. 탑재대 PD는, 하부 전극 LE 및 정전 척 ESC를 갖는다.The stand-by PD is provided in the processing vessel 12. The mount table PD is supported by the support portion 14. [ The mount table PD holds the wafer W (e.g., the wafer W1 shown in Fig. 5, the wafer W2 shown in Fig. 10, and the like in the following description) on the upper surface of the mount table PD. The wafer W is an object to be processed. The mount table PD has a lower electrode LE and an electrostatic chuck ESC.

하부 전극 LE는, 제 1 플레이트(18a) 및 제 2 플레이트(18b)를 포함한다. 제 1 플레이트(18a) 및 제 2 플레이트(18b)는, 예컨대 알루미늄이라고 하는 금속으로 구성된다. 제 1 플레이트(18a) 및 제 2 플레이트(18b)는, 대략 원반 형상의 형상을 구비한다. 제 2 플레이트(18b)는, 제 1 플레이트(18a)상에 마련된다. 제 2 플레이트(18b)는, 제 1 플레이트(18a)에 전기적으로 접속된다.The lower electrode LE includes a first plate 18a and a second plate 18b. The first plate 18a and the second plate 18b are made of metal, for example, aluminum. The first plate 18a and the second plate 18b have a substantially disk-like shape. The second plate 18b is provided on the first plate 18a. The second plate 18b is electrically connected to the first plate 18a.

정전 척 ESC는, 제 2 플레이트(18b)상에 마련된다. 정전 척 ESC는, 한 쌍의 절연층의 사이, 또는, 한 쌍의 절연 시트의 사이에 있어서 도전막의 전극을 배치한 구조를 갖는다. 직류 전원(22)은, 스위치(23)를 거쳐서, 정전 척 ESC의 전극에 전기적으로 접속된다. 정전 척 ESC는, 직류 전원(22)으로부터의 직류 전압에 의해 생긴 쿨롱력 등의 정전력에 의해, 웨이퍼 W를 흡착한다.The electrostatic chuck ESC is provided on the second plate 18b. The electrostatic chuck ESC has a structure in which electrodes of a conductive film are disposed between a pair of insulating layers or between a pair of insulating sheets. The direct current power source 22 is electrically connected to the electrode of the electrostatic chuck ESC via the switch 23. The electrostatic chuck ESC sucks the wafer W by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power supply 22.

포커스 링 FR은, 웨이퍼 W의 에지 및 정전 척 ESC를 둘러싸도록, 제 2 플레이트(18b)의 주연부상에 배치된다. 포커스 링 FR은, 에칭의 균일성을 향상시키기 위해 마련된다. 포커스 링 FR은, 에칭 대상의 막의 재료에 따라 적당히 선택되는 재료로 구성되어 있고, 예컨대, 석영으로 구성될 수 있다.The focus ring FR is disposed on the peripheral edge of the second plate 18b so as to surround the edge of the wafer W and the electrostatic chuck ESC. The focus ring FR is provided to improve the uniformity of the etching. The focus ring FR is made of a material suitably selected according to the material of the film to be etched, and may be made of, for example, quartz.

냉매 유로(24)는, 제 2 플레이트(18b)의 내부에 마련된다. 냉매 유로(24)는, 온도 조정 기구를 구성한다. 냉매 유로(24)에는, 처리 용기(12)의 외부에 마련되는 칠러 유닛으로부터 배관(26a)을 거쳐서 냉매가 공급된다. 냉매 유로(24)에 공급되는 냉매는, 배관(26b)을 거쳐서 칠러 유닛에 되돌려진다. 이와 같이, 냉매 유로(24)에는, 냉매가 순환하도록 공급된다. 이 냉매의 온도를 제어하는 것에 의해, 정전 척 ESC에 의해 지지되는 웨이퍼 W의 온도가 제어된다. 가스 공급 라인(28)은, 전열 가스 공급 기구로부터의 전열 가스, 예컨대 He 가스를, 정전 척 ESC의 상면과 웨이퍼 W의 이면의 사이에 공급한다.The refrigerant passage (24) is provided inside the second plate (18b). The refrigerant flow path 24 constitutes a temperature adjusting mechanism. The refrigerant is supplied to the refrigerant passage 24 from the chiller unit provided outside the processing vessel 12 via the pipe 26a. The refrigerant supplied to the refrigerant passage 24 is returned to the chiller unit via the pipe 26b. Thus, the refrigerant is supplied to the refrigerant passage 24 so as to circulate the refrigerant. By controlling the temperature of the coolant, the temperature of the wafer W supported by the electrostatic chuck ESC is controlled. The gas supply line 28 supplies a heat transfer gas, for example, He gas, from a heat transfer gas supply mechanism between the upper surface of the electrostatic chuck ESC and the back surface of the wafer W.

히터 HT는, 가열 소자이다. 히터 HT는, 예컨대, 제 2 플레이트(18b) 내에 매립된다. 히터 전원 HP는, 히터 HT에 접속된다. 히터 전원 HP로부터 히터 HT에 전력이 공급되는 것에 의해, 탑재대 PD의 온도가 조정되고, 그리고, 해당 탑재대 PD상에 탑재되는 웨이퍼 W의 온도가 조정된다. 또, 히터 HT는, 정전 척 ESC에 내장될 수 있다.The heater HT is a heating element. The heater HT is embedded, for example, in the second plate 18b. The heater power HP is connected to the heater HT. Power is supplied from the heater power source HP to the heater HT to adjust the temperature of the mount stand PD and adjust the temperature of the wafer W mounted on the mount stand PD. Further, the heater HT can be embedded in the electrostatic chuck ESC.

상부 전극(30)은, 탑재대 PD의 위쪽에 있어서, 탑재대 PD와 대향 배치된다. 하부 전극 LE와 상부 전극(30)은, 서로 대략 평행하게 마련된다. 상부 전극(30)과 하부 전극 LE의 사이에는, 처리 공간 Sp가 제공된다. 처리 공간 Sp는, 플라즈마 처리를 웨이퍼 W에 행하기 위한 공간 영역이다.The upper electrode 30 is disposed on the upper side of the mount table PD and opposed to the mount table PD. The lower electrode LE and the upper electrode 30 are provided approximately parallel to each other. A processing space Sp is provided between the upper electrode 30 and the lower electrode LE. The processing space Sp is a space area for performing the plasma processing on the wafer W.

상부 전극(30)은, 절연성 차폐 부재(32)를 통해서, 처리 용기(12)의 상부에 지지된다. 절연성 차폐 부재(32)는, 절연 재료로 구성되어 있고, 예컨대, 석영과 같이 산소를 포함할 수 있다. 상부 전극(30)은, 전극판(34) 및 전극 지지체(36)를 포함할 수 있다. 전극판(34)은, 처리 공간 Sp에 면하고 있다. 전극판(34)은, 복수의 가스 토출 구멍(34a)을 구비한다. 전극판(34)은, 일 실시 형태에서는, 실리콘으로 구성될 수 있다. 다른 실시 형태에서는, 전극판(34)은, 산화실리콘으로 구성될 수 있다.The upper electrode 30 is supported on the upper portion of the processing container 12 through the insulating shielding member 32. The insulating shield member 32 is made of an insulating material and may contain oxygen, for example, quartz. The upper electrode 30 may include an electrode plate 34 and an electrode support 36. The electrode plate 34 faces the processing space Sp. The electrode plate 34 has a plurality of gas discharge holes 34a. The electrode plate 34, in one embodiment, may be comprised of silicon. In another embodiment, the electrode plate 34 may be composed of silicon oxide.

전극 지지체(36)는, 전극판(34)을 탈착이 자유롭게 지지하는 것이고, 예컨대 알루미늄이라고 하는 도전성 재료로 구성될 수 있다. 전극 지지체(36)는, 수랭 구조를 가질 수 있다. 가스 확산실(36a)은, 전극 지지체(36)의 내부에 마련된다. 복수의 가스 통류 구멍(36b)의 각각은, 가스 토출 구멍(34a)에 연통한다. 복수의 가스 통류 구멍(36b)의 각각은, 가스 확산실(36a)로부터 아래쪽으로(탑재대 PD쪽으로 향하여) 연장된다.The electrode support 36 supports the electrode plate 34 in a detachable manner and may be made of a conductive material such as aluminum. The electrode support 36 may have a water cooling structure. The gas diffusion chamber (36a) is provided inside the electrode support (36). Each of the plurality of gas communication holes 36b communicates with the gas discharge hole 34a. Each of the plurality of gas communication holes 36b extends downward (toward the mount table PD) from the gas diffusion chamber 36a.

가스 도입구(36c)는, 가스 확산실(36a)에 대하여 처리 가스를 유도한다. 가스 도입구(36c)는, 전극 지지체(36)에 마련된다. 가스 공급관(38)은, 가스 도입구(36c)에 접속된다.The gas introduction port 36c guides the process gas to the gas diffusion chamber 36a. The gas inlet 36c is provided in the electrode support 36. [ The gas supply pipe 38 is connected to the gas inlet 36c.

가스 소스군(40)은, 밸브군(42) 및 유량 제어기군(45)을 거쳐서, 가스 공급관(38)에 접속된다. 가스 소스군(40)은, 복수의 가스 소스를 갖는다. 복수의 가스 소스는, 아미노실란계 가스의 소스, 할로겐화규소 가스의 소스, 산소 가스의 소스, 수소 가스의 소스, 질소 가스의 소스, 플루오로카본 가스의 소스, 및, 희가스의 소스를 포함할 수 있다. 아미노실란계 가스로서는, 아미노기의 수가 비교적 적은 분자 구조의 것이 이용될 수 있고, 예컨대, 모노아미노실란(H3-Si-R(R은 유기를 포함하고 있고 치환되고 있더라도 좋은 아미노기))이 이용될 수 있다. 상기의 아미노실란계 가스(후술하는 제 1 가스 G1에 포함되는 가스)는, 1~3개의 규소 원자를 가질 수 있는 아미노실란을 포함할 수 있고, 또는, 1~3개의 아미노기를 갖는 아미노실란을 포함할 수 있다. 1~3개의 규소 원자를 갖는 아미노실란은, 1~3개의 아미노기를 갖는 모노실란(모노아미노실란), 1~3개의 아미노기를 갖는 디실란, 또는, 1~3개의 아미노기를 갖는 트리실란일 수 있다. 또한, 상기의 아미노실란은, 치환되고 있더라도 좋은 아미노기를 가질 수 있다. 또한, 상기의 아미노기는, 메틸기, 에틸기, 프로필기, 및, 부틸기의 어느 하나에 의해 치환될 수 있다. 또한, 상기의 메틸기, 에틸기, 프로필기, 또는, 부틸기는, 할로겐에 의해 치환될 수 있다. 할로겐화규소 가스로서는, DCS(디클로로실란) 가스가 이용될 수 있다. 플루오로카본 가스로서는, CF4 가스, C4F6 가스, C4F8 가스라고 하는 임의의 플루오로카본 가스가 이용될 수 있다. 또한, 희가스로서는, He 가스, Ar 가스라고 하는 임의의 희가스가 이용될 수 있다.The gas source group 40 is connected to the gas supply pipe 38 via the valve group 42 and the flow controller group 45. The gas source group 40 has a plurality of gas sources. The plurality of gas sources may include a source of an aminosilane-based gas, a source of a halogenated silicon gas, a source of an oxygen gas, a source of a hydrogen gas, a source of a nitrogen gas, a source of a fluorocarbon gas, have. As the aminosilane-based gas, a gas having a relatively small number of amino groups can be used, and for example, monoaminosilane (H 3 -Si-R (R is an amino group which may contain an organic group and may be substituted)) is used . The aminosilane-based gas (gas contained in the first gas G1 described later) may contain aminosilane having 1 to 3 silicon atoms, or aminosilane having 1 to 3 amino groups . The aminosilane having 1 to 3 silicon atoms may be monosilane (monoaminosilane) having 1 to 3 amino groups, disilane having 1 to 3 amino groups, or trisilane having 1 to 3 amino groups have. Further, the aminosilane may have an amino group which may be substituted. The amino group may be substituted with any one of a methyl group, an ethyl group, a propyl group, and a butyl group. In addition, the above-mentioned methyl, ethyl, propyl or butyl group may be substituted by halogen. As the halogenated silicon gas, DCS (dichlorosilane) gas may be used. As the fluorocarbon gas, any fluorocarbon gas such as CF 4 gas, C 4 F 6 gas and C 4 F 8 gas can be used. As the rare gas, any rare gas called He gas or Ar gas can be used.

밸브군(42)은, 복수의 밸브를 포함한다. 유량 제어기군(45)은, 매스 플로우 컨트롤러라고 하는 복수의 유량 제어기를 포함한다. 가스 소스군(40)의 복수의 가스 소스의 각각은, 밸브군(42)의 대응의 밸브 및 유량 제어기군(45)의 대응의 유량 제어기를 거쳐서, 가스 공급관(38)에 접속된다. 따라서, 플라즈마 처리 장치(10)는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 1개 이상의 가스 소스로부터의 가스를, 개별적으로 조정된 유량으로, 처리 용기(12) 내에 공급하는 것이 가능하다. 또한, 플라즈마 처리 장치(10)에서는, 처리 용기(12)의 내벽을 따라 디포짓 실드(46)가 탈착이 자유롭게 마련되어 있다. 디포짓 실드(46)는, 지지부(14)의 외주에도 마련되어 있다. 디포짓 실드(46)는, 처리 용기(12)에 에칭 부생물(디포짓)이 부착되는 것을 방지하는 것이고, 알루미늄재에 Y2O3 등의 세라믹스를 피복하는 것에 의해 구성될 수 있다. 디포짓 실드는, Y2O3 외에, 예컨대, 석영과 같이 산소를 포함하는 재료로 구성될 수 있다.The valve group 42 includes a plurality of valves. The flow controller group 45 includes a plurality of flow controllers, called mass flow controllers. Each of the plurality of gas sources of the gas source group 40 is connected to the gas supply pipe 38 via a corresponding valve of the valve group 42 and a corresponding flow controller of the flow controller group 45. Therefore, the plasma processing apparatus 10 can supply the gas from one or more gas sources selected from a plurality of gas sources of the gas source group 40 into the processing vessel 12 at individually adjusted flow rates Do. Further, in the plasma processing apparatus 10, a deposit shield 46 is detachably provided along the inner wall of the processing vessel 12. The deposit shield 46 is also provided on the outer periphery of the support portion 14. The deposit shield 46 prevents deposition of etching by-products (deposit) on the processing vessel 12 and can be constituted by coating an aluminum material with ceramics such as Y 2 O 3 . The deposit shield may be made of a material containing oxygen such as quartz in addition to Y 2 O 3 .

배기 플레이트(48)는, 처리 용기(12)의 저부측이고, 또한, 지지부(14)와 처리 용기(12)의 측벽의 사이에 마련되어 있다. 배기 플레이트(48)는, 예컨대, 알루미늄재에 Y2O3 등의 세라믹스를 피복하는 것에 의해 구성될 수 있다. 배기구(12e)는, 배기 플레이트(48)의 아래쪽에 있어서, 처리 용기(12)에 마련되어 있다. 배기 장치(50)는, 배기관(52)을 거쳐서 배기구(12e)에 접속된다. 배기 장치(50)는, 터보 분자 펌프 등의 진공 펌프를 갖고 있고, 처리 용기(12) 내의 공간을 소망하는 진공도까지 감압할 수 있다. 반입출구(12g)는, 웨이퍼 W의 반입출구이다. 반입출구(12g)는, 처리 용기(12)의 측벽에 마련된다. 반입출구(12g)는, 게이트 밸브(54)에 의해 개폐 가능하다.The exhaust plate 48 is provided on the bottom side of the processing vessel 12 and further between the supporting portion 14 and the side walls of the processing vessel 12. The exhaust plate 48 can be constituted by, for example, coating an aluminum material with ceramics such as Y 2 O 3 . The exhaust port 12e is provided in the processing container 12 below the exhaust plate 48. [ The exhaust device 50 is connected to the exhaust port 12e via an exhaust pipe 52. [ The exhaust device 50 has a vacuum pump such as a turbo molecular pump, and can decompress the space in the processing container 12 to a desired degree of vacuum. The loading / unloading port 12g is a loading / unloading port for the wafer W. The loading / unloading port 12g is provided on the side wall of the processing vessel 12. [ The loading / unloading port 12g is openable / closable by the gate valve 54. [

제 1 고주파 전원(62)은, 플라즈마 생성용의 제 1 고주파 전력을 발생시키는 전원이고, 27~100[㎒]의 주파수, 일례에 있어서는 40[㎒]의 고주파 전력을 발생시킨다. 제 1 고주파 전원(62)은, 정합기(66)를 거쳐서 상부 전극(30)에 접속된다. 정합기(66)는, 제 1 고주파 전원(62)의 출력 임피던스와 부하측(하부 전극 LE측)의 입력 임피던스를 정합시키기 위한 회로이다. 또, 제 1 고주파 전원(62)은, 정합기(66)를 거쳐서 하부 전극 LE에 접속될 수도 있다.The first high frequency power source 62 is a power source for generating a first high frequency power for generating plasma and generates a high frequency power of 27 to 100 [MHz], for example, 40 [MHz]. The first high frequency power source 62 is connected to the upper electrode 30 via the matching unit 66. The matching device 66 is a circuit for matching the output impedance of the first high frequency power source 62 with the input impedance of the load side (lower electrode LE side). The first high frequency power source 62 may be connected to the lower electrode LE via the matching device 66. [

제 2 고주파 전원(64)은, 웨이퍼 W에 이온을 끌어들이기 위한 제 2 고주파 전력, 즉 고주파 바이어스 전력을 발생시키는 전원이고, 400[㎑]~40.68[㎒]의 범위 내의 주파수, 일례에 있어서는 3.2[㎒]의 고주파 바이어스 전력을 발생시킨다. 제 2 고주파 전원(64)은, 정합기(68)를 거쳐서 하부 전극 LE에 접속된다. 정합기(68)는, 제 2 고주파 전원(64)의 출력 임피던스와 부하측(하부 전극 LE측)의 입력 임피던스를 정합시키기 위한 회로이다. 또한, 전원(70)은, 상부 전극(30)에 접속된다. 전원(70)은, 처리 공간 Sp 내에 존재하는 양이온을 전극판(34)에 끌어들이기 위한 전압을, 상부 전극(30)에 인가한다. 일례에 있어서는, 전원(70)은, 부의 직류 전압을 발생시키는 직류 전원이다. 이와 같은 전압이 전원(70)으로부터 상부 전극(30)에 인가되면, 처리 공간 Sp에 존재하는 양이온이, 전극판(34)에 충돌한다. 이것에 의해, 전극판(34)으로부터 2차 전자 및/또는 실리콘이 방출된다.The second high frequency power source 64 is a power source for generating a second high frequency power for attracting ions to the wafer W, that is, a high frequency bias power, and has a frequency within a range of 400 [kHz] to 40.68 [ And generates a high-frequency bias power of [MHz]. The second high frequency power source 64 is connected to the lower electrode LE via the matching device 68. [ The matching unit 68 is a circuit for matching the output impedance of the second high frequency power supply 64 with the input impedance of the load side (lower electrode LE side). Further, the power source 70 is connected to the upper electrode 30. The power source 70 applies a voltage to the upper electrode 30 to attract the positive ions present in the processing space Sp to the electrode plate 34. [ In one example, the power source 70 is a DC power source that generates a negative DC voltage. When such a voltage is applied from the power source 70 to the upper electrode 30, the positive ions existing in the processing space Sp collide with the electrode plate 34. As a result, secondary electrons and / or silicon is emitted from the electrode plate 34.

제어부 Cnt는, 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이고, 플라즈마 처리 장치(10)의 각 부를 제어한다. 구체적으로, 제어부 Cnt는, 밸브군(42), 유량 제어기군(45), 배기 장치(50), 제 1 고주파 전원(62), 정합기(66), 제 2 고주파 전원(64), 정합기(68), 전원(70), 히터 전원 HP 및 칠러 유닛에 접속되어 있다.The control unit Cnt is a computer having a processor, a storage unit, an input device, a display device, and the like, and controls each section of the plasma processing apparatus 10. Specifically, the control unit Cnt includes a valve group 42, a flow controller group 45, an exhaust unit 50, a first high frequency power source 62, a matching unit 66, a second high frequency power source 64, A power source 68, a power source 70, a heater power HP, and a chiller unit.

제어부 Cnt는, 입력된 레시피에 근거하는 프로그램에 따라 동작하고, 제어 신호를 송출한다. 제어부 Cnt로부터의 제어 신호에 의해, 가스 소스군으로부터 공급되는 가스의 선택 및 유량과, 배기 장치(50)의 배기와, 제 1 고주파 전원(62) 및 제 2 고주파 전원(64)으로부터의 전력 공급과, 전원(70)으로부터의 전압 인가와, 히터 전원 HP의 전력 공급과, 칠러 유닛으로부터의 냉매 유량 및 냉매 온도를 제어하는 것이 가능하다. 또, 본 명세서에 있어서 개시되는 피처리체를 처리하는 방법의 각 공정은, 제어부 Cnt에 의한 제어에 의해 플라즈마 처리 장치(10)의 각 부를 동작시키는 것에 의해, 실행될 수 있다.The control unit Cnt operates according to a program based on the inputted recipe, and sends out a control signal. The selection and flow rate of the gas supplied from the gas source group and the exhaust of the exhaust device 50 and the power supply from the first high frequency power supply 62 and the second high frequency power supply 64 The power supply from the power source 70, the power supply from the heater power HP, and the coolant flow rate and the coolant temperature from the chiller unit. Each step of the method for processing an object to be processed described in this specification can be executed by operating each part of the plasma processing apparatus 10 under the control of the control unit Cnt.

다시 도 1을 참조하여, 방법 MT에 대하여 상세하게 설명한다. 이하에서는, 방법 MT의 실시에 플라즈마 처리 장치(10)가 이용되는 예에 대하여 설명을 행한다. 또한, 이하의 설명에 있어서, 도 3, 도 4, 도 5, 도 9, 도 10을 참조한다. 도 3은 처리 용기(12)의 안쪽에 있어서의 보호막의 형성의 형태를 나타내는 도면이다. 도 4는 도 1에 나타내는 웨이퍼의 처리 공정의 일 실시 형태와 관련되는 내용을 나타내는 흐름도이다. 도 5는 도 4에 나타내는 각 공정의 실시 전 및 실시 후의 피처리체의 상태를 나타내는 단면도이다. 도 9는 도 1에 나타내는 웨이퍼의 처리 공정의 다른 실시 형태와 관련되는 내용을 나타내는 흐름도이다. 도 10은 도 9에 나타내는 각 공정의 실시 전 및 실시 후의 피처리체의 상태를 나타내는 단면도이다.Referring again to Figure 1, the method MT will now be described in detail. Hereinafter, an example in which the plasma processing apparatus 10 is used in the method MT will be described. In the following description, reference is also made to Figs. 3, 4, 5, 9, and 10. Fig. 3 is a view showing a form of formation of a protective film on the inner side of the processing container 12. Fig. 4 is a flow chart showing contents related to an embodiment of the wafer processing process shown in Fig. 5 is a cross-sectional view showing the state of an object to be processed before and after each step shown in Fig. Fig. 9 is a flowchart showing contents related to another embodiment of the wafer processing process shown in Fig. 1; 10 is a cross-sectional view showing the state of an object to be processed before and after each step shown in Fig.

도 1에 나타내는 방법 MT에서는, 우선, 공정 S1에 있어서, 처리 용기(12)의 탑재대 PD에 더미 웨이퍼를 탑재하고, 처리 용기(12) 내에 대하여 시즈닝 처리를 실시하고, 시즈닝 처리의 실시 후에 처리 용기(12) 내로부터 더미 웨이퍼를 반출한다. 공정 S1에 있어서는, 도 3의 상태 A1에 나타내는 바와 같이, 처리 용기(12)의 안쪽에 있는 플라즈마 처리 장치(10)의 모든 구성 부품의 표면(예컨대, 플라즈마를 발생시키는 처리 용기(12)의 내벽면이나, 처리 용기(12)에 접속되는 가스 공급관(38) 등의 각종 배관의 내벽면 등이고, 이하 마찬가지.)은, 처리 공간 Sp에 노출되고 있다. 처리 용기(12)에 접속되는 가스 공급관(38) 등의 각종 배관도, 처리 공간 Sp에 연통하고 있고, 따라서, 처리 공간 Sp에 대하여 노출된다.In the method MT shown in Fig. 1, first, in step S1, a dummy wafer is mounted on a mounting table PD of the processing container 12, a seasoning process is performed in the process container 12, The dummy wafer is taken out from the container 12. In step S1, as shown in state A1 in Fig. 3, the surface of all components of the plasma processing apparatus 10 inside the processing vessel 12 (for example, the inside of the processing vessel 12 for generating plasma The wall surface and the inner wall surface of various pipes such as the gas supply pipe 38 connected to the processing vessel 12 and the like) are exposed to the processing space Sp. Various piping such as the gas supply pipe 38 connected to the processing vessel 12 also communicate with the processing space Sp and are thus exposed to the processing space Sp.

계속되는 공정 S2(준비 공정)에 있어서, 피처리체인 웨이퍼 W를 처리 용기(12) 내에 반입하기 전에, 처리 공간 Sp 등에 노출되어 있는 플라즈마 처리 장치(10)의 모든 구성 부품의 표면에 대하여, 실리콘 산화막(SiO2)인 보호막 SXa1을 형성한다. 공정 S2에 있어서 행해지는 보호막 SXa1의 형성 공정은, 도 4에 나타내는 시퀀스 SQ1 및 도 9에 나타내는 시퀀스 SQ2와 동일한 시퀀스에 의해 실시될 수 있다. 시퀀스 SQ1 또는 시퀀스 SQ2는, 도 5에 있어서의 실리콘 산화막(SiO2)인 보호막 SX1, 또는 도 10에 있어서의 실리콘 산화막(SiO2)인 보호막 SX2를 형성하는 공정에 포함된 것으로서, 각각의 공정은 하기의 공정 S4(처리 공정)에서 행해진다. 공정 S2에 있어서 행해지는 보호막 SXa1의 형성 공정에 대해서는, 시퀀스 SQ1의 설명 및 시퀀스 SQ2의 설명에 있어서, 상세하게 설명된다. 도 3의 상태 A2에 나타내는 바와 같이, 공정 S2에 있어서 실시되는 보호막 SXa1의 형성 공정에 의해, 보호막 SXa1이, 처리 공간 Sp에 노출되고 있는 플라즈마 처리 장치(10)의 모든 구성 부품의 표면에 대하여, 해당 표면의 형상에 관계없이, 균일한 두께(LC1)로 컨포멀하게 형성될 수 있다.The surface of all the components of the plasma processing apparatus 10 that is exposed to the processing space Sp or the like before carrying the wafer W to be processed into the processing vessel 12 in the subsequent step S2 (preparation step) (SiO 2 ). The step of forming the protective film SXa1 performed in step S2 can be performed by the same sequence as the sequence SQ1 shown in Fig. 4 and the sequence SQ2 shown in Fig. The sequence SQ1 or the sequence SQ2 is included in the process of forming the protective film SX1 which is the silicon oxide film (SiO 2 ) in FIG. 5 or the protective film SX2 which is the silicon oxide film (SiO 2 ) in FIG. 10, Is carried out in the following step S4 (processing step). The process of forming the protective film SXa1 performed in step S2 will be described in detail in the description of the sequence SQ1 and the description of the sequence SQ2. 3, the protective film SXa1 is exposed to the processing space Sp by the step of forming the protective film SXa1 to be performed in the step S2, and the surface of all the constituent parts of the plasma processing apparatus 10, Regardless of the shape of the surface, it can be conformally formed with a uniform thickness LC1.

계속되는 공정 S3에 있어서, 피처리체의 웨이퍼 W(도 5의 ⒜ 부분에 나타내는 웨이퍼 W1 또는 도 10의 ⒜ 부분에 나타내는 웨이퍼 W2)를 처리 용기(12) 내에 반입하고, 처리 용기(12) 내의 탑재대 PD에 탑재한다.The wafer W (the wafer W1 shown in part (a) of FIG. 5 or the wafer W2 shown in part (a) of FIG. 10) of the object to be processed is carried into the processing container 12, PD.

계속되는 공정 S4(처리 공정)에 있어서, 처리 용기(12) 내에 수용된 웨이퍼 W에 대하여 에칭 처리를 실시한다. 공정 S4의 구체적인 처리 내용의 일 실시 형태는, 도 4에 나타나 있고, 후술된다. 공정 S4의 구체적인 처리 내용의 다른 실시 형태는, 도 9에 나타나 있고, 후술된다. 공정 S4에 포함되는 시퀀스 SQ1(도 4)에 있어서 보호막 SX1이 웨이퍼 W1(도 5)에 형성될 때, 또는, 공정 S4에 포함되는 시퀀스 SQ2(도 9)에 있어서 보호막 SX2가 웨이퍼 W2(도 10)에 형성될 때에, 도 3의 상태 A3에 나타내는 바와 같이, 실리콘 산화막(SiO2)인 보호막 SXa2가, 보호막 SXa1의 표면의 전체에 대하여, 보호막 SXa1의 해당 표면의 형상에 관계없이, 균일한 두께(LC2a)로 컨포멀하게 형성될 수 있다. 보호막 SXa1과 보호막 SXa2는, 모두 실리콘 산화막으로 이루어지고, 동일한 재료 및 동일한 구조를 갖고 있고, 보호막 SXa1과 보호막 SXa2가, 단일 보호막 SXa를 구성하고 있다. 보호막 SXa는, 균일한 두께(LC1+LC2a)를 갖는다. 따라서, 보호막 SXa는, 처리 공간 Sp 등에 노출되는 플라즈마 처리 장치(10)의 모든 구성 부품의 표면에 대하여, 해당 표면의 형상에 관계없이, 균일한 두께(LC1+LC2a)로 컨포멀하게 형성될 수 있다.In the succeeding step S4 (processing step), the wafer W accommodated in the processing vessel 12 is subjected to etching treatment. One embodiment of the concrete process contents of step S4 is shown in Fig. 4 and will be described later. Another embodiment of the process contents of step S4 is shown in Fig. 9 and will be described later. When the protective film SX1 is formed on the wafer W1 (Fig. 5) in the sequence SQ1 (Fig. 4) included in the step S4 or when the protective film SX2 is formed on the wafer W2 ) when formed, as shown in the state A3 in Figure 3, silicon oxide (SiO 2) in the protective film SXa2 is, with respect to the entirety of the surface of the protective film SXa1, regardless of the shape of the surface of the protective film SXa1, uniform thickness Lt; RTI ID = 0.0 > LC2a. ≪ / RTI > The protective film SXa1 and the protective film SXa2 are both made of a silicon oxide film and have the same material and the same structure, and the protective film SXa1 and the protective film SXa2 constitute a single protective film SXa. The protective film SXa has a uniform thickness (LC1 + LC2a). Therefore, the protective film SXa can be conformally formed with uniform thicknesses (LC1 + LC2a) irrespective of the shape of the surface of all components of the plasma processing apparatus 10 exposed to the processing space Sp and the like have.

그리고, 계속되는 공정 S5에 있어서, 처리 용기(12) 내로부터 웨이퍼 W를 반출한다. 계속되는 공정 S6에 있어서, 처리 용기(12)의 안쪽 및 처리 용기(12)에 접속하는 가스 공급관(38) 등의 각종 배관의 안쪽에 잔존하는 보호막 SXa를 제거한다. 이 처리에 의해, 도 3의 상태 A4에 나타내는 바와 같이, 처리 공간 Sp에 있어서 플라즈마 처리 장치(10)의 모든 구성 부품의 표면은, 처리 공간 Sp에 대하여 모두 노출된다. 또, 공정 S4에 있어서 보호막 SXa가 모두 제거되는 에칭 처리가 행해지는 경우에는, 공정 S6의 실시는 불필요하게 된다.Then, in the next step S5, the wafer W is carried out from the inside of the processing container 12. [ In step S6, the protective film SXa remaining inside the various pipes such as the gas supply pipe 38 connected to the inside of the processing vessel 12 and the processing vessel 12 is removed. By this process, as shown in state A4 in Fig. 3, the surfaces of all the components of the plasma processing apparatus 10 in the processing space Sp are all exposed to the processing space Sp. In the case where the etching process in which all the protective film SXa is removed in step S4 is performed, the step S6 is not necessary.

계속되는 공정 S7에 있어서, 다른 웨이퍼에 대하여 공정 S2~공정 S6의 시퀀스를 행하는 경우에는(공정 S7 : 아니오) 공정 S2로 이행하고, 공정 S2~공정 S6의 시퀀스를 행하는 다른 웨이퍼가 없는 경우에는(공정 S7 : 예) 방법 MT의 실행을 종료한다.In the subsequent step S7, when the sequence of steps S2 to S6 is to be performed on other wafers (step S7: NO), the process goes to step S2, and if there is no other wafer to perform the sequence of steps S2 to S6 S7: Yes) Terminate execution of method MT.

다음으로, 도 1의 공정 S4의 처리 내용의 일 실시 형태를, 도 4를 참조하여 상세하게 설명한다. 이하의 설명에 있어서, 도 5, 도 6, 도 7, 도 8을 참조한다. 도 6은 도 4에 나타내는 방법의 각 공정의 실시 후의 피처리체의 상태를 나타내는 단면도이다. 도 7은 도 4에 나타내는 보호막을 형성하는 시퀀스에 있어서의 보호막의 형성의 모습을 모식적으로 나타내는 도면이다. 도 8은 도 4에 나타내는 보호막을 형성하는 시퀀스에 있어서의 플라즈마 생성에 관한 타이밍 차트이다.Next, one embodiment of the processing contents of step S4 in Fig. 1 will be described in detail with reference to Fig. In the following description, reference is made to Figs. 5, 6, 7, and 8. Fig. Fig. 6 is a cross-sectional view showing the state of the object to be processed after each step of the method shown in Fig. 4; Fig. Fig. 7 is a diagram schematically showing the formation of a protective film in the sequence for forming the protective film shown in Fig. 4. Fig. 8 is a timing chart relating to plasma generation in the sequence of forming the protective film shown in Fig.

공정 S1, S2, S3의 처리 후의 공정 S4를 도 4에 나타낸다. 우선, 공정 S41a에 있어서, 도 5의 ⒜ 부분에 나타내는 웨이퍼 W1을, 도 2에 나타내는 웨이퍼 W로서 준비한다. 공정 S41a에 있어서 준비되는 웨이퍼 W1은, 도 5의 ⒜ 부분에 나타내는 바와 같이, 기판 SB1, 피에칭층 EL1, 유기막 OL1, 반사 방지막 AL1, 및 마스크 MK11을 갖는다. 피에칭층 EL1은, 기판 SB1상에 마련된다. 피에칭층 EL1은, 유기막 OL1에 대하여 선택적으로 에칭되는 재료로 구성되는 층이고 절연막이 이용된다. 피에칭층 EL1은, 예컨대, 산화실리콘(SiO2)으로 구성될 수 있다. 피에칭층 EL1은, 두께 LD를 갖는다. 또, 피에칭층 EL1은, 다결정 실리콘이라고 하는 다른 재료로 구성될 수 있다.Step S4 after the processes S1, S2, and S3 are shown in Fig. First, in step S41a, the wafer W1 shown in part (a) of FIG. 5 is prepared as the wafer W shown in FIG. The wafer W1 prepared in the step S41a has the substrate SB1, the etched layer EL1, the organic film OL1, the antireflection film AL1, and the mask MK11 as shown in part (a) of Fig. The etched layer EL1 is provided on the substrate SB1. The etched layer EL1 is a layer composed of a material selectively etched with respect to the organic film OL1, and an insulating film is used. The etched layer EL1 is, for example, may be of a silicon oxide (SiO 2). The etched layer EL1 has a thickness LD. The etched layer EL1 may be made of another material such as polycrystalline silicon.

유기막 OL1은, 피에칭층 EL1상에 마련된다. 유기막 OL1은, 탄소를 포함하는 층이고, 예컨대, SOH(스핀 온 하드마스크)층이다. 반사 방지막 AL1은, 실리콘 함유의 반사 방지막이고, 유기막 OL1상에 마련된다.The organic film OL1 is provided on the etched layer EL1. The organic film OL1 is a layer containing carbon, for example, a SOH (spin-on hard mask) layer. The antireflection film AL1 is a silicon-containing antireflection film and is provided on the organic film OL1.

마스크 MK11은, 반사 방지막 AL1상에 마련된다. 마스크 MK11은, 레지스트 재료로 구성된 레지스트 마스크이고, 포토리소그래피 기술에 의해 레지스트층이 패터닝되는 것에 의해 제작된다. 마스크 MK11은, 반사 방지막 AL1을 부분적으로 덮고 있다. 마스크 MK11은, 반사 방지막 AL1을 부분적으로 노출시키는 개구를 규정하고 있다. 마스크 MK11의 패턴은, 예컨대, 라인 앤드 스페이스 패턴이다. 또, 마스크 MK11은, 평면에서 볼 때 원형의 개구를 제공하는 패턴을 가질 수 있다. 혹은, 마스크 MK11은, 평면에서 볼 때 타원 형상의 개구를 제공하는 패턴을 가질 수 있다.The mask MK11 is provided on the antireflection film AL1. The mask MK11 is a resist mask composed of a resist material and is manufactured by patterning the resist layer by photolithography. The mask MK11 partially covers the antireflection film AL1. The mask MK11 defines an opening for partially exposing the antireflection film AL1. The pattern of the mask MK11 is, for example, a line-and-space pattern. Also, the mask MK11 may have a pattern that provides a circular opening in plan view. Alternatively, the mask MK11 may have a pattern that provides an elliptical opening in plan view.

공정 S41a에서는, 도 5의 ⒜ 부분에 나타내는 웨이퍼 W1이 준비되고, 웨이퍼 W1이 플라즈마 처리 장치(10)의 처리 용기(12) 내에 수용되고, 탑재대 PD상에 탑재된다.In step S41a, the wafer W1 shown in part (a) of FIG. 5 is prepared, and the wafer W1 is accommodated in the processing container 12 of the plasma processing apparatus 10 and mounted on the mount table PD.

공정 S41a에 계속하여, 공정 S41b를 실행한다. 공정 S41b에서는, 웨이퍼 W1에 2차 전자가 조사된다. 구체적으로는, 처리 용기(12) 내에 수소 가스 및 희가스가 공급되고, 제 1 고주파 전원(62)으로부터 고주파 전력이 공급되는 것에 의해, 플라즈마가 생성된다. 또한, 전원(70)에 의해, 상부 전극(30)에 부의 직류 전압이 인가된다. 이것에 의해, 처리 공간 Sp 중의 양이온이 상부 전극(30)에 끌어들여지고, 해당 양이온이 상부 전극(30)에 충돌한다. 양이온이 상부 전극(30)에 충돌하는 것에 의해, 상부 전극(30)으로부터는 2차 전자가 방출된다. 방출된 2차 전자가 웨이퍼 W1에 조사되는 것에 의해, 마스크 MK11이 개질된다. 또, 상부 전극(30)에 인가되는 부의 직류 전압의 절대값의 레벨이 높은 경우에는, 전극판(34)에 양이온이 충돌하는 것에 의해, 해당 전극판(34)의 구성 재료인 실리콘이, 2차 전자와 함께 방출된다. 방출된 실리콘은, 플라즈마에 노출된 플라즈마 처리 장치(10)의 구성 부품으로부터 방출되는 산소와 결합한다. 해당 산소는, 예컨대, 지지부(14), 절연성 차폐 부재(32), 및 디포짓 실드(46)라고 하는 부재로부터 방출된다. 이와 같은 실리콘과 산소의 결합에 의해, 산화실리콘 화합물이 생성되고, 해당 산화실리콘 화합물이 웨이퍼 W1상에 퇴적되어 마스크 MK11을 덮어 보호한다. 이들 개질과 보호의 효과에 의해, 후속 공정에 의한 마스크 MK11의 손상이 억제된다. 또, 공정 S41b에서는 2차 전자의 조사에 의한 개질이나 보호막의 형성을 위해, 제 2 고주파 전원(64)의 바이어스 전력을 최소한으로 하여, 실리콘의 방출을 억제하더라도 좋다.Subsequent to step S41a, step S41b is executed. In step S41b, secondary electrons are irradiated onto the wafer W1. Specifically, hydrogen gas and rare gas are supplied into the processing vessel 12, and high-frequency power is supplied from the first high-frequency power source 62, thereby generating plasma. A negative DC voltage is applied to the upper electrode 30 by the power source 70. [ As a result, positive ions in the processing space Sp are attracted to the upper electrode 30, and the positive ions collide with the upper electrode 30. As the positive ions collide with the upper electrode 30, secondary electrons are emitted from the upper electrode 30. The emitted secondary electrons are irradiated on the wafer W1, whereby the mask MK11 is modified. When the level of the absolute value of the negative DC voltage applied to the upper electrode 30 is high, positive ions collide with the electrode plate 34, so that silicon, which is a constituent material of the electrode plate 34, It is emitted with the car electrons. The released silicon bonds with oxygen emitted from the components of the plasma processing apparatus 10 exposed to the plasma. The oxygen is released, for example, from a support 14, an insulating shield member 32, and a member called a deposit shield 46. By such a combination of silicon and oxygen, a silicon oxide compound is produced, and the silicon oxide compound is deposited on the wafer W1 to cover and protect the mask MK11. By the effect of these reforming and protection, the damage of the mask MK11 by the subsequent process is suppressed. In step S41b, the bias power of the second high frequency power supply 64 may be minimized to suppress the release of silicon for modification by secondary electron irradiation or formation of a protective film.

계속되는 공정 S41c에서는, 반사 방지막 AL1을 에칭한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 플루오로카본 가스를 포함하는 처리 가스를 처리 용기(12) 내에 공급한다. 그리고, 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제 2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 의해, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이것에 의해, 플루오로카본 가스의 플라즈마가 생성된다. 생성된 플라즈마 중의 불소를 포함하는 활성종은, 반사 방지막 AL1의 전체 영역 중 마스크 MK11로부터 노출된 영역을 에칭한다. 이것에 의해, 도 5의 ⒝ 부분에 나타내는 바와 같이, 반사 방지막 AL1로부터 마스크 ALM1이 형성된다. 공정 S41c에 의해 형성되는 유기막 OL1에 대한 마스크(제 1 마스크)는, 마스크 MK11과 마스크 ALM1을 갖는다.In the succeeding step S41c, the anti-reflection film AL1 is etched. Specifically, a process gas containing a fluorocarbon gas is supplied into the processing vessel 12 from a selected gas source among a plurality of gas sources of the gas source group 40. Then, high-frequency power is supplied from the first high-frequency power source 62. And supplies a high-frequency bias power from the second high-frequency power supply 64. [ By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure. As a result, a plasma of a fluorocarbon gas is generated. The active species containing fluorine in the generated plasma etches the region exposed from the mask MK11 in the entire region of the antireflection film AL1. Thus, as shown in the section (b) of FIG. 5, the mask ALM1 is formed from the antireflection film AL1. The mask (first mask) for the organic film OL1 formed by the process S41c has the mask MK11 and the mask ALM1.

계속되는 공정 S41d에서는, 도 5의 ⒞ 부분에 나타낸 바와 같이, 공정 S41b의 방법과 마찬가지로 하여, 마스크 MK11의 표면, 마스크 ALM1의 표면, 유기막 OL1의 표면에, 산화실리콘의 보호막(보호막 PF1)을 형성한다.5, a protective film (protective film PF1) of silicon oxide is formed on the surface of the mask MK11, the surface of the mask ALM1 and the surface of the organic film OL1 in the same manner as in the step S41b do.

공정 S41d에 계속하여, 도 4에 나타내는 공정 S4에서는, 시퀀스 SQ1을 1회 이상 실행한다. 시퀀스 SQ1은, 공정 S41e(제 1 공정), 공정 S41f(제 2 공정), 공정 S41g(제 3 공정) 및 공정 S41h(제 4 공정)를 포함한다. 공정 S41e에서는, 처리 용기(12) 내에, 실리콘을 함유하는 제 1 가스 G1을 도입한다. 제 1 가스 G1은, 아미노실란계 가스이다. 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 아미노실란계 가스의 제 1 가스 G1을 처리 용기(12) 내에 공급한다. 제 1 가스 G1은, 아미노실란계 가스로서, 모노아미노실란(H3-Si-R(R은 아미노기))이 이용된다. 공정 S41e에서는, 제 1 가스 G1의 플라즈마를 생성하지 않는다.Continuing to step S41d, in step S4 shown in Fig. 4, the sequence SQ1 is executed one or more times. The sequence SQ1 includes steps S41e (first step), step S41f (second step), step S41g (third step) and step S41h (fourth step). In step S41e, the first gas G1 containing silicon is introduced into the processing vessel 12. [ The first gas G1 is an aminosilane-based gas. The first gas G1 of the aminosilane-based gas is supplied from the selected gas source among the plurality of gas sources of the gas source group 40 into the processing vessel 12. [ The first gas G1 is, as an aminosilane-based gas, mono-aminosilanes (H 3 -Si-R (R is an amino group)) is used. In step S41e, the plasma of the first gas G1 is not generated.

도 7의 ⒜ 부분에 나타내는 바와 같이, 제 1 가스 G1의 분자가 반응 전구체로서 웨이퍼 W1의 표면에 부착된다. 제 1 가스 G1의 분자(모노아미노실란)는, 화학 결합에 근거하는 화학 흡착에 의해 웨이퍼 W1의 표면에 부착되는 것이고, 플라즈마는 이용되지 않는다. 공정 S41e에서는, 웨이퍼 W1의 온도는, 섭씨 0도 이상 또한 마스크 MK11에 포함되는 재료의 유리 전이 온도 이하(예컨대 섭씨 200도 이하)의 정도이다. 또, 해당 온도 범위에서 화학 결합에 의해 표면에 부착 가능하고 또한 실리콘을 함유하는 것이면, 모노아미노실란 이외의 가스의 이용도 가능하다. 디아미노실란(H2-Si-R2(R은 아미노기)) 및 트리아미노실란(H-Si-R3(R은 아미노기))에 대해서는, 모노아미노실란보다 복잡한 분자 구조를 가지므로, 제 1 가스 G1로서 이용하는 경우에 있어서 균일한 막의 형성을 실현하기 위해서는, 아미노기를 자기 분해하기 위해 열처리가 행해지는 경우도 있다.As shown in part (a) of Fig. 7, molecules of the first gas G1 are attached to the surface of the wafer W1 as a reaction precursor. The molecules of the first gas G1 (monoaminosilane) are attached to the surface of the wafer W1 by chemical adsorption based on chemical bonds, and no plasma is used. In step S41e, the temperature of the wafer W1 is not lower than 0 degrees Celsius and not higher than the glass transition temperature of the material contained in the mask MK11 (for example, not higher than 200 degrees Celsius). In addition, gases other than monoaminosilane can be used as long as they can be attached to the surface by chemical bonding in the temperature range and contain silicon. Since diaminosilane (H 2 -Si-R 2 (R is an amino group) and triaminosilane (H-Si-R 3 (R is an amino group)) have a more complicated molecular structure than monoamino silane, In order to realize the formation of a uniform film in some cases, heat treatment may be carried out in order to self-decompose the amino group.

제 1 가스 G1로 모노아미노실란계 가스가 선택되는 이유는, 모노아미노실란이 비교적 높은 전기 음성도를 갖고 또한 극성을 갖는 분자 구조를 갖는 것에 의해 화학 흡착이 비교적 용이하게 행해질 수 있다고 하는 것에 기인한다. 제 1 가스 G1의 분자가 웨이퍼 W1의 표면에 부착되는 것에 의해 형성되는 층 Ly1은, 해당 부착이 화학 흡착이기 때문에 단분자층(단층)에 가까운 상태가 된다. 모노아미노실란의 아미노기(R)가 작을수록, 웨이퍼 W1의 표면에 흡착되는 분자의 분자 구조도 작아지므로, 분자의 크기에 기인하는 입체 장해가 저감되고, 따라서, 제 1 가스 G1의 분자가 웨이퍼 W1의 표면에 균일하게 흡착될 수 있고, 층 Ly1은 웨이퍼 W1의 표면에 대하여 균일한 막 두께로 형성될 수 있다. 예컨대, 제 1 가스 G1에 포함되는 모노아미노실란(H3-Si-R)이 웨이퍼 W1의 표면의 OH기와 반응하는 것에 의해, 반응 전구체의 H3-Si-O가 형성되고, 따라서, H3-Si-O의 단분자층인 층 Ly1이 형성된다. 따라서, 웨이퍼 W1의 표면에 대하여, 반응 전구체의 층 Ly1이, 웨이퍼 W1의 패턴 밀도에 의존하지 않고서, 균일한 막 두께로 컨포멀하게 형성될 수 있다.The reason why the monoaminosilane-based gas is selected as the first gas G1 is that the monoaminosilane has a relatively high electronegativity and has a molecular structure having polarity so that the chemical adsorption can be performed relatively easily . The layer Ly1 formed by attaching the molecules of the first gas G1 to the surface of the wafer W1 is in a state close to the monomolecular layer (single layer) because the attachment is chemisorption. The smaller the amino group (R) of the monoaminosilane is, the smaller the molecular structure of the molecule adsorbed on the surface of the wafer W1 is, and thus the steric hindrance due to the molecular size is reduced. And the layer Ly1 can be formed with a uniform film thickness with respect to the surface of the wafer W1. For example, the mono-aminosilanes (H 3 -Si-R) H 3 -Si-O in the reaction precursor by the reaction of the OH group and the surface of the wafer W1 is formed to be included in the first gas G1, therefore, 3 H A single layer Ly1 of -Si-O is formed. Thus, with respect to the surface of the wafer W1, the layer Ly1 of the reaction precursor can be conformally formed with a uniform film thickness without depending on the pattern density of the wafer W1.

공정 S41e에서는, 웨이퍼 W1의 표면에 대해서만이 아니라 처리 용기(12)의 처리 공간 Sp 등(처리 용기(12)에 접속되는 각종 배관의 안쪽을 포함한다)에 노출되고 있는 보호막 SXa1의 표면에 대해서도, 층 Ly1의 형성과 동시에, 제 1 가스 G1에 의해, 층 Ly1과 동일한 층(단분자층)이, 보호막 SXa1의 표면의 형상에 관계없이, 균일한 막 두께로 컨포멀하게 형성될 수 있다.In step S41e, not only the surface of the wafer W1 but also the surface of the protective film SXa1 exposed to the processing space Sp of the processing vessel 12 (including the inside of various pipes connected to the processing vessel 12) Simultaneously with the formation of the layer Ly1, the same layer (monolayer) as the layer Ly1 can be formed conformally with a uniform film thickness regardless of the shape of the surface of the protective film SXa1 by the first gas G1.

계속되는 공정 S41f에서는, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정 S41e에 있어서 공급된 제 1 가스 G1이 배기된다. 공정 S41f에서는, 퍼지 가스로서 질소 가스라고 하는 불활성 가스를 처리 용기(12)에 공급하더라도 좋다. 즉, 공정 S41f의 퍼지는, 불활성 가스를 처리 용기(12) 내에 흘리는 가스 퍼지, 또는 진공 흡인에 의한 퍼지의 어느 것이더라도 좋다. 공정 S41f에서는, 웨이퍼 W1상에 과잉 부착된 분자도 제거될 수 있다. 이상에 의해, 반응 전구체의 층 Ly1은 극히 얇은 단분자층이 된다.In the succeeding step S41f, the space in the processing container 12 is purged. Specifically, the first gas G1 supplied in the step S41e is exhausted. In step S41f, an inert gas, such as nitrogen gas, may be supplied as the purge gas to the processing container 12. [ That is, the purging of the step S41f may be either gas purging for flowing an inert gas into the processing vessel 12 or purging by vacuum suction. In step S41f, the molecules excessively deposited on the wafer W1 can also be removed. As a result, the reaction precursor layer Ly1 becomes an extremely thin monolayer.

계속되는 공정 S41g에서는, 처리 용기(12) 내에 있어서 산소 가스를 포함하는 제 2 가스의 플라즈마 P1을 생성한다. 공정 S41g에 있어서, 제 2 가스의 플라즈마 P1이 생성될 때의 웨이퍼 W1의 온도는, 섭씨 0도 이상 또한 마스크 MK11에 포함되는 재료의 유리 전이 온도 이하(예컨대 섭씨 200도 이하)이다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 산소 가스를 포함하는 제 2 가스를 처리 용기(12) 내에 공급한다. 그리고, 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 이 경우, 제 2 고주파 전원(64)의 바이어스 전력을 인가할 수도 있다. 또한, 제 1 고주파 전원(62)을 이용하지 않고서 제 2 고주파 전원(64)만을 이용하여 플라즈마를 생성할 수도 있다. 배기 장치(50)를 동작시키는 것에 의해, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다.In the succeeding step S41g, the plasma P1 of the second gas containing oxygen gas is generated in the processing vessel 12. [ In step S41g, the temperature of the wafer W1 at the time when plasma P1 of the second gas is generated is not lower than 0 degrees Celsius and not higher than the glass transition temperature of the material contained in the mask MK11 (for example, 200 degrees Celsius or less). Specifically, a second gas containing oxygen gas is supplied into the processing vessel 12 from a selected gas source among a plurality of gas sources of the gas source group 40. Then, high-frequency power is supplied from the first high-frequency power source 62. In this case, the bias power of the second high frequency power source 64 may be applied. Further, it is also possible to generate plasma by using only the second high frequency power source 64 without using the first high frequency power source 62. By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure.

상술한 바와 같이 공정 S41e의 실행에 의해 웨이퍼 W1의 표면에 부착된 분자(층 Ly1의 단분자층을 구성하는 분자)는, 실리콘과 수소의 결합을 포함한다. 실리콘과 수소의 결합 에너지는, 실리콘과 산소의 결합 에너지보다 낮다. 따라서, 도 7의 ⒝ 부분에 나타내는 바와 같이, 산소 가스를 포함하는 제 2 가스의 플라즈마 P1이 생성되면, 산소의 활성종, 예컨대, 산소 라디칼이 생성되고, 층 Ly1의 단분자층을 구성하는 분자의 수소가 산소로 치환되고, 도 7의 ⒞ 부분에 나타내는 바와 같이, 실리콘 산화막인 층 Ly2가 단분자층으로서 형성된다.As described above, the molecules (molecules constituting the monolayer of the layer Ly1) attached to the surface of the wafer W1 by the execution of the step S41e include a combination of silicon and hydrogen. The bond energy of silicon and hydrogen is lower than the bond energy of silicon and oxygen. 7, when plasma P1 of the second gas containing oxygen gas is generated, an active species of oxygen, for example, an oxygen radical, is generated, and hydrogen of a molecule constituting the monolayer of layer Ly1 Is replaced with oxygen, and a layer Ly2 which is a silicon oxide film is formed as a monolayer, as shown in Fig.

계속되는 공정 S41h에서는, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정 S41g에 있어서 공급된 제 2 가스가 배기된다. 공정 S41h에서는, 퍼지 가스로서 질소 가스라고 하는 불활성 가스를 처리 용기(12)에 공급하더라도 좋다. 즉, 공정 S41h의 퍼지는, 불활성 가스를 처리 용기(12) 내에 흘리는 가스 퍼지, 또는 진공 흡인에 의한 퍼지의 어느 것이더라도 좋다.In the succeeding step S41h, the space in the processing container 12 is purged. Specifically, the second gas supplied in the step S41g is exhausted. In step S41h, an inert gas, such as nitrogen gas, may be supplied as the purge gas to the processing container 12. [ That is, the purging of the process S41h may be either gas purging to flow the inert gas into the processing vessel 12 or purging by vacuum suction.

이상 설명한 시퀀스 SQ1에 있어서는, 공정 S41f에 있어서 퍼지가 행해지고, 계속되는 공정 S41g에 있어서 층 Ly1을 구성하는 분자의 수소가 산소로 치환된다. 따라서, ALD법과 마찬가지로, 1회의 시퀀스 SQ1의 실행에 의해, 실리콘 산화막의 층 Ly2를, 웨이퍼 W1의 표면상에, 마스크 MK11의 조밀(粗密)에 관계없이 얇고 균일한 막 두께로 컨포멀하게 형성할 수 있다.In the above-described sequence SQ1, purging is carried out in the step S41f, and in the succeeding step S41g, the hydrogen of the molecules constituting the layer Ly1 is replaced with oxygen. Therefore, similarly to the ALD method, by performing the sequence SQ1 once, the silicon oxide layer Ly2 is conformally formed on the surface of the wafer W1 at a thin and uniform film thickness regardless of the roughness of the mask MK11 .

시퀀스 SQ1의 후에 계속되는 공정 S41i에서는, 시퀀스 SQ1의 실행을 종료하는지 여부를 판정한다. 구체적으로는, 공정 S41i에서는, 시퀀스 SQ1의 실행 횟수가 소정 횟수에 도달했는지 여부를 판정한다. 시퀀스 SQ1의 실행 횟수의 결정은, 웨이퍼 W1상에 형성되는 보호막 SX1의 막의 두께를 결정하는 것이다. 즉, 1회의 시퀀스 SQ1의 실행에 의해 형성되는 실리콘 산화막의 막 두께와 시퀀스 SQ1의 실행 횟수의 곱에 의해, 최종적으로 웨이퍼 W1상에 형성되는 보호막 SX1의 막의 두께가 실질적으로 결정된다. 따라서, 웨이퍼 W1상에 형성되는 보호막 SX1의 소망하는 두께에 따라, 시퀀스 SQ1의 실행 횟수가 설정된다.In step S41i subsequent to the sequence SQ1, it is determined whether or not the execution of the sequence SQ1 is ended. More specifically, in step S41i, it is determined whether or not the number of executions of the sequence SQ1 has reached a predetermined number of times. The determination of the number of times of execution of the sequence SQ1 is to determine the thickness of the film of the protective film SX1 formed on the wafer W1. That is, the thickness of the protective film SX1 finally formed on the wafer W1 is substantially determined by the product of the film thickness of the silicon oxide film formed by executing the sequence SQ1 once and the number of times of execution of the sequence SQ1. Therefore, the number of times of execution of the sequence SQ1 is set according to the desired thickness of the protective film SX1 formed on the wafer W1.

공정 S41i에 있어서 시퀀스 SQ1의 실행 횟수가 소정 횟수에 도달하고 있지 않다고 판정되는 경우에는(공정 S41i : 아니오), 시퀀스 SQ1의 실행이 다시 반복된다. 한편, 공정 S41i에 있어서 시퀀스 SQ1의 실행 횟수가 소정 횟수에 도달하고 있다고 판정되는 경우에는(공정 S41i : 예), 시퀀스 SQ1의 실행이 종료된다. 이것에 의해, 도 5의 ⒟ 부분에 나타내는 바와 같이, 웨이퍼 W1의 표면상에 실리콘 산화막인 보호막 SX1이 형성된다. 즉, 시퀀스 SQ1이 소정 횟수만큼 반복되는 것에 의해, 소정의 막 두께를 갖는 보호막 SX1이, 마스크 MK11의 조밀에 관계없이 균일한 막 두께로 컨포멀하게 웨이퍼 W1의 표면에 형성된다.When it is determined in step S41i that the number of executions of the sequence SQ1 has not reached the predetermined number (step S41i: NO), the execution of the sequence SQ1 is repeated again. On the other hand, if it is determined in step S41i that the number of executions of the sequence SQ1 has reached the predetermined number (step S41i: YES), the execution of the sequence SQ1 is terminated. As a result, as shown in FIG. 5, a protective film SX1 which is a silicon oxide film is formed on the surface of the wafer W1. That is, since the sequence SQ1 is repeated a predetermined number of times, the protective film SX1 having a predetermined film thickness is formed conformally on the surface of the wafer W1 with a uniform film thickness irrespective of the density of the mask MK11.

여기서, 시퀀스 SQ1에 있어서의 플라즈마의 생성 타이밍을, 도 8에 나타낸다. 도 8에는, 시퀀스 SQ1이 적어도 3회 반복되고 있는 모습이 나타나 있다. 도 8에 나타내는 「ON」은, 플라즈마가 생성되고 있는 상태를 나타내고, 도 8에 나타내는 「OFF」는, 플라즈마가 생성되고 있지 않은 상태를 나타낸다. 도 8에 나타내는 바와 같이, 시퀀스 SQ1에 있어서, 공정 S41e에서는 플라즈마는 생성되지 않고, 공정 S41g에서만 플라즈마가 생성된다.Here, the generation timing of the plasma in the sequence SQ1 is shown in Fig. FIG. 8 shows that the sequence SQ1 is repeated at least three times. "ON" shown in FIG. 8 indicates a state in which plasma is generated, and "OFF" shown in FIG. 8 indicates a state in which no plasma is generated. As shown in Fig. 8, in sequence SQ1, no plasma is generated in step S41e, and plasma is generated in step S41g only.

보호막 SX1은, 도 5의 ⒟ 부분에 나타내는 바와 같이, 영역 R11, 영역 R21 및 영역 R31을 포함한다. 영역 R31은, 마스크 MK11의 측면상 및 마스크 ALM1의 측면상에서 해당 측면을 따라 연장되는 영역이다. 영역 R31은, 유기막 OL1의 표면으로부터 영역 R11의 아래쪽까지 연장되고 있다. 영역 R11은, 마스크 MK11의 상면의 위 및 영역 R31상에서 연장되고 있다. 영역 R21은, 인접하는 영역 R31의 사이, 또한, 유기막 OL1의 표면상에서 연장되고 있다. 상술한 바와 같이, 시퀀스 SQ1은, ALD법과 마찬가지로 보호막 SX1을 형성하므로, 마스크 MK11의 조밀에 관계없이, 영역 R11, 영역 R21, 및 영역 R31의 각각의 막 두께는, 서로 대략 동일한 막 두께가 된다.5, the protective film SX1 includes a region R11, a region R21, and a region R31. The region R31 is an area extending along the side surface of the mask MK11 and the side surface of the mask ALM1. The region R31 extends from the surface of the organic film OL1 to below the region R11. The region R11 extends above the upper surface of the mask MK11 and on the region R31. The region R21 extends on the surface of the organic film OL1 between the adjacent regions R31. As described above, since the sequence SQ1 forms the protective film SX1 in the same manner as the ALD method, the film thicknesses of the regions R11, R21, and R31 are substantially the same regardless of the density of the mask MK11.

여기서, 시퀀스 SQ1의 실행시에 있어서의 처리 용기(12) 내의 보호막의 형성의 형태에 대하여 설명한다. 웨이퍼 W1의 표면에 있어서 보호막 SX1이 형성되는 것과 동시에, 시퀀스 SQ1이 반복 실행되는 것에 의해, 도 3의 상태 A3에 나타내는 보호막 SXa2가 처리 공간 Sp 등에 있는 보호막 SXa1의 표면에 형성된다. 따라서, 보호막 SX1의 두께(LC2b)는, 보호막 SXa2의 두께(LC2a)와 대략 동일하게 된다. 즉, 도 4에 나타내는 공정 S4에 있어서 시퀀스 SQ1이 반복되는 것에 의해, 보호막 SX1의 두께와 동일한 두께를 갖는 보호막 SXa2가, 균일한 막 두께로 컨포멀하게 보호막 SXa1의 표면에 형성된다.Here, the formation of the protective film in the processing vessel 12 at the time of executing the sequence SQ1 will be described. By forming the protective film SX1 on the surface of the wafer W1 and repeating the sequence SQ1, the protective film SXa2 shown in the state A3 in Fig. 3 is formed on the surface of the protective film SXa1 in the processing space Sp and the like. Therefore, the thickness LC2b of the protective film SX1 becomes substantially equal to the thickness LC2a of the protective film SXa2. That is, the sequence SQ1 is repeated in the step S4 shown in Fig. 4, so that the protective film SXa2 having the same thickness as that of the protective film SX1 is formed conformally on the surface of the protective film SXa1 with a uniform film thickness.

또, 도 3의 상태 A2 및 상태 A3에 나타내는 보호막 SXa1도, 시퀀스 SQ1과 동일한 시퀀스에 의해, 공정 S2에 있어서 형성된 것이다. 따라서, 공정 S2에 있어서 해당 시퀀스가 소정 횟수만큼 반복되는 것에 의해, 처리 공간 Sp 등에 노출되고 있는 플라즈마 처리 장치(10)의 모든 구성 부품의 표면에 대하여, 소정의 막 두께(LC1)를 갖는 보호막 SXa1이, 처리 공간 Sp 등에 있어서, 균일한 막 두께로 컨포멀하게 형성된다.Also, the protective film SXa1 shown in state A2 and state A3 in Fig. 3 is formed in step S2 by the same sequence as sequence SQ1. Therefore, the protective film SXa1 having the predetermined film thickness LC1 is formed on the surface of all the component parts of the plasma processing apparatus 10 exposed in the processing space Sp by repeating the sequence for a predetermined number of times in step S2 Is formed conformally at a uniform film thickness in the processing space Sp and the like.

도 4로 돌아와 설명한다. 공정 S41i에 계속되는 공정 S41j에서는, 영역 R11 및 영역 R21을 제거하도록, 보호막 SX1을 에칭(에치백)한다. 영역 R11 및 영역 R21의 제거를 위해서는, 이방성의 에칭 조건이 필요하다. 이 때문에, 공정 S41j에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 플루오로카본 가스를 포함하는 처리 가스를 처리 용기(12) 내에 공급한다. 그리고, 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제 2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 의해, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이것에 의해, 플루오로카본 가스의 플라즈마가 생성된다. 생성된 플라즈마 중의 불소를 포함하는 활성종은, 고주파 바이어스 전력에 의한 연직 방향으로의 끌어들임에 의해, 영역 R11 및 영역 R21을 우선적으로 에칭한다. 그 결과, 도 6의 ⒜ 부분에 나타내는 바와 같이, 영역 R11 및 영역 R21이 선택적으로 제거되고, 남겨진 영역 R31에 의해 마스크 MS1이 형성된다. 마스크 MS1과, 보호막 PF1 및 마스크 ALM1은, 유기막 OL1의 표면상의 마스크 MK21을 구성한다.Returning to Fig. In the process S41j subsequent to the process S41i, the protective film SX1 is etched (etched back) so as to remove the region R11 and the region R21. In order to remove the region R11 and the region R21, an anisotropic etching condition is required. Therefore, in step S41j, a process gas containing a fluorocarbon gas is supplied from the selected gas source among the plurality of gas sources of the gas source group 40 into the processing vessel 12. [ Then, high-frequency power is supplied from the first high-frequency power source 62. And supplies a high-frequency bias power from the second high-frequency power supply 64. [ By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure. As a result, a plasma of a fluorocarbon gas is generated. The active species containing fluorine in the generated plasma preferentially etches the region R11 and the region R21 by drawing in the vertical direction by the high-frequency bias power. As a result, as shown in part (a) of FIG. 6, the area R11 and the area R21 are selectively removed, and the mask MS1 is formed by the remaining area R31. The mask MS1, the protective film PF1 and the mask ALM1 constitute a mask MK21 on the surface of the organic film OL1.

계속되는 공정 S41k에서는, 유기막 OL1을 에칭한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 질소 가스와 수소 가스를 포함하는 처리 가스를 처리 용기(12) 내에 공급한다. 그리고, 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제 2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 의해, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이것에 의해, 질소 가스와 수소 가스를 포함하는 처리 가스의 플라즈마가 생성된다. 생성된 플라즈마 중의 수소의 활성종인 수소 라디칼은, 유기막 OL1의 전체 영역 중 마스크 MK21로부터 노출된 영역을 에칭한다. 이것에 의해, 도 6의 ⒝ 부분에 나타내는 바와 같이, 유기막 OL1로부터 마스크 OLM1이 형성된다. 또, 유기막 OL1을 에칭하는 가스로서는, 산소를 포함하는 처리 가스를 이용하더라도 좋다. 또한, 마스크 OLM1이 제공하는 개구의 폭은, 마스크 MK21이 제공하는 개구의 폭과 대략 동일하게 된다.In the succeeding step S41k, the organic film OL1 is etched. Specifically, a process gas containing a nitrogen gas and a hydrogen gas is supplied into the processing vessel 12 from a selected gas source among a plurality of gas sources of the gas source group 40. Then, high-frequency power is supplied from the first high-frequency power source 62. And supplies a high-frequency bias power from the second high-frequency power supply 64. [ By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure. As a result, a plasma of a process gas containing nitrogen gas and hydrogen gas is generated. The hydrogen radical, which is the active species of hydrogen in the generated plasma, etches the region exposed from the mask MK21 in the entire region of the organic film OL1. As a result, as shown in part (b) of Fig. 6, the mask OLM1 is formed from the organic film OL1. As the gas for etching the organic film OL1, a process gas containing oxygen may be used. Further, the width of the opening provided by the mask OLM1 becomes substantially equal to the width of the opening provided by the mask MK21.

계속되는 공정 S41m에서는, 피에칭층 EL1을 에칭한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 처리 가스를 처리 용기(12) 내에 공급한다. 처리 가스는, 피에칭층 EL1을 구성하는 재료에 따라 적당히 선택될 수 있다. 예컨대, 피에칭층 EL1이 산화실리콘으로 구성되어 있는 경우에는, 처리 가스는, 플루오로카본 가스를 포함할 수 있다. 그리고, 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제 2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 의해, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이것에 의해, 플라즈마가 생성된다. 생성된 플라즈마 중의 활성종은, 피에칭층 EL1의 전체 영역 중, 마스크 OLM1로부터 노출된 영역을 에칭한다. 이것에 의해, 도 6의 ⒞ 부분에 나타내는 바와 같이, 마스크 OLM1의 패턴이 피에칭층 EL1에 전사된다.In the succeeding step S41m, the etched layer EL1 is etched. Specifically, the process gas is supplied into the processing container 12 from a selected gas source among a plurality of gas sources of the gas source group 40. The process gas can be appropriately selected depending on the material constituting the etched layer EL1. For example, when the etched layer EL1 is composed of silicon oxide, the process gas may include a fluorocarbon gas. Then, high-frequency power is supplied from the first high-frequency power source 62. And supplies a high-frequency bias power from the second high-frequency power supply 64. [ By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure. Thereby, a plasma is generated. The active species in the generated plasma etches the entire region of the etched layer EL1, the region exposed from the mask OLM1. 6, the pattern of the mask OLM1 is transferred to the etched layer EL1.

여기서, 처리 용기(12) 내에 형성되는 보호막 SXa의 두께에 대하여 설명한다. 유기막 OL1을 에칭하는 공정 S41k의 전까지 처리 용기(12) 내에 있어서 형성되는 보호막 SXa의 막의 두께(LC1+LC2a)는, 유기막 OL1을 에칭하는 공정 S41k의 종료까지 보호막 SXa 중 에칭되어 제거되는 막의 두께(LE)보다 두껍고, LE<(LC1+LC2a)의 관계를 만족시킨다. 또한, 유기막 OL1을 에칭하는 공정 S41k의 전까지 처리 용기(12) 내에 있어서 형성되는 보호막 SXa의 막의 두께(LC1+LC2a)는, 피에칭층 EL1의 막의 두께(LD)보다 얇고, (LC1+LC2a)<LD의 관계를 만족시킨다. 또한, 보호막 SXa의 막의 두께(LC1+LC2a)는, 상기의 대소 관계를 동시에 만족시킬 수 있다. 즉, LE<(LC1+LC2a)<LD의 관계를 만족시킬 수 있다. 또, 특히 (LC1+LC2a)<LD의 경우에는, 공정 S41m의 완료까지 처리 용기(12) 내의 보호막 SXa가 모두 제거되므로, 공정 S6의 처리는 불필요하다.Here, the thickness of the protective film SXa formed in the processing vessel 12 will be described. The thickness (LC1 + LC2a) of the protective film SXa formed in the processing vessel 12 before the step S41k for etching the organic film OL1 is the thickness (LC1 + LC2a) of the film that is etched and removed in the protective film SXa until the end of the step S41k for etching the organic film OL1 Is thicker than the thickness (LE) and satisfies the relationship of LE < (LC1 + LC2a). The thickness (LC1 + LC2a) of the protective film SXa formed in the processing vessel 12 before the step S41k for etching the organic film OL1 is thinner than the thickness LD of the film E1 to be etched, and (LC1 + LC2a ) &Lt; LD. Further, the thickness (LC1 + LC2a) of the film of the protective film SXa can satisfy both the above-mentioned magnitude relation. That is, the relationship of LE < (LC1 + LC2a) < LD can be satisfied. Further, in the case of (LC1 + LC2a) <LD, the protective film SXa in the processing vessel 12 is completely removed until the completion of the step S41m, so that the processing of the step S6 is unnecessary.

이상 설명한 도 4에 나타내는 공정 S4의 실행에 의해, 하기의 효과가 있다. 공정 S41e에 있어서, 플라즈마의 생성을 행하지 않고서 아미노실란계 가스를 포함하는 제 1 가스 G1이 처리 용기(12) 내에 공급되고, 또한 이 후에, 공정 S41g에 있어서, 산소 가스를 함유하는 제 2 가스의 플라즈마 P1이 생성되어 박막의 실리콘 산화막의 보호막 SX1이 형성된다. 따라서, 도 4에 나타내는 공정 S4에 있어서 실행되는 공정 S41e~공정 S41h(시퀀스 SQ1)에 의해 보호막 SX1이 웨이퍼 W1의 표면에 있어서 균일하고 컨포멀하게 형성된다. 그리고, 도 4에 나타내는 공정 S4에 있어서 실행되는 형성 공정(공정 S41e로부터 공정 S41i(예)까지의 공정)에서는, 시퀀스 SQ1이 반복 실행되므로, 웨이퍼 W1의 표면에 형성되는 보호막 SX1의 두께를 정밀하게 제어할 수 있다. 따라서, 복수 회의 시퀀스 SQ1을 포함하는 형성 공정에 의해 형성되는 보호막 SX1에 의해, 웨이퍼 W1의 표면에 있어서의 패턴의 최소 선폭을 정밀하게 저감할 수 있고, 고집적화에 수반되는 미세화가 가능하게 된다.By the execution of the step S4 shown in Fig. 4 described above, the following effect is obtained. In the step S41e, the first gas G1 containing the aminosilane-based gas is supplied into the processing vessel 12 without generating the plasma, and thereafter, in the step S41g, the second gas containing the oxygen gas The plasma P1 is generated to form the protective film SX1 of the thin silicon oxide film. Therefore, the protective film SX1 is formed uniformly and conformally on the surface of the wafer W1 by the steps S41e to S41h (sequence SQ1) executed in the step S4 shown in Fig. The sequence SQ1 is repeatedly executed in the forming process (the process from step S41e to step S41i (Yes)) executed in step S4 shown in Fig. 4, so that the thickness of the protective film SX1 formed on the surface of the wafer W1 is precisely Can be controlled. Therefore, the minimum line width of the pattern on the surface of the wafer W1 can be precisely reduced by the protective film SX1 formed by the forming process including the sequence SQ1 of a plurality of times, and miniaturization accompanying high integration can be achieved.

또한, 도 4에 나타내는 공정 S4에 있어서 실행되는 형성 공정(공정 S41e로부터 공정 S41i(예)까지의 공정)에 의해, 웨이퍼 W1의 표면에 실리콘 산화막의 보호막 SX1이 형성됨과 아울러, 또한, 처리 용기(12)의 안쪽의 표면 및 처리 용기(12)에 접속되는 각종 배관의 안쪽의 표면에 대해서도 보호막 SX1과 동일한 두께로 실리콘 산화막이 보호막(보호막 SXa2)으로서 형성된다. 따라서, 처리 용기(12)의 안쪽의 표면 및 처리 용기(12)에 접속되는 각종 배관의 안쪽의 표면에 형성되는 보호막 SXa2에 의해, 이들 각 표면으로부터 생기는 파티클의 발생과 해당 각 표면의 상태의 변화를 충분히 억제할 수 있으므로, 안정된 최소 선폭의 재현 등이 가능하게 된다.In addition, the protective film SX1 of the silicon oxide film is formed on the surface of the wafer W1 by the forming process (the process from the step S41e to the step S41i (example)) executed in the step S4 shown in Fig. 4, A silicon oxide film is formed as a protective film (protective film SXa2) with the same thickness as that of the protective film SX1 on the inner surface of the processing vessel 12 and the inner surface of various pipes connected to the processing vessel 12. [ Therefore, by the protective film SXa2 formed on the inner surface of the processing vessel 12 and on the inner surface of various pipes connected to the processing vessel 12, the generation of particles generated from these surfaces and the change It is possible to reproduce a stable minimum line width and the like.

또한, 도 4에 나타내는 공정 S4에 있어서 실행되는 형성 공정(공정 S41e로부터 공정 S41i(예)까지의 공정)과는 독립적으로, 도 4에 나타내는 공정 S4의 전에 실행되는 준비 공정의 공정 S2에 있어서도 형성 공정(공정 S41e로부터 공정 S41i(예)까지의 공정)이 실행된다. 따라서, 도 4에 나타내는 공정 S4에 있어서 에칭에 의해 제거되는 실리콘 산화막의 두께에 따른 소망하는 두께의 실리콘 산화막을, 처리 용기(12)의 안쪽의 표면 및 처리 용기(12)에 접속되는 각종 배관의 안쪽의 표면에 대하여, 보호막으로서 형성할 수 있으므로, 도 4에 나타내는 공정 S4에 있어서 행해지는 에칭의 정도에 의존하는 일 없이, 이들 각 표면으로부터 생기는 파티클의 발생과 해당 각 표면의 상태의 변화를 충분히 억제할 수 있다.Also, independently of the forming step (step S41e to step S41i (Yes)) executed in step S4 shown in Fig. 4, it is also formed in step S2 of the preparing step, which is performed before step S4 shown in Fig. 4 (The process from step S41e to step S41i (Yes)) is executed. 4, the silicon oxide film of the desired thickness corresponding to the thickness of the silicon oxide film to be removed by etching is formed on the inner surface of the processing vessel 12 and on the surface of the various pipes connected to the processing vessel 12 The occurrence of particles generated from each of these surfaces and the change in the state of each of the surfaces can be sufficiently suppressed without depending on the degree of etching performed in step S4 shown in Fig. .

또한, 모노아미노실란(H3-Si-R(R은 아미노기))을 포함하는 제 1 가스 G1을 이용하여 형성 처리(공정 S41d의 후로부터 공정 S41i(예)까지의 공정)를 행할 수 있으므로, ALD법에 따르는 경우와 마찬가지로, 보호막 SX1, 보호막 SXa를, 표면 형상에 대하여 균일한 두께로 컨포멀하게, 정밀하게 형성할 수 있다.Also, because the mono-amino-silane (H 3 -Si-R (R is an amino group)) to be carried out the first process is formed by using a gas G1 (step from after the process up to step S41i S41d (YES)), including, The protective film SX1 and the protective film SXa can be formed conformally and precisely at a uniform thickness with respect to the surface shape, similarly to the case of the ALD method.

또한, 모노아미노실란을 이용하는 경우에는, 웨이퍼 W1의 온도를 섭씨 0도 이상 또한 마스크 MK11에 포함되는 재료의 유리 전이 온도 이하의 비교적 저온에서 웨이퍼 W1에 대한 처리가 실행 가능하게 되므로, 웨이퍼 W1을 가열하는 처리가 불필요하게 된다.Further, when monoaminosilane is used, the wafer W1 can be processed at a relatively low temperature of not less than 0 degrees Celsius and the glass transition temperature of the material contained in the mask MK11, so that the wafer W1 can be heated A process for performing the above process is not required.

또한, 도 4에 나타내는 공정 S4의 후에 있어서 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내에 실리콘 산화막이 잔존하는 경우에도, 공정 S6을 실행하는 것에 의해, 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내로부터 실리콘 산화막을 확실히 제거하는 것이 가능하게 된다.Even when the silicon oxide film remains in the processing vessel 12 and in various piping connected to the processing vessel 12 after the step S4 shown in Fig. 4, by executing the step S6, It is possible to reliably remove the silicon oxide film from the interior of the processing vessel 12 and various piping connected to the processing vessel 12.

또한, 유기막 OL1을 에칭하는 공정 S41k의 전까지 처리 용기(12) 내에 있어서 형성되는 보호막 SXa의 막의 두께(LC1+LC2a)는, 유기막 OL1을 에칭하는 공정 S41k의 종료까지 보호막 SXa 중 에칭되어 제거되는 막의 두께(LE)보다 두껍다. 이와 같이, 공정 S41k에 의한 유기막 OL1의 에칭이 종료된 후에 있어서도 처리 용기(12)의 안쪽의 표면 및 처리 용기(12)에 접속되는 각종 배관의 안쪽의 표면에 실리콘 산화막이 남게 되므로, 이하의 사태, 즉 에칭 중에 실리콘 산화막이 제거되어 이들 각 표면이 노출되는 것에 의해 해당 각 표면의 상태가 변화하고 해당 각 표면으로부터 파티클이 생기는 등의 사태를 회피할 수 있다. 또한, 공정 S41k에 의한 유기막 OL1의 에칭의 실행 전에 보호막 SX1을 형성하는 형성 공정(공정 S41e로부터 공정 S41i(예)까지의 공정)이 행해지므로, 유기막 OL1의 에칭으로 생기는 활성종(예컨대 수소 라디칼)이 처리 용기(12)의 안쪽의 표면 및 처리 용기(12)에 접속되는 각종 배관의 안쪽의 표면과 반응하는 것을 회피할 수 있고, 따라서, 이들 각 표면으로부터의 파티클의 발생 및 해당 각 표면의 상태의 변화를 충분히 억제할 수 있다.The thickness (LC1 + LC2a) of the protective film SXa formed in the processing vessel 12 before the step S41k for etching the organic film OL1 is etched in the protective film SXa until the end of the step S41k for etching the organic film OL1, Is greater than the thickness LE of the underlying film. Thus, even after the etching of the organic film OL1 by the process S41k is finished, the silicon oxide film remains on the inner surface of the processing container 12 and on the inner surface of various pipes connected to the processing container 12. Therefore, That is, when the silicon oxide film is removed during etching, and the respective surfaces are exposed, the states of the respective surfaces are changed, and particles and the like can be avoided from the respective surfaces. In addition, since the formation step (steps from step S41e to step S41i (Yes)) for forming the protective film SX1 is performed before the etching of the organic film OL1 by the step S41k is performed, the active species generated by etching of the organic film OL1 Radicals can be prevented from reacting with the inner surface of the processing vessel 12 and with the inner surface of various pipes connected to the processing vessel 12 and thus the generation of particles from each of these surfaces, It is possible to sufficiently suppress the change of the state of Fig.

또한, 유기막 OL1을 에칭하는 공정 S41k의 전까지 처리 용기(12) 내에 있어서 형성되는 보호막 SXa의 막의 두께(LC1+LC2a)는, 피에칭층 EL1의 막의 두께(LD)보다 얇다. 이와 같이, 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내에 있어서 형성되는 보호막 SXa의 두께가 피에칭층 EL1의 막의 두께보다 얇은 것에 의해 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내의 보호막 SXa가 피에칭층 EL1의 에칭에 의해 제거되므로, 공정 S4의 후에 행해지는 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내의 클리닝시에 있어서 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내의 실리콘 산화막을 제거하는 처리(공정 S6)가 불필요하게 된다.The thickness (LC1 + LC2a) of the protective film SXa formed in the processing vessel 12 before the step S41k for etching the organic film OL1 is thinner than the thickness LD of the film of the etched layer EL1. Since the thickness of the protective film SXa formed in the processing vessel 12 and the various piping connected to the processing vessel 12 is thinner than the thickness of the film to be etched EL1 in the processing vessel 12 and the processing vessel 12 12 are removed by etching of the etched layer EL1, in the cleaning of various piping connected to the processing vessel 12 and the processing vessel 12 performed after the step S4, A process (step S6) for removing the silicon oxide film in the processing vessel 12 and various pipes connected to the processing vessel 12 becomes unnecessary.

다음으로, 도 1의 공정 S4의 처리 내용의 다른 실시 형태를, 도 9를 참조하여 상세하게 설명한다. 이하의 설명에 있어서, 도 10, 도 11 및 도 12를 참조한다. 도 11 및 도 12는 도 9에 나타내는 각 공정의 실시 후의 피처리체의 상태를 나타내는 단면도이다.Next, another embodiment of the processing contents of step S4 in Fig. 1 will be described in detail with reference to Fig. In the following description, reference is made to Figs. 10, 11 and 12. Fig. Figs. 11 and 12 are cross-sectional views showing the state of the object to be processed after each step shown in Fig.

도 9에 나타내는 공정 S4에서는, 우선, 공정 S42a에 있어서, 도 10의 ⒜ 부분에 나타내는 웨이퍼 W2를, 도 2에 나타내는 웨이퍼 W로서 준비한다. 공정 S42a에 있어서 준비되는 웨이퍼 W2는, 도 10의 ⒜ 부분에 나타내는 바와 같이, 기판 SB2, 피에칭층 EL2, 유기막 OL2, 반사 방지막 AL2, 및 마스크 MK12(제 1 마스크)를 갖는다. 피에칭층 EL2는, 기판 SB2상에 마련된다. 피에칭층 EL2는, 유기막 OL2에 대하여 선택적으로 에칭되는 재료로 구성되는 층이다. 피에칭층 EL2는, 예컨대, 산화실리콘(SiO2)으로 구성될 수 있다. 또, 피에칭층 EL2는, 다결정 실리콘이라고 하는 다른 재료로 구성될 수 있다. 유기막 OL2는, 피에칭층 EL2상에 마련된다. 유기막 OL2는, 탄소를 포함하는 층이고, 예컨대, SOH(스핀 온 하드마스크)층이다. 반사 방지막 AL2는, 실리콘 함유 반사 방지막이고, 유기막 OL2상에 마련된다.In step S4 shown in Fig. 9, first, in step S42a, the wafer W2 shown in part (a) of Fig. 10 is prepared as a wafer W shown in Fig. The wafer W2 prepared in step S42a has a substrate SB2, an etched layer EL2, an organic film OL2, an antireflection film AL2, and a mask MK12 (first mask) as shown in part (a) of Fig. The etched layer EL2 is provided on the substrate SB2. The etched layer EL2 is a layer composed of a material selectively etched with respect to the organic film OL2. The etched layer EL2 is, for example, may be of a silicon oxide (SiO 2). The etched layer EL2 may be made of another material such as polycrystalline silicon. The organic film OL2 is provided on the etched layer EL2. The organic film OL2 is a layer containing carbon, for example, a SOH (spin-on hard mask) layer. The antireflection film AL2 is a silicon-containing antireflection film and is provided on the organic film OL2.

마스크 MK12는, 반사 방지막 AL2상에 마련된다. 마스크 MK12는, 레지스트 재료로 구성된 레지스트 마스크이고, 포토리소그래피 기술에 의해 레지스트층이 패터닝되는 것에 의해 제작된다. 마스크 MK12는, 반사 방지막 AL2를 부분적으로 덮고 있다. 마스크 MK12는, 반사 방지막 AL2를 부분적으로 노출시키는 개구를 규정하고 있다. 마스크 MK12의 패턴은, 예컨대, 라인 앤드 스페이스 패턴이다. 또, 마스크 MK12는, 평면에서 볼 때 원형의 개구를 제공하는 패턴을 가질 수 있다. 혹은, 마스크 MK12는, 평면에서 볼 때 타원 형상의 개구를 제공하는 패턴을 가질 수 있다.The mask MK12 is provided on the antireflection film AL2. The mask MK12 is a resist mask composed of a resist material, and is manufactured by patterning the resist layer by photolithography. The mask MK12 partially covers the antireflection film AL2. The mask MK12 defines an opening for partially exposing the antireflection film AL2. The pattern of the mask MK12 is, for example, a line-and-space pattern. Also, the mask MK12 may have a pattern that provides a circular opening when viewed in plan view. Alternatively, the mask MK12 may have a pattern providing an elliptical opening in plan view.

공정 S42a에서는, 도 10의 ⒜ 부분에 나타내는 웨이퍼 W2가 준비되고, 웨이퍼 W2가 플라즈마 처리 장치(10)의 처리 용기(12) 내에 수용되고, 탑재대 PD상에 탑재된다.In step S42a, the wafer W2 shown in part (a) of FIG. 10 is prepared, and the wafer W2 is accommodated in the processing container 12 of the plasma processing apparatus 10 and mounted on the mount table PD.

공정 S42a에 계속하여, 공정 S42b를 실행한다. 공정 S42b의 처리 내용은 공정 S41b의 처리 내용과 동일하므로, 따라서, 공정 S42b의 처리에 의해, 마스크 MK12가 개질되고, 또한, 산화실리콘이 웨이퍼 W2상에 퇴적되어, 이 산화실리콘의 보호막이 마스크 MK12를 덮어 보호하게 된다.Subsequent to step S42a, step S42b is executed. Since the process contents of the process S42b are the same as the process contents of the process S41b, the mask MK12 is modified by the process of the step S42b, the silicon oxide is deposited on the wafer W2, So as to be protected.

공정 S42b에 계속하여, 시퀀스 SQ2 및 공정 S42g를 실행한다. 공정 S42g는, 시퀀스 SQ2에 계속하여 실행된다. 시퀀스 SQ2는, 공정 S42c(제 1 공정), 공정 S42d(제 2 공정), 공정 S42e(제 3 공정) 및 공정 S42f(제 4 공정)를 포함한다. 공정 S42c, 공정 S42d, 공정 S42e 및 공정 S42f의 각각은, 도 4에 나타내는 시퀀스 SQ1의 공정 S41e, 공정 S41f, 공정 S41g 및 공정 S41h의 각각과 동일한 처리이다. 즉, 시퀀스 SQ2는, 도 4에 나타내는 시퀀스 SQ1과 동일한 처리이다. 공정 S42g는, 도 4에 나타내는 공정 S41i와 동일한 처리이다. 따라서, 공정 S42g에 있어서 시퀀스 SQ2의 실행 횟수가 소정 횟수에 도달하고 있다고 판정되는 경우에는(공정 S42g : 예) 시퀀스 SQ2의 실행이 종료되고, 도 10의 ⒝ 부분에 나타내는 바와 같이, 웨이퍼 W2의 표면상에 실리콘 산화막인 보호막 SX2가 형성된다. 즉, 시퀀스 SQ2가 소정 횟수만큼 반복되는 것에 의해, 소정의 막 두께를 갖는 보호막 SX2가, 마스크 MK12의 조밀에 관계없이 균일한 막 두께로 컨포멀하게, 웨이퍼 W2의 표면에 형성된다.Subsequent to step S42b, the sequence SQ2 and the step S42g are executed. The process S42g is executed subsequently to the sequence SQ2. The sequence SQ2 includes steps S42c (first step), step S42d (second step), step S42e (third step) and step S42f (fourth step). The processes S42c, S42d, S42e, and S42f are the same as the processes S41e, S41f, S41g, and S41h of the sequence SQ1 shown in FIG. 4, respectively. That is, the sequence SQ2 is the same processing as the sequence SQ1 shown in Fig. The process S42g is the same process as the process S41i shown in Fig. Therefore, when it is determined in step S42g that the number of executions of the sequence SQ2 has reached the predetermined number (step S42g: YES), the execution of the sequence SQ2 is ended. As shown in part (b) A protective film SX2 which is a silicon oxide film is formed. That is, the sequence SQ2 is repeated a predetermined number of times so that the protective film SX2 having a predetermined film thickness is formed on the surface of the wafer W2 conformally at a uniform film thickness regardless of the density of the mask MK12.

보호막 SX2는, 도 10의 ⒝ 부분에 나타내는 바와 같이, 영역 R12, 영역 R22 및 영역 R32를 포함한다. 영역 R32는, 마스크 MK12의 측면상에서 해당 측면을 따라 연장되는 영역이다. 영역 R32는, 반사 방지막 AL2의 표면으로부터 영역 R12의 아래쪽까지 연장되고 있다. 영역 R12는, 마스크 MK12의 상면의 위 및 영역 R32상에서 연장되고 있다. 영역 R22는, 인접하는 영역 R32의 사이, 또한, 반사 방지막 AL2의 표면상에서 연장되고 있다. 상술한 바와 같이, 시퀀스 SQ2는, ALD법과 마찬가지로 보호막 SX2를 형성하므로, 마스크 MK12의 조밀에 관계없이 , 영역 R12, 영역 R22, 및 영역 R32의 각각의 막 두께는, 서로 대략 동일한 막 두께가 된다.The protective film SX2 includes a region R12, a region R22, and a region R32 as shown in (b) of FIG. The region R32 is an area extending along the side surface on the side of the mask MK12. The region R32 extends from the surface of the antireflection film AL2 to below the region R12. The region R12 extends over the upper surface of the mask MK12 and over the region R32. The region R22 extends between the adjacent regions R32 and on the surface of the antireflection film AL2. As described above, since the sequence SQ2 forms the protective film SX2 in the same manner as the ALD method, the film thicknesses of the regions R12, R22, and R32 are substantially the same regardless of the density of the mask MK12.

웨이퍼 W2의 표면에 있어서 보호막 SX2가 형성되는 것과 동시에, 시퀀스 SQ2가 반복 실행되는 것에 의해, 도 3의 상태 A3에 나타내는 보호막 SXa2가 처리 공간 Sp 등에 있는 보호막 SXa1의 표면에 형성된다. 따라서, 보호막 SX2의 두께(LC2b)는, 보호막 SXa2의 두께(LC2a)와 대략 동일하게 된다. 즉, 도 9에 나타내는 공정 S4에 있어서 시퀀스 SQ2가 반복되는 것에 의해, 보호막 SX2의 두께와 동일한 막 두께를 갖는 보호막 SXa2가, 균일한 막 두께로 컨포멀하게, 처리 공간 Sp 내의 보호막 SXa1의 표면에 형성된다. 공정 S42c 및 공정 S42e에 있어서, 웨이퍼 W2의 온도는, 섭씨 0도 이상 또한 마스크 MK12에 포함되는 재료의 유리 전이 온도 이하(예컨대 섭씨 200도 이하)의 정도이다.The protective film SX2 is formed on the surface of the wafer W2 and the sequence SQ2 is repeatedly performed so that the protective film SXa2 shown in the state A3 in Fig. 3 is formed on the surface of the protective film SXa1 in the processing space Sp and the like. Therefore, the thickness LC2b of the protective film SX2 becomes substantially equal to the thickness LC2a of the protective film SXa2. That is, the sequence SQ2 is repeated in the step S4 shown in Fig. 9, so that the protective film SXa2 having the same thickness as that of the protective film SX2 is conformally formed at a uniform film thickness, . In steps S42c and S42e, the temperature of the wafer W2 is not lower than 0 degrees Celsius and not higher than the glass transition temperature of the material included in the mask MK12 (for example, not higher than 200 degrees Celsius).

또, 도 3의 상태 A2 및 상태 A3에 나타내는 보호막 SXa1도, 시퀀스 SQ2와 동일한 시퀀스에 의해, 공정 S2에 있어서 형성된 것이다. 따라서, 공정 S2에 있어서 해당 시퀀스가 소정 횟수만큼 반복되는 것에 의해, 처리 공간 Sp 등에 노출되고 있는 플라즈마 처리 장치(10)의 모든 구성 부품의 표면에 대하여, 소정의 막 두께(LC1)를 갖는 보호막 SXa1이, 처리 공간 Sp 등에 있어서, 균일한 막 두께로 컨포멀하게 형성된다.The protective film SXa1 shown in state A2 and state A3 in Fig. 3 is also formed in step S2 by the same sequence as sequence SQ2. Therefore, the protective film SXa1 having the predetermined film thickness LC1 is formed on the surface of all the component parts of the plasma processing apparatus 10 exposed in the processing space Sp by repeating the sequence for a predetermined number of times in step S2 Is formed conformally at a uniform film thickness in the processing space Sp and the like.

도 9로 돌아와 설명한다. 공정 S42g에 계속하는 공정 S42h에서는, 영역 R12 및 영역 R22를 제거하도록, 보호막 SX2를 에칭(에치백)한다. 영역 R12 및 영역 R22의 제거를 위해서는, 이방성의 에칭 조건이 필요하다. 이 때문에, 공정 S42h에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 플루오로카본 가스를 포함하는 처리 가스를 처리 용기(12) 내에 공급한다. 그리고, 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제 2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 의해, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이것에 의해, 플루오로카본 가스의 플라즈마가 생성된다. 생성된 플라즈마 중의 불소를 포함하는 활성종은, 고주파 바이어스 전력에 의한 연직 방향으로의 끌어들임에 의해, 영역 R12 및 영역 R22를 우선적으로 에칭한다. 그 결과, 도 11의 ⒜ 부분에 나타내는 바와 같이, 영역 R12 및 영역 R22가 선택적으로 제거되고, 남겨진 영역 R32에 의해 마스크 MK22(제 2 마스크)가 형성된다.Returning to Fig. In step S42h following step S42g, the protective film SX2 is etched (etched back) so as to remove the area R12 and the area R22. In order to remove the region R12 and the region R22, an anisotropic etching condition is required. Therefore, in step S42h, a process gas containing a fluorocarbon gas is supplied from the selected gas source among the plurality of gas sources of the gas source group 40 into the processing container 12. [ Then, high-frequency power is supplied from the first high-frequency power source 62. And supplies a high-frequency bias power from the second high-frequency power supply 64. [ By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure. As a result, a plasma of a fluorocarbon gas is generated. The active species containing fluorine in the generated plasma preferentially etches the region R12 and the region R22 by drawing in the vertical direction by the high-frequency bias power. As a result, as shown in part (a) of FIG. 11, the area R12 and the area R22 are selectively removed, and the mask MK22 (second mask) is formed by the remaining area R32.

계속되는 공정 S42i에서는, 마스크 MK12를 제거한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 산소 가스를 포함하는 처리 가스를 처리 용기(12) 내에 공급한다. 그리고, 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제 2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 의해, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이것에 의해, 산소 가스를 포함하는 처리 가스의 플라즈마가 생성된다. 생성된 플라즈마 중의 산소의 활성종은, 도 11의 ⒝ 부분에 나타내는 바와 같이, 마스크 MK12를 에칭한다. 이것에 의해, 마스크 MK12가 제거되고, 반사 방지막 AL2상에 마스크 MK22가 남겨진다.In the succeeding step S42i, the mask MK12 is removed. Specifically, a process gas containing oxygen gas is supplied into the processing vessel 12 from a selected gas source among the plurality of gas sources of the gas source group 40. Then, high-frequency power is supplied from the first high-frequency power source 62. And supplies a high-frequency bias power from the second high-frequency power supply 64. [ By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure. As a result, a plasma of the process gas containing oxygen gas is generated. As for the active species of oxygen in the generated plasma, the mask MK12 is etched as shown in the section (b) of Fig. As a result, the mask MK12 is removed, and the mask MK22 is left on the antireflection film AL2.

계속되는 공정 S42j에서는, 반사 방지막 AL2를 에칭한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 플루오로카본 가스를 포함하는 처리 가스를 처리 용기(12) 내에 공급한다. 그리고, 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제 2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 의해, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이것에 의해, 플루오로카본 가스의 플라즈마가 생성된다. 생성된 플라즈마 중의 불소를 포함하는 활성종은, 도 12의 ⒜ 부분에 나타내는 바와 같이, 반사 방지막 AL2의 전체 영역 중 마스크 MK22로부터 노출된 영역을 에칭한다.In the subsequent step S42j, the anti-reflection film AL2 is etched. Specifically, a process gas containing a fluorocarbon gas is supplied into the processing vessel 12 from a selected gas source among a plurality of gas sources of the gas source group 40. Then, high-frequency power is supplied from the first high-frequency power source 62. And supplies a high-frequency bias power from the second high-frequency power supply 64. [ By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure. As a result, a plasma of a fluorocarbon gas is generated. The active species containing fluorine in the generated plasma etches the region exposed from the mask MK22 in the entire region of the antireflection film AL2 as shown in part (a) of Fig.

계속되는 공정 S42k에서는, 유기막 OL2를 에칭한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 질소 가스와 수소 가스를 포함하는 처리 가스를 처리 용기(12) 내에 공급한다. 그리고, 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제 2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 의해, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이것에 의해, 질소 가스와 수소 가스를 포함하는 처리 가스의 플라즈마가 생성된다. 생성된 플라즈마 중의 수소의 활성종인 수소 라디칼은, 유기막 OL2의 전체 영역 중 마스크 MK22로부터 노출된 영역을 에칭한다. 이것에 의해, 도 12의 ⒝ 부분에 나타내는 바와 같이, 유기막 OL2로부터 마스크 MK32(제 3 마스크)가 형성된다. 또, 유기막 OL2를 에칭하는 가스로서는, 산소를 포함하는 처리 가스를 이용하더라도 좋다.In the succeeding step S42k, the organic film OL2 is etched. Specifically, a process gas containing a nitrogen gas and a hydrogen gas is supplied into the processing vessel 12 from a selected gas source among a plurality of gas sources of the gas source group 40. Then, high-frequency power is supplied from the first high-frequency power source 62. And supplies a high-frequency bias power from the second high-frequency power supply 64. [ By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure. As a result, a plasma of a process gas containing nitrogen gas and hydrogen gas is generated. The hydrogen radical, which is the active species of hydrogen in the generated plasma, etches the region exposed from the mask MK22 in the entire region of the organic film OL2. As a result, as shown in part (b) of Fig. 12, a mask MK32 (third mask) is formed from the organic film OL2. As the gas for etching the organic film OL2, a process gas containing oxygen may be used.

계속되는 공정 S42m에서는, 피에칭층 EL2를 에칭한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 처리 가스를 처리 용기(12) 내에 공급한다. 처리 가스는, 피에칭층 EL2를 구성하는 재료에 따라 적당히 선택될 수 있다. 예컨대, 피에칭층 EL2가 산화실리콘으로 구성되어 있는 경우에는, 처리 가스는, 플루오로카본 가스를 포함할 수 있다. 그리고, 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제 2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 의해, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이것에 의해, 플라즈마가 생성된다. 생성된 플라즈마 중의 활성종은, 피에칭층 EL2의 전체 영역 중, 마스크 MK32로부터 노출된 영역을 에칭한다. 이것에 의해, 도 12의 ⒞ 부분에 나타내는 바와 같이, 마스크 MK32의 패턴이 피에칭층 EL2에 전사된다.In the succeeding step S42m, the etched layer EL2 is etched. Specifically, the process gas is supplied into the processing container 12 from a selected gas source among a plurality of gas sources of the gas source group 40. The process gas can be appropriately selected depending on the material constituting the etched layer EL2. For example, when the etched layer EL2 is composed of silicon oxide, the process gas may include a fluorocarbon gas. Then, high-frequency power is supplied from the first high-frequency power source 62. And supplies a high-frequency bias power from the second high-frequency power supply 64. [ By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure. Thereby, a plasma is generated. The active species in the generated plasma etches the entire region of the etched layer EL2, the region exposed from the mask MK32. 12, the pattern of the mask MK32 is transferred to the etched layer EL2.

여기서, 처리 용기(12) 내에 형성되는 보호막 SXa의 두께에 대하여 설명한다. 유기막 OL2를 에칭하는 공정 S42k의 전까지 처리 용기(12) 내에 있어서 형성되는 보호막 SXa의 막의 두께(LC1+LC2a)는, 유기막 OL2를 에칭하는 공정 S42k의 종료까지 보호막 SXa 중 에칭되어 제거되는 막의 두께(LE)보다 두껍고, LE<(LC1+LC2a)의 관계를 만족시킨다. 또한, 유기막 OL2를 에칭하는 공정 S42k의 전까지 처리 용기(12) 내에 있어서 형성되는 보호막 SXa의 막의 두께(LC1+LC2a)는, 피에칭층 EL2의 막의 두께(LD)보다 얇고, (LC1+LC2a)<LD의 관계를 만족시킨다. 또한, 보호막 SXa의 막의 두께(LC1+LC2a)는, 상기의 대소 관계를 동시에 만족시킬 수 있다. 즉, LE<(LC1+LC2a)<LD의 관계를 만족시킬 수 있다. 또, 특히 (LC1+LC2a)<LD의 경우에는, 공정 S42m의 완료까지 처리 용기(12) 내의 보호막 SXa가 모두 제거되므로, 공정 S6의 처리는 불필요하다.Here, the thickness of the protective film SXa formed in the processing vessel 12 will be described. The thickness (LC1 + LC2a) of the protective film SXa formed in the processing vessel 12 before the step S42k for etching the organic film OL2 is equal to or larger than the thickness (LC1 + LC2a) of the film etched and removed in the protective film SXa until the end of the step S42k for etching the organic film OL2 Is thicker than the thickness (LE) and satisfies the relationship of LE < (LC1 + LC2a). The thickness (LC1 + LC2a) of the protective film SXa formed in the processing container 12 before the step S42k for etching the organic film OL2 is smaller than the thickness LD of the film of the etched layer EL2, ) &Lt; LD. Further, the thickness (LC1 + LC2a) of the film of the protective film SXa can satisfy both the above-mentioned magnitude relation. That is, the relationship of LE < (LC1 + LC2a) < LD can be satisfied. Further, in the case of (LC1 + LC2a) <LD, the protective film SXa in the processing vessel 12 is completely removed until the completion of the step S42m, so that the processing of the step S6 is unnecessary.

이상 설명한 도 9에 나타내는 공정 S4의 실행에 의해, 하기의 효과가 있다. 공정 S42c에 있어서, 플라즈마의 생성을 행하지 않고서 아미노실란계 가스를 포함하는 제 1 가스 G1이 처리 용기(12) 내에 공급되고, 또한 이 후에, 공정 S42e에 있어서, 산소 가스를 함유하는 제 2 가스의 플라즈마 P1이 생성되어 박막의 실리콘 산화막의 보호막 SX2가 형성된다. 따라서, 도 9에 나타내는 공정 S4에 있어서 실행되는 공정 S42c~공정 S42f(시퀀스 SQ2)에 의해 보호막 SX2가 웨이퍼 W2의 표면에 있어서 균일하고 컨포멀하게 형성된다. 그리고, 도 9에 나타내는 공정 S4에 있어서 실행되는 형성 공정(공정 S42c로부터 공정 S42g(예)까지의 공정)에서는, 시퀀스 SQ2가 반복 실행되므로, 웨이퍼 W2의 표면에 형성되는 보호막 SX2의 두께를 정밀하게 제어할 수 있다. 따라서, 복수 회의 시퀀스 SQ2를 포함하는 형성 공정에 의해 형성되는 보호막 SX2에 의해, 웨이퍼 W2의 표면에 있어서의 패턴의 최소 선폭을 정밀하게 저감할 수 있고, 고집적화에 수반되는 미세화가 가능하게 된다.By the execution of step S4 shown in Fig. 9 described above, the following effects are obtained. In step S42c, the first gas G1 containing the aminosilane-based gas is supplied into the processing vessel 12 without generating plasma, and thereafter, in step S42e, the second gas containing the oxygen gas The plasma P1 is generated to form the protective film SX2 of the thin silicon oxide film. Therefore, the protective film SX2 is formed uniformly and conformally on the surface of the wafer W2 by the steps S42c to S42f (sequence SQ2) executed in the step S4 shown in Fig. 9, since the sequence SQ2 is repeatedly executed, the thickness of the protective film SX2 formed on the surface of the wafer W2 can be precisely adjusted (step S42c to step S42g) Can be controlled. Therefore, the minimum line width of the pattern on the surface of the wafer W2 can be precisely reduced by the protective film SX2 formed by the forming process including the sequence SQ2 for a plurality of times, and it is possible to miniaturize the wafer W2 accompanied with high integration.

또한, 도 9에 나타내는 공정 S4에 있어서 실행되는 형성 공정(공정 S42c로부터 공정 S42g(예)까지의 공정)에 의해, 웨이퍼 W2의 표면에 실리콘 산화막의 보호막 SX2가 형성됨과 아울러, 또한, 처리 용기(12)의 안쪽의 표면 및 처리 용기(12)에 접속되는 각종 배관의 안쪽의 표면에 대해서도 보호막 SX2와 동일한 두께로 실리콘 산화막이 보호막(보호막 SXa2)으로서 형성된다. 따라서, 처리 용기(12)의 안쪽의 표면 및 처리 용기(12)에 접속되는 각종 배관의 안쪽의 표면에 형성되는 보호막 SXa2에 의해, 이들 각 표면으로부터 생기는 파티클의 발생과 해당 각 표면의 상태의 변화를 충분히 억제할 수 있으므로, 안정된 최소 선폭의 재현 등이 가능하게 된다.The protective film SX2 of the silicon oxide film is formed on the surface of the wafer W2 by the forming process (the process from the step S42c to the step S42g (Yes)) executed in the step S4 shown in Fig. 9, The silicon oxide film is formed as a protective film (protective film SXa2) with the same thickness as that of the protective film SX2 on the inner surface of the processing vessel 12 and the inner surface of various piping connected to the processing vessel 12. [ Therefore, by the protective film SXa2 formed on the inner surface of the processing vessel 12 and on the inner surface of various pipes connected to the processing vessel 12, the generation of particles generated from these surfaces and the change It is possible to reproduce a stable minimum line width and the like.

또한, 도 9에 나타내는 공정 S4에 있어서 실행되는 형성 공정(공정 S42c로부터 공정 S42g(예)까지의 공정)과는 독립적으로, 도 9에 나타내는 공정 S4의 전에 실행되는 준비 공정의 공정 S2에 있어서도 형성 공정(공정 S42c로부터 공정 S42g(예)까지의 공정)이 실행된다. 따라서, 도 9에 나타내는 공정 S4에 있어서 에칭에 의해 제거되는 실리콘 산화막의 두께에 따른 소망하는 두께의 실리콘 산화막을, 처리 용기(12)의 안쪽의 표면 및 처리 용기(12)에 접속되는 각종 배관의 안쪽의 표면에 대하여, 보호막으로서 형성할 수 있으므로, 도 9에 나타내는 공정 S4에 있어서 행해지는 에칭의 정도에 의존하는 일 없이, 이들 각 표면으로부터 생기는 파티클의 발생과 해당 각 표면의 상태의 변화를 충분히 억제할 수 있다.In addition, independently of the forming step (step S42c to step S42g (Yes)) executed in step S4 shown in Fig. 9, it is also possible to form it in step S2 of the preparation step before step S4 shown in Fig. 9 (The process from step S42c to step S42g (example)) is executed. 9, the silicon oxide film of the desired thickness corresponding to the thickness of the silicon oxide film to be removed by etching is formed on the inner surface of the processing vessel 12 and on the surface of the various pipes connected to the processing vessel 12 The generation of particles generated from each of these surfaces and the change in the state of each of the surfaces can be sufficiently suppressed without depending on the degree of etching performed in step S4 shown in Fig. .

또한, 모노아미노실란(H3-Si-R(R은 아미노기))을 포함하는 제 1 가스 G1을 이용하여 형성 처리(공정 S42b의 후로부터 공정 S42g(예)까지의 공정)를 행할 수 있으므로, ALD법에 의한 경우와 마찬가지로, 보호막 SX2, 보호막 SXa를, 표면 형상에 대하여 균일한 두께로 컨포멀하게, 정밀하게 형성할 수 있다.Also, because the mono-amino-silane (H 3 -Si-R (R is an amino group)) to be carried out the first process is formed by using a gas G1 (step from after the step S42b to the step S42g (Examples)) including, The protective film SX2 and the protective film SXa can be formed conformally and precisely at a uniform thickness with respect to the surface shape, similarly to the case of the ALD method.

또한, 모노아미노실란을 이용하는 경우에는, 웨이퍼 W2의 온도를 섭씨 0도 이상 또한 마스크 MK12에 포함되는 재료의 유리 전이 온도 이하의 비교적 저온에서 웨이퍼 W2에 대한 처리가 실행 가능하게 되므로, 웨이퍼 W2를 가열하는 처리가 불필요하게 된다.Further, when monoaminosilane is used, the wafer W2 can be processed at a relatively low temperature of not less than 0 degrees Celsius and the glass transition temperature of the material contained in the mask MK12, so that the wafer W2 can be heated A process for performing the above process is not required.

또한, 도 9에 나타내는 공정 S4의 후에 있어서 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내에 실리콘 산화막이 잔존하는 경우에도, 공정 S6을 실행하는 것에 의해, 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내로부터 실리콘 산화막을 확실히 제거하는 것이 가능하게 된다.Even when the silicon oxide film remains in the processing vessel 12 and in various piping connected to the processing vessel 12 after the step S4 shown in Fig. 9, by executing the step S6, It is possible to reliably remove the silicon oxide film from the interior of the processing vessel 12 and various piping connected to the processing vessel 12.

또한, 유기막 OL2를 에칭하는 공정 S42k의 전까지 처리 용기(12) 내에 있어서 형성되는 보호막 SXa의 막의 두께(LC1+LC2a)는, 유기막 OL2를 에칭하는 공정 S42k의 종료까지 보호막 SXa 중 에칭되어 제거되는 막의 두께(LE)보다 두껍다. 이와 같이, 공정 S42k에 의한 유기막 OL2의 에칭이 종료된 후에 있어서도 처리 용기(12)의 안쪽의 표면 및 처리 용기(12)에 접속되는 각종 배관의 안쪽의 표면에 실리콘 산화막이 남게 되므로, 이하의 사태, 즉 에칭 중에 실리콘 산화막이 제거되고 이들 각 표면이 노출되는 것에 의해 해당 각 표면의 상태가 변화하고 해당 각 표면으로부터 파티클이 생기는 등의 사태를 회피할 수 있다. 또한, 공정 S42k에 의한 유기막 OL2의 에칭의 실행 전에 보호막 SX2를 형성하는 형성 공정(공정 S42c로부터 공정 S42g(예)까지의 공정)이 행해지므로, 유기막 OL2의 에칭으로 생기는 활성종(예컨대 수소 라디칼)이 처리 용기(12)의 안쪽의 표면 및 처리 용기(12)에 접속되는 각종 배관의 안쪽의 표면과 반응하는 것을 회피할 수 있고, 따라서, 이들 각 표면으로부터의 파티클의 발생 및 해당 각 표면의 상태의 변화를 충분히 억제할 수 있다.The thickness (LC1 + LC2a) of the protective film SXa formed in the processing vessel 12 before the step S42k for etching the organic film OL2 is etched and removed in the protective film SXa until the end of the step S42k for etching the organic film OL2 Is greater than the thickness LE of the underlying film. Thus, even after the etching of the organic film OL2 by the step S42k is completed, the silicon oxide film remains on the inner surface of the processing container 12 and on the inner surface of various pipes connected to the processing container 12. Therefore, In other words, the silicon oxide film is removed during the etching, and the surfaces are exposed, so that the state of each surface changes and particles can be avoided from the respective surfaces. In addition, since the formation step (steps from step S42c to step S42g (Yes)) for forming the protective film SX2 is performed before the etching of the organic film OL2 by the step S42k is performed, the active species produced by etching of the organic film OL2 Radicals can be prevented from reacting with the inner surface of the processing vessel 12 and with the inner surface of various pipes connected to the processing vessel 12 and thus the generation of particles from each of these surfaces, It is possible to sufficiently suppress the change of the state of Fig.

또한, 유기막 OL2를 에칭하는 공정 S42k의 전까지 처리 용기(12) 내에 있어서 형성되는 보호막 SXa의 막의 두께(LC1+LC2a)는, 피에칭층 EL2의 막의 두께(LD)보다 얇다. 이와 같이, 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내에 있어서 형성되는 보호막 SXa의 두께가 피에칭층 EL2의 막의 두께보다 얇은 것에 의해 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내의 보호막 SXa가 피에칭층 EL2의 에칭에 의해 제거되므로, 공정 S4의 후에 행해지는 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내의 클리닝시에 있어서 처리 용기(12) 내 및 처리 용기(12)에 접속되는 각종 배관 내의 실리콘 산화막을 제거하는 처리(공정 S6)가 불필요하게 된다.The thickness LC1 + LC2a of the protective film SXa formed in the processing vessel 12 before the step S42k for etching the organic film OL2 is smaller than the thickness LD of the film of the etched layer EL2. Since the thickness of the protective film SXa formed in the processing vessel 12 and the various piping connected to the processing vessel 12 is thinner than the thickness of the film to be etched EL2 in the processing vessel 12 and the processing vessel 12 The protective film SXa in the various piping connected to the processing vessel 12 is removed by the etching of the etched layer EL2 so that during the cleaning in the processing vessel 12 after the step S4 and various piping connected to the processing vessel 12 A process (step S6) for removing the silicon oxide film in the processing vessel 12 and various pipes connected to the processing vessel 12 becomes unnecessary.

이상, 적합한 실시의 형태에 있어서 본 발명의 원리를 도시하고 설명해 왔지만, 본 발명은, 그와 같은 원리로부터 일탈하는 일 없이 배치 및 상세에 있어서 변경될 수 있는 것은, 당업자에 의해 인식된다. 본 발명은, 본 실시의 형태에 개시된 특정한 구성으로 한정되는 것은 아니다. 따라서, 특허 청구의 범위 및 그 정신의 범위로부터 오는 모든 수정 및 변경에 권리를 청구한다.While the principles of the present invention have been shown and described in the preferred embodiments, those skilled in the art will appreciate that the present invention can be modified in arrangement and detail without departing from such principles. The present invention is not limited to the specific configuration disclosed in this embodiment. Accordingly, all modifications and variations coming within the spirit and scope of the appended claims are claimed.

10 : 플라즈마 처리 장치
12 : 처리 용기
12e : 배기구
12g : 반입출구
14 : 지지부
18a : 제 1 플레이트
18b : 제 2 플레이트
22 : 직류 전원
23 : 스위치
24 : 냉매 유로
26a, 26b : 배관
30 : 상부 전극
32 : 절연성 차폐 부재
34 : 전극판
34a : 가스 토출 구멍
36 : 전극 지지체
36a : 가스 확산실
36b : 가스 통류 구멍
36c : 가스 도입구
38 : 가스 공급관
40 : 가스 소스군
42 : 밸브군
45 : 유량 제어기군
46 : 디포짓 실드
48 : 배기 플레이트
50 : 배기 장치
52 : 배기관
54 : 게이트 밸브
62 : 제 1 고주파 전원
64 : 제 2 고주파 전원
66, 68 : 정합기
70 : 전원
A1, A2, A3, A4 : 상태
AL1, AL2 : 반사 방지막
ALM1, MK11, MK12, MK21, MK22, MK32, MS1, OLM1 : 마스크
Cnt : 제어부
EL1, EL2 : 피에칭층
ESC : 정전 척
FR : 포커스 링
G1 : 제 1 가스
HP : 히터 전원
HT : 히터
LE : 하부 전극
Ly1, Ly2 : 층
MT : 방법
OL1, OL2 : 유기막
P1 : 제 2 가스의 플라즈마
PD : 탑재대
PF1, SX1, SX2, SXa, SXa1, SXa2 : 보호막
R11, R12, R21, R22, R31, R32 : 영역
SB1, SB2 : 기판
Sp : 처리 공간
W, W1, W2 : 웨이퍼
10: Plasma processing device
12: Processing vessel
12e: Exhaust
12g: Incoming exit
14: Support
18a: first plate
18b: second plate
22: DC power source
23: Switch
24: refrigerant passage
26a and 26b: piping
30: upper electrode
32: Insulating shield member
34: Electrode plate
34a: gas discharge hole
36: Electrode support
36a: gas diffusion chamber
36b: gas passage hole
36c: gas inlet
38: gas supply pipe
40: gas source group
42: valve group
45: Flow controller group
46: Deposition shield
48: exhaust plate
50: Exhaust system
52: Exhaust pipe
54: Gate valve
62: first high frequency power source
64: Second high frequency power source
66, 68: Matching machine
70: Power supply
A1, A2, A3, A4: Status
AL1, AL2: antireflection film
ALM1, MK11, MK12, MK21, MK22, MK32, MS1, OLM1: mask
Cnt:
EL1, EL2: etched layer
ESC: electrostatic chuck
FR: Focus ring
G1: First gas
HP: Heater power
HT: Heater
LE: Lower electrode
Ly1, Ly2: Layer
MT: How
OL1, OL2: organic film
P1: Plasma of the second gas
PD: Mounting table
PF1, SX1, SX2, SXa, SXa1, SXa2:
R11, R12, R21, R22, R31, R32:
SB1, SB2: substrate
Sp: Processing space
W, W1, W2: Wafer

Claims (11)

피처리체를 처리하는 방법으로서,
플라즈마 처리 장치의 처리 용기 내에 아미노실란계 가스를 포함하는 제 1 가스를 공급하는 제 1 공정과, 상기 제 1 공정의 실행 후에 상기 처리 용기 내의 공간을 퍼지하는 제 2 공정과, 상기 제 2 공정의 실행 후에 상기 처리 용기 내에서 산소 가스를 포함하는 제 2 가스의 플라즈마를 생성하는 제 3 공정과, 상기 제 3 공정의 실행 후에 상기 처리 용기 내의 공간을 퍼지하는 제 4 공정을 포함하는 시퀀스를 반복 실행하여 상기 처리 용기 내에 실리콘 산화막을 형성하는 형성 공정과,
상기 피처리체를 상기 처리 용기 내에 수용하기 전에 행하는 준비 공정과,
상기 처리 용기 내에 수용된 상기 피처리체에 대하여 에칭 처리를 행하는 처리 공정
을 구비하고,
상기 준비 공정은, 상기 처리 공정의 전에 행해지고,
상기 형성 공정은, 상기 준비 공정에서 실행되고, 또한, 상기 처리 공정에서 실행되고,
상기 제 1 공정은, 상기 제 1 가스의 플라즈마를 생성하지 않는
피처리체의 처리 방법.
A method for processing an object to be processed,
A first step of supplying a first gas containing an amino silane-based gas into a processing vessel of a plasma processing apparatus; a second step of purging a space in the processing vessel after execution of the first step; A third step of generating a plasma of a second gas containing oxygen gas in the processing vessel after execution and a fourth step of purifying a space in the processing vessel after execution of the third processing is repeatedly executed A forming step of forming a silicon oxide film in the processing container,
Before the object to be processed is accommodated in the processing container,
A processing step of performing an etching process on the object to be processed accommodated in the process container
And,
The preparation step is carried out before the treatment step,
Wherein the forming step is carried out in the preparing step and is executed in the processing step,
Wherein the first step is a step of generating plasma of the first gas
A method for treating an object to be treated.
제 1 항에 있어서,
상기 제 1 가스는, 모노아미노실란을 포함하는 피처리체의 처리 방법.
The method according to claim 1,
Wherein the first gas comprises monoaminosilane.
제 1 항에 있어서,
상기 제 1 가스의 아미노실란계 가스는, 1~3개의 규소 원자를 갖는 아미노실란을 포함하는 피처리체의 처리 방법.
The method according to claim 1,
Wherein the aminosilane-based gas of the first gas comprises aminosilane having 1 to 3 silicon atoms.
제 1 항에 있어서,
상기 제 1 가스의 아미노실란계 가스는, 1~3개의 아미노기를 갖는 아미노실란을 포함하는 피처리체의 처리 방법.
The method according to claim 1,
Wherein the aminosilane gas of the first gas comprises aminosilane having 1 to 3 amino groups.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 처리 공정의 후로서 상기 피처리체를 상기 처리 용기로부터 반출한 후에, 상기 처리 용기 내에 있는 실리콘 산화막을 제거하는 공정을 더 구비하는 피처리체의 처리 방법.
5. The method according to any one of claims 1 to 4,
Further comprising the step of removing the silicon oxide film in the processing container after the processing object is removed from the processing container after the processing step.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 피처리체는, 피에칭층과, 상기 피에칭층상에 마련된 유기막을 구비하고,
상기 처리 공정은, 상기 처리 용기 내에서 발생시킨 플라즈마에 의해, 상기 유기막을 에칭하는 공정을 구비하고,
상기 형성 공정은, 상기 처리 공정에서 상기 유기막을 에칭하는 상기 공정의 전에 실행되고,
상기 유기막을 에칭하는 상기 공정의 전까지 상기 처리 용기 내에 있어서 형성되는 상기 실리콘 산화막의 막의 두께는, 상기 유기막을 에칭하는 상기 공정의 종료까지 상기 실리콘 산화막 중 에칭되어 제거되는 막의 두께보다 두꺼운
피처리체의 처리 방법.
5. The method according to any one of claims 1 to 4,
Wherein the object to be processed comprises an etched layer and an organic film provided on the etched layer,
The processing step includes a step of etching the organic film by plasma generated in the processing vessel,
Wherein the forming step is performed before the step of etching the organic film in the processing step,
The thickness of the silicon oxide film formed in the processing vessel before the step of etching the organic film is thicker than the thickness of the film which is etched in the silicon oxide film until the end of the step of etching the organic film,
A method for treating an object to be treated.
제 6 항에 있어서,
상기 유기막을 에칭하는 상기 공정의 전까지 상기 처리 용기 내에 있어서 형성되는 상기 실리콘 산화막의 막의 두께는, 상기 피에칭층의 막의 두께보다 얇은 피처리체의 처리 방법.

The method according to claim 6,
Wherein the thickness of the silicon oxide film formed in the processing vessel before the step of etching the organic film is thinner than the thickness of the film of the etched layer.

제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 피처리체는, 피에칭층과, 상기 피에칭층상에 마련된 유기막을 구비하고,
상기 처리 공정은, 상기 처리 용기 내에서 발생시킨 플라즈마에 의해, 상기 유기막을 에칭하는 공정을 구비하고,
상기 형성 공정은, 상기 처리 공정에서 상기 유기막을 에칭하는 공정의 전에 실행되고,
상기 유기막상에는, 제 1 마스크가 마련되어 있고,
상기 처리 공정은, 상기 처리 용기 내에서 발생시킨 플라즈마에 의해 그 위에 레지스트 마스크를 갖는 반사 방지막을 에칭하여, 상기 반사 방지막으로부터 상기 제 1 마스크를 형성하는 공정을 더 포함하고,
상기 유기막을 에칭하는 공정은, 상기 반사 방지막을 에칭하는 공정의 후에 실행되고,
상기 처리 공정에 있어서, 상기 형성 공정은, 상기 반사 방지막을 에칭하는 공정과 상기 유기막을 에칭하는 공정의 사이에 실행되고,
상기 처리 공정은, 상기 형성 공정과 상기 유기막을 에칭하는 공정의 사이에 있어서, 상기 처리 용기 내에서 발생시킨 플라즈마에 의해, 상기 형성 공정에 의해 형성된 상기 실리콘 산화막 중 상기 유기막의 표면상의 영역을 제거하는 공정을 더 포함하는
피처리체의 처리 방법.
5. The method according to any one of claims 1 to 4,
Wherein the object to be processed comprises an etched layer and an organic film provided on the etched layer,
The processing step includes a step of etching the organic film by plasma generated in the processing vessel,
Wherein the forming step is performed before the step of etching the organic film in the processing step,
On the organic film, a first mask is provided,
The process further comprises a step of etching the antireflection film having a resist mask thereon by the plasma generated in the processing bath to form the first mask from the antireflection film,
The step of etching the organic film is performed after the step of etching the anti-reflection film,
In the processing step, the forming step is executed between a step of etching the anti-reflection film and a step of etching the organic film,
The processing step is a step of removing a region on the surface of the organic film among the silicon oxide film formed by the forming step by the plasma generated in the processing vessel between the forming step and the step of etching the organic film Further comprising a step
A method for treating an object to be treated.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 피처리체는, 피에칭층과, 상기 피에칭층상에 마련된 유기막과, 상기 유기막상에 마련된 반사 방지막을 구비하고,
상기 처리 공정은, 상기 처리 용기 내에서 발생시킨 플라즈마에 의해, 상기 유기막을 에칭하는 공정을 구비하고,
상기 형성 공정은, 상기 처리 공정에서 상기 유기막을 에칭하는 공정의 전에 실행되고,
상기 반사 방지막상에는, 제 1 마스크가 마련되어 있고,
상기 처리 공정은,
상기 형성 공정에 의해 상기 제 1 마스크상 및 상기 반사 방지막상에 상기 실리콘 산화막이 형성된 후에 상기 처리 용기 내에서 발생시킨 플라즈마에 의해 상기 실리콘 산화막 중 상기 반사 방지막의 위의 영역과 상기 제 1 마스크의 상면의 위의 영역을 제거하여, 상기 실리콘 산화막 중 상기 제 1 마스크의 측면의 위의 영역에 근거하는 제 2 마스크를 형성하는 공정과,
상기 처리 용기 내에서 발생시킨 플라즈마에 의해, 상기 제 1 마스크를 제거하는 공정과,
상기 처리 용기 내에서 발생시킨 플라즈마에 의해, 상기 반사 방지막을 에칭하는 공정
을 포함하고,
상기 유기막을 에칭하는 공정은, 상기 반사 방지막을 에칭하는 공정의 후에 실행되고, 상기 유기막으로 구성되는 제 3 마스크를 형성하는
피처리체의 처리 방법.
5. The method according to any one of claims 1 to 4,
Wherein the object to be processed comprises an etched layer, an organic film provided on the etched layer, and an antireflection film provided on the organic film,
The processing step includes a step of etching the organic film by plasma generated in the processing vessel,
Wherein the forming step is performed before the step of etching the organic film in the processing step,
On the antireflection film, a first mask is provided,
In the above process,
Wherein the silicon oxide film is formed on the first mask and the anti-reflection film by the plasma generated in the processing chamber after the silicon oxide film is formed on the first mask and the anti- Forming a second mask based on a region above the side surface of the first mask among the silicon oxide films;
A step of removing the first mask by a plasma generated in the processing vessel,
A step of etching the antireflection film by a plasma generated in the processing vessel
/ RTI &gt;
Wherein the step of etching the organic film is performed after the step of etching the anti-reflective film, and the step of forming the third mask composed of the organic film
A method for treating an object to be treated.
제 8 항에 있어서,
상기 형성 공정이 상기 처리 공정에 있어서 실행되는 경우에 있어서, 상기 제 1 공정에 있어서의 상기 피처리체의 온도는, 섭씨 0도 이상이고, 또한, 상기 제 1 마스크에 포함되는 재료의 유리 전이 온도 이하인 피처리체의 처리 방법.
9. The method of claim 8,
Wherein the temperature of the object to be processed in the first step is not less than 0 degrees Celsius and the glass transition temperature of the material contained in the first mask is not more than A method for treating an object to be treated.
제 9 항에 있어서,
상기 형성 공정이 상기 처리 공정에 있어서 실행되는 경우에 있어서, 상기 제 1 공정에 있어서의 상기 피처리체의 온도는, 섭씨 0도 이상이고, 또한, 상기 제 1 마스크에 포함되는 재료의 유리 전이 온도 이하인 피처리체의 처리 방법.
10. The method of claim 9,
Wherein the temperature of the object to be processed in the first step is not less than 0 degrees Celsius and the glass transition temperature of the material contained in the first mask is not more than A method for treating an object to be treated.
KR1020160128933A 2015-10-06 2016-10-06 Method for processing target object KR102626138B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2015198649 2015-10-06
JPJP-P-2015-198649 2015-10-06
JPJP-P-2016-101357 2016-05-20
JP2016101357A JP6537473B2 (en) 2015-10-06 2016-05-20 Method of processing an object

Publications (2)

Publication Number Publication Date
KR20170041154A true KR20170041154A (en) 2017-04-14
KR102626138B1 KR102626138B1 (en) 2024-01-16

Family

ID=58537935

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160128933A KR102626138B1 (en) 2015-10-06 2016-10-06 Method for processing target object

Country Status (4)

Country Link
JP (1) JP6537473B2 (en)
KR (1) KR102626138B1 (en)
CN (1) CN107026081B (en)
TW (1) TWI709996B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180124705A (en) * 2017-05-11 2018-11-21 주성엔지니어링(주) Substrate processing method and method of manufacturing organic light emitting device using the same

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7071175B2 (en) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 How to process the object to be processed
JP6877290B2 (en) * 2017-08-03 2021-05-26 東京エレクトロン株式会社 How to process the object to be processed
JP7145031B2 (en) * 2017-12-25 2022-09-30 東京エレクトロン株式会社 Substrate processing method, plasma processing apparatus, and substrate processing apparatus
JP2019114692A (en) 2017-12-25 2019-07-11 東京エレクトロン株式会社 Film deposition method
CN110010464B (en) * 2017-12-25 2023-07-14 东京毅力科创株式会社 Method for processing substrate
JP7089881B2 (en) 2018-01-10 2022-06-23 東京エレクトロン株式会社 Film formation method
JP6910319B2 (en) * 2018-04-23 2021-07-28 東京エレクトロン株式会社 How to etch the organic region
JP7178918B2 (en) * 2019-01-30 2022-11-28 東京エレクトロン株式会社 Etching method, plasma processing apparatus, and processing system
CN111627809B (en) * 2019-02-28 2024-03-22 东京毅力科创株式会社 Substrate processing method and substrate processing apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003100732A (en) * 2001-09-26 2003-04-04 Nec Yamagata Ltd Precoating method in plasma cvd system
KR20040014064A (en) * 2002-08-09 2004-02-14 삼성전자주식회사 Forming method for fine patterns using silicon oxide layer
JP2010219105A (en) * 2009-03-13 2010-09-30 Tokyo Electron Ltd Substrate processing method

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040018742A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Forming bilayer resist patterns
US6803315B2 (en) * 2002-08-05 2004-10-12 International Business Machines Corporation Method for blocking implants from the gate of an electronic device via planarizing films
JP2007294905A (en) * 2006-03-30 2007-11-08 Hitachi High-Technologies Corp Method of manufacturing semiconductor and etching system
JP5100057B2 (en) * 2006-08-18 2012-12-19 東京エレクトロン株式会社 Manufacturing method of semiconductor device
JP5236225B2 (en) * 2007-07-31 2013-07-17 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
JP4733214B1 (en) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 Mask pattern forming method and semiconductor device manufacturing method
JP5956933B2 (en) * 2013-01-15 2016-07-27 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP6230898B2 (en) * 2013-12-13 2017-11-15 東京エレクトロン株式会社 Etching method
JP6462477B2 (en) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 Method for processing an object

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003100732A (en) * 2001-09-26 2003-04-04 Nec Yamagata Ltd Precoating method in plasma cvd system
KR20040014064A (en) * 2002-08-09 2004-02-14 삼성전자주식회사 Forming method for fine patterns using silicon oxide layer
JP2010219105A (en) * 2009-03-13 2010-09-30 Tokyo Electron Ltd Substrate processing method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180124705A (en) * 2017-05-11 2018-11-21 주성엔지니어링(주) Substrate processing method and method of manufacturing organic light emitting device using the same

Also Published As

Publication number Publication date
JP2017073535A (en) 2017-04-13
CN107026081A (en) 2017-08-08
CN107026081B (en) 2020-05-15
JP6537473B2 (en) 2019-07-03
TW201724162A (en) 2017-07-01
KR102626138B1 (en) 2024-01-16
TWI709996B (en) 2020-11-11

Similar Documents

Publication Publication Date Title
KR102626138B1 (en) Method for processing target object
US9859126B2 (en) Method for processing target object
US10777422B2 (en) Method for processing target object
US11658036B2 (en) Apparatus for processing substrate
EP3051576B1 (en) Method of processing a target object comprising forming a silicon oxide film
US9911607B2 (en) Method of processing target object
CN109427561B (en) Method for processing object to be processed
CN107731677B (en) Method for processing object to be processed
KR102531901B1 (en) How to handle the target object
KR102472335B1 (en) Film forming method
US9721766B2 (en) Method for processing target object
JP2018182103A (en) Etching method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant