KR20170003362A - 극자외선 리소그래피용 네가티브 톤 현상액 조성물 - Google Patents

극자외선 리소그래피용 네가티브 톤 현상액 조성물 Download PDF

Info

Publication number
KR20170003362A
KR20170003362A KR1020150169119A KR20150169119A KR20170003362A KR 20170003362 A KR20170003362 A KR 20170003362A KR 1020150169119 A KR1020150169119 A KR 1020150169119A KR 20150169119 A KR20150169119 A KR 20150169119A KR 20170003362 A KR20170003362 A KR 20170003362A
Authority
KR
South Korea
Prior art keywords
developer
resist
substrate
layer
material layer
Prior art date
Application number
KR1020150169119A
Other languages
English (en)
Other versions
KR101791750B1 (ko
Inventor
웨이한 라이
칭유 창
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20170003362A publication Critical patent/KR20170003362A/ko
Application granted granted Critical
Publication of KR101791750B1 publication Critical patent/KR101791750B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/02Esters of acyclic saturated monocarboxylic acids having the carboxyl group bound to an acyclic carbon atom or to hydrogen
    • C07C69/12Acetic acid esters
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/02Esters of acyclic saturated monocarboxylic acids having the carboxyl group bound to an acyclic carbon atom or to hydrogen
    • C07C69/22Esters of acyclic saturated monocarboxylic acids having the carboxyl group bound to an acyclic carbon atom or to hydrogen having three or more carbon atoms in the acid moiety
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/62Halogen-containing esters
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/62Halogen-containing esters
    • C07C69/63Halogen-containing esters of saturated acids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

기판을 제공하는 단계; 상기 기판 위에 재료층을 형성하는 단계; 상기 재료층의 일부분을 방사선에 노광시키는 단계; 및 상기 재료층의 비노광 부분을 현상액 중에서 제거하여, 패터닝된 재료층을 형성하는 단계를 포함하는 리소그래피 패터닝 방법. 상기 현상액은 1.82 초과의 로그 P 값을 가지고 유기 용매를 포함한다. 일 실시형태에서, 상기 유기 용매는 화학식 CH3R5CHR4CHR3CHR2COOCH2R1로 나타내어지는 n-부틸 아세테이트 유도체이며, 상기 화학식에서, R1, R2, R3, R4, 및 R5는 각각 수소, 메틸기, 에틸기, 및 플루오로알킬기로 이루어진 군으로부터 선택된다.

Description

극자외선 리소그래피용 네가티브 톤 현상액 조성물{NEGATIVE TONE DEVELOPER COMPOSITION FOR EXTREME ULTRAVIOLET LITHOGRAPHY}
반도체 집적 회로(IC) 산업은 기하 급수적인 성장을 겪었다. IC 재료 및 설계에 있어서의 기술적 진보는, 각 세대가 이전 세대보다 더 작고 더 복잡한 회로를 갖는 IC 세대를 만들었다. IC의 진화 과정에서, 기능 밀도(functional density)(즉, 칩 면적당 상호연결된 디바이스의 수)는 대체로 증가한 반면, 기하학적 크기(즉, 집적공정을 이용하여 생성할 수 있는 최소 부품(또는 라인))은 감소하였다. 이러한 소형화 공정은 생산 효율을 증가시키고 부대 비용을 낮춤으로써 대체로 이익을 제공한다. 이러한 소형화는 또한 IC 프로세싱 및 제조의 복잡성을 증가시켰다.
예를 들어, 극자외선(EUV) 리소그래피가 더 작은 디바이스의 임계 치수(CD) 요건을 뒷받침하기 위해 이용되어 왔다. EUV 리소그래피는 약 1∼100 nm의 파장을 갖는 EUV 영역 내의 방사선을 이용하는 스캐너를 이용한다. 일부 EUV 스캐너는, 광학 스캐너와 유사하게, 기판 위에 코팅된 레지스트막 상에 4X 축소 프로젝션 프린팅을 제공하며, 다만, EUV 스캐너는 굴절 광학계보다는 반사 광학계를 이용한다. EUV 리소그래피는 레지스트막에 복잡한 일련의 요건들을 부과하였다. 화학 증폭형 레지스트의 수많은 변형이 연구되었고, 그 중 폴리하이드록시스티렌(PHS) 레지스트가 EUV 리소그래피에 대해 매우 바람직한 특성을 나타낸다. 그러나, 현재의 네가티브 톤 현상액 중에서 PHS 레지스트를 현상하는 것과 관련하여 다양한 문제점이 존재하고, 이것은 라인 엣지 조도(LER)의 증가, 선폭 조도(LWR)의 증가 및 패턴 변형을 유발한다.
본 개시는 이하의 상세한 설명을 첨부된 도면을 참조하여 읽을 때 가장 잘 이해된다. 산업계에서의 표준 관행에 따라, 다양한 피쳐(feature)들이 축척 비례는 아니고 단지 예시 목적으로 사용된 것임을 강조한다. 실제로, 다양한 피쳐들의 치수는 명료한 설명을 위해 임의적으로 증가 또는 감소될 수 있다.
도 1은 본 개시의 다양한 측면들에 따른 리소그래피 패터닝 방법의 흐름도를 도시한다.
도 2a, 2b, 2c, 2d 및 2e는, 일 실시형태에 따라, 도 1의 방법에 의해 타겟 패턴을 형성하는 것의 횡단면도를 도시한다.
도 3 및 4는, 몇몇 실시형태에 따라, 도 1의 방법에 의해 이용될 수 있는 장치를 도시한다.
이하의 개시내용은 제공되는 발명 대상의 다양한 특징들을 실시하기 위한 다수의 다양한 실시형태 또는 실시예를 제공한다. 본 개시를 단순화하기 위해 구성요소 및 구성의 구체적인 예를 이하에 설명한다. 이들은 물론 단지 예이며 한정을 의도한 것은 아니다. 예를 들어, 이하에 기재된 설명에서 제2 피쳐 위에 또는 상에 제1 피쳐를 형성하는 것은 제1 및 제2 피쳐가 직접 접촉하도록 형성되는 실시형태를 포함할 수 있고, 또한 제1 피쳐와 제2 피쳐가 직접 접촉하지 않도록, 추가의 피쳐가 제1 피쳐와 제2 피쳐 사이에 형성될 수 있는 실시형태를 포함할 수 있다. 또한, 본 개시는 다양한 실시예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순 명료함을 목적으로 한 것으로, 그것 자체가 기술된 다양한 실시형태들 및/또는 구성들 간의 관계를 결정하는 것은 아니다.
추가로, 공간과 관련된 용어, 예컨대, "밑에", "아래에", "낮은", "위에", "위의" 등은, 본원에서, 도면에 도시된 바와 같이 하나의 요소 또는 피쳐와 다른 요소(들) 또는 피쳐(들)과의 관계를 설명함에 있어서 설명을 쉽게 하기 위해 이용될 수 있다. 공간과 관련된 용어는, 도면에 도시된 배향 이외에도, 사용 또는 작동 시의 디바이스의 다양한 배향을 포함하는 것을 의도한다. 장치는 다르게 배향될 수도 있고(90도 또는 다른 배향으로 회전됨), 본원에서 사용된 공간과 관련된 기술어는 마찬가지로 그에 따라 해석될 수 있다.
본 개시는 일반적으로 반도체 디바이스 제조 방법에 관한 것이고, 보다 구체적으로는 극자외선(EUV) 리소그래피로 노광된 레지스트막을 현상하기 위한 조성물 및 그 사용 방법에 관한 것이다. 리소그래피 패터닝에 있어서, 레지스트막을 EUV 방사선 또는 전자빔(e-빔)에 노광시킨 후, 이것을 현상액(화학적 용액) 중에서 현상시킨다. 현상액은 레지스트막의 일부분을 제거함으로써, 라인 패턴 및/또는 트렌치 패턴을 포함할 수 있는 레지스트 패턴을 형성한다. 그 후, 레지스트 패턴을 후속 에칭 공정에서 에칭 마스크로서 사용하여, 패턴을 아래에 있는 패터닝 층으로 전사한다.
노광된 레지스트막을 현상하기 위한 방법은 일반적으로 두 유형, 즉 포지티브 톤 현상(positive tone development; PTD) 공정 및 네가티브 톤 현상(negative tone development; NTD) 공정이 있다. PTD 공정은 PTD 현상액을 사용한다. NTD 공정은 NTD 현상액을 사용한다. 본원에서 사용되는 바와 같은 용어 "PTD 현상액"은 레지스트막의 노광부를 제1의 소정의 역치 이상으로 선택적으로 용해시켜 제거하는 현상액을 의미한다. 본원에서 사용되는 바와 같은 용어 "NTD 현상액"은 레지스트막의 저노광부, 즉 제2의 소정의 역치 이하로 노광된 부위뿐만 아니라 레지스트막의 비노광부를 선택적으로 용해시키고 제거하는 현상액을 의미한다. 제1 역치와 제2 역치는 레지스트 재료와 현상액의 파라미터에 따라 동일할 수도 있고 상이할 수 있다. 이하의 개시에서, 레지스트막(또는 레지스트층)의 "비노광부"란 용어는 레지스트막의 비노광부와 저노광부를 둘 다 포함한다.
오늘날의 EUV 리소그래피에 있어서는, NTD 공정이 PTD 공정보다 서브-10 나노미터(nm) 집적 공정(패브리케이션)에 대해 더 우수한 광학적 성능을 제공한다. EUV NTD 공정에 사용되는 레지스트 중 하나는 폴리하이드록시스티렌(PHS) 레지스트이다. 이것은 EUV 파장에 대한 민감성과 2차 전자를 안정하게 생성시킬 수 있는 능력으로 인해 EUV 리소그래피에 있어서의 적용에 큰 잠재성을 갖는다. 그러나, 흔히 사용되는 NTD 현상액, 예컨대 n-부틸 아세테이트(n-BA)는 PHS 레지스트를 쉽게 용해시키고 EUV 방사선에 노광된 후에도 PHS 레지스트를 침투할 수 있다. 이것은 일반적으로 레지스트 패턴 팽윤화 문제를 야기하여, 라인 엣지 조도(LER), 선폭 조도(LWR)의 증가와 심지어 패턴 변형을 초래한다. 본 개시의 목적은, EUV 리소그래프 및 e-빔 리소그래피를 비롯한 차세대 리소그래피에 있어서 높은 패턴 충실도를 달성하는 한편, PHS를 포함하는 레지스트와 같은 레지스트를 현상시킬 수 있는 신규하고 개선된 NTD 현상액을 제공하는 것이다.
도 1은 본 개시의 다양한 측면에 따라 기판(예를 들어, 반도체 웨이퍼)을 패터닝하는 방법(100)의 흐름도이다. 이 방법(100)은, 전체적으로 또는 부분적으로, 패턴 치수 정확도를 향상시키기 위해, 심자외선(DUV) 리소그래피, 극자외선(EUV) 리소그래피, 전자빔(e-빔) 리소그래피, x-선 리소그래피, 및 기타 리소그래피 공정을 이용하는 시스템에 의해 수행될 수 있다. 본 실시형태에서는, EUV 리소그래피가 주요 예로서 이용된다. 방법(100) 전, 중 또는 후에, 추가적인 공정이 제공될 수 있고, 상기 방법의 추가적인 실시형태에 있어서 기재된 몇몇 공정이 대체되거나 제거되거나 이동될 수 있다. 방법(100)은 일례이며, 청구범위에 명시적으로 언급된 것을 벗어나 본 개시를 한정하는 것은 아니다. 방법(100)은 이하에서 도 2a∼2e와 관련하여 설명할 것이며, 여기서 반도체 디바이스(200)는 방법(100)의 실시형태를 이용하여 제조된다. 반도체 디바이스(200)는 IC의 프로세싱 중에 제조된 중간 디바이스 또는 그 일부분일 수 있고, 이것은 SRAM 및/또는 다른 논리 회로, 패시브 부품, 예컨대 레지스터, 커패시터 및 인덕터, 및 액티브 부품, 예컨대 p형 FET(PFET), n형 FET(NFET), 핀형 FET(FinFET), 다른 3차원(3D) FET, 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET), 상보성 금속 산화물 반도체(CMOS) 트랜지스터, 양극성 트랜지스터, 고전압 트랜지스터, 고주파 트랜지스터, 기타 메모리 셀, 및 이들의 조합을 포함할 수 있다.
방법(100)(도 1)에서는 공정(102)에서 기판(202)(도 2a)이 제공된다. 도 2a를 참조하면, 기판(202)은 재료 또는 조성물로 된 하나 이상의 층을 포함한다. 일 실시형태에서, 기판(202)은 반도체 기판(예를 들어, 웨이퍼)이다. 또 다른 실시형태에서, 기판(202)은 결정질 구조의 규소를 포함한다. 대안적인 실시형태에서, 기판(202)은 게르마늄 등의 원소 반도체 또는 탄화규소, 비화갈륨, 비화인듐 및 인화인듐 등의 화합물 반도체를 포함한다. 기판(202)은 실리콘 온 인슐레이터(silicon on insulator; SOI) 기판을 포함할 수 있고/있거나, 성능 강화를 위해 스트레인/스트레스가 가해질 수 있고/있거나, 에피택시얼 영역을 포함하고/하거나, 격리 영역을 포함하고/하거나, 도핑 영역을 포함하고/하거나, 하나 이상의 반도체 디바이스 또는 그 일부를 포함하고/하거나, 전도성 및/또는 비전도성 층을 포함하고/하거나, 다른 적절한 피쳐 및 층을 포함할 수 있다. 본 실시형태에 있어서, 기판(202)은 패터닝 층(204)을 포함한다. 일 실시형태에서, 패터닝 층(204)은 비정질 규소(a-Si), 이산화규소, 질화규소(SiN), 질화티탄 또는 다른 적절한 재료 또는 조성물과 같은 재료(들)을 포함하는 하드 마스크층이다. 일 실시형태에서, 패터닝 층(204)은 반사방지 코팅(ARC) 층, 예컨대 산화규소, 규소 산소 탄화물, 또는 플라즈마 강화 화학 기상 증착 규소 산화물과 같은 재료(들)를 포함하는 질소 무함유 반사방지 코팅(NFARC) 층이다. 다양한 실시형태에서, 패터닝 층(204)은 고-k 유전층, 게이트층, 하드 마스크층, 계면층, 캡핑층, 확산/장벽층, 유전층, 전도층, 다른 적절한 층 및/또는 이들의 조합을 포함할 수 있다. 또 다른 실시형태에서, 기판(202)은 석영, 규소, 탄화규소, 또는 산화규소-산화티탄 화합물과 같은 열팽창이 적은 재료를 포함할 수 있는 마스크 기판이다. 이러한 예에서 추가로, 기판(202)은 심자외선(DUV) 마스크, 극자외선(EUV) 마스크, 또는 다른 유형의 마스크를 제조하기 위한 마스크 기판일 수 있다.
방법(100)(도 1)은 기판(202) 상에 재료층(206)을 형성함으로써 공정(104)로 진행한다(도 2b). 도 2b를 참조하면, 일 실시형태에서, 재료층(206)은 기판(202) 위에 액체 중합체 재료를 스핀온 코팅함으로써 형성한다. 일 실시형태에서, 재료층(206)을 소프트 베이킹 공정 및 하드 베이킹 공정으로 추가로 처리한다. 일 실시형태에서, 재료층(206)은 방사선 민감성 층, 예컨대, I선 레지스트를 포함하는 포토레지스트, 크립톤 플루오라이드(KrF) 레지스트 및 아르곤 플루오라이드(ArF) 레지스트를 포함하는 DUV 레지스트, EUV 레지스트, 전자빔(e-빔) 레지스트, 및 이온빔 레지스트이다. 본 실시형태에서, 재료층(206)은 EUV 방사선에 민감한 레지스트이며 추가로 NTD 현상을 위한 것, 즉, NTD 현상액 중에서의 그 용해도가 EUV 방사선 노광 시 감소한다. 일 실시형태에서, 재료층(206)은 PHS 레지스트를 포함한다. 예를 들어, 재료층(206)은 0% 초과 40% 미만의 PHS 레지스트를 포함할 수 있다. 이러한 실시형태에서 추가로, PHS 레지스트는 재료층(206) 중의 공중합체의 일부일 수 있거나 또는 다른 중합체와 배합되어 재료층(206)을 형성할 수 있다. 편의를 위해, 이하의 설명에서는 재료층(206)을 단순히 레지스트막(또는 레지스트)(206)라고 칭한다. 일 실시형태에서, 레지스트막(206)은 방사선 조사 시 산을 발생시키는 광산 발생제(PAG)를 포함한다. 이러한 실시형태에서 추가로, 레지스트막(206)은 약 5% PAG를 포함할 수 있다.
방법(100)(도 1)은 리소그래피 시스템에서 레지스트막(206)을 방사선빔(208)에 노광시킴으로써 공정(106)으로 진행한다. 도 2c를 참조하면, 방사선빔(208)은 I선(365 nm), DUV 방사선, 예컨대 KrF 엑시머 레이저(248 nm) 또는 ArF 엑시머 레이저(193 nm), EUV 방사선(예를 들어, 13.8 nm), e-빔, x-선, 이온빔, 또는 다른 적절한 방사선일 수 있다. 공정(106)은 공기, 액체(액침 리소그래피), 또는 진공(예를 들어, EUV 리소그래피 및 e-빔 리소그래피의 경우)에서 수행될 수 있다. 일 실시형태에서, 방사선빔(208)은 투과 마스크 또는 반사 마스크와 같은 마스크로 패터닝되며, 위상 이동 및/또는 광학 근접 보정(optical proximity correction; OPC)과 같은 해상도 향상 기법을 포함할 수 있다. 또 다른 실시형태에서, 방사선빔(208)은 마스크를 사용하지 않고 미리 정해진 패턴, 예컨대 IC 레이아웃으로 직접 모듈레이트될 수 있다(마스크리스 리소그래피). 본 실시형태에서, 방사선빔(208)은 EUV 방사선이고, 공정(106)은 도 3에 도시된 EUV 리소그래피 시스템(300)과 같은 EUV 리소그래피 시스템(300)에서 수행된다.
도 3을 참조하면, EUV 리소그래피 시스템(300)은 방사선빔(208)을 발생시키는 방사선원(302), 콘덴서 광학계(condenser optics)(306), 그 위에 마스크(308)를 고정하는 마스크 스테이지(310), 프로젝션 광학계(312), 및 기판(202) 및 레지스트막(206)을 포함하는 디바이스(200)를 고정하는 기판 스테이지(314)를 포함한다. 그 밖의 구성도 가능하고 아이템의 포함 또는 생략도 가능할 수 있다. 본 개시에서, EUV 리소그래피 시스템(300)은 스테퍼(stepper) 또는 스캐너일 수 있다.
방사선원(302)은 약 1∼100 nm와 같은 EUV 대역의 파장을 갖는 방사선빔(208)을 제공한다. 일 실시형태에서, 방사선빔(208)은 약 13.5 nm의 파장을 갖는다. 콘덴서 광학계(306)는 다층 코팅 수집기 및 복수의 그레이징 미러를 포함한다. 콘덴서 광학계(306)는 방사선빔(208)을 수집하고 형상화하여 방사선빔(208)의 슬릿을 마스크(308)에 제공하도록 구성된다. 포토마스크 또는 레티클이라고도 불리는 마스크(308)는 하나 이상의 타겟 IC 디바이스의 패턴을 포함한다. 마스크(308)는 패터닝된 에어리얼 이미지를 방사선빔(208)에 제공한다. 본 실시형태에서 마스크(308)는 반사 마스크이며 위상 이동 기법 및/또는 광학 근접 보정(OPC)과 같은 해상도 향상 기법을 포함할 수 있다. 마스크 스테이지(310)는 진공 등에 의해 그 위에 마스크(308)를 고정하고, EUV 리소그래피 시스템(300)에 있어서 정렬, 포커싱, 레벨링 및 노광 공정 중에 마스크(308)의 정확한 위치와 이동을 제공한다.
프로젝션 광학계(312)는 하나 이상의 렌즈와 복수의 미러를 포함한다. 렌즈는 1보다 작은 배율을 가짐으로써, 디바이스(200), 특히 레지스트막(206)으로의 마스크(308)의 패터닝된 에어리얼 이미지를 축소시킬 수 있다. 디바이스(200)는 기판 스테이지(314)에 의해 고정되어, EUV 리소그래피 시스템(300)에 있어서의 정렬, 포커싱, 레벨링 및 노광 공정 중에 디바이스(200)의 위치 및 이동을 정확히 함으로써, 마스크(308)의 패터닝된 에어리얼 이미지가 반복적으로 레지스트막(206) 상에 노광되도록 한다(다른 리소그래피법도 가능함). 레지스트막(206)의 방사선 노광 부분은 NTD 현상액 중에 불용성이 된다. 레지스트막(206)이 PAG를 포함하는 일 실시형태에서, 반도체 디바이스(200)에 대해 하나 이상의 노광후 베이킹 공정을 실시할 수 있으며, 이는 레지스트 패턴 형성 공정을 촉진한다.
방법(100)(도 1)은 노광된 레지스트막(206)을 본 개시의 다양한 측면에 따라 구성되는 현상액(210) 중에서 현상시킴으로써 공정(108)로 진행된다. 본 실시형태에서, 현상액(210)은 레지스트막(206)의 비노광 부분을 용해시켜 제거하여 레지스트 패턴(206a)를 형성하는 NTD 현상액이다(도 2d). 도 2d에 도시된 예에서, 레지스트 패턴(206a)은 2개의 라인 패턴으로 나타내어진다. 그러나, 이하의 설명은 트렌치로 나타내어지는 레지스트 패턴에도 동일하게 적용될 수 있다.
상기에서 언급한 바와 같이, 일반적으로 사용되는 NTD 현상액인 n-부틸 아세테이트(n-BA)는 EUV 리소그래피에 그다지 적합하지 않은데, 그 이유는 부분적으로는, 이것이 PHS 레지스트를 쉽게 용해시키고 심지어 PHS 레지스트의 노광부를 침투하여, 라인 엣지 조도의 증가와 심지어 패턴 변형을 초래하기 때문이다. 본 출원인들은 n-BA보다 EUV 리소그래피에서 우수한 성능을 제공하는 신규하고 개선된 NTD 현상액 조성물을 발견하였다. NTD 현상액(210)은 신규하고 개선된 현상액 조성물의 일 실시형태이다. 일 실시형태에서, NTD 현상액(210)은 유기 용매(212)를 포함하고, 1.82보다 큰 로그 P 값을 갖는다. 유기 용매(212)는 n-BA 유도체일 수 있다. 다양한 실시형태에서, 유기 용매(212)는 하기 화학식 (I)로 나타내어진다:
Figure pat00001
상기 화학식 (I)에서, R1, R2, R3, R4, 및 R5는 각각 수소, 메틸기, 에틸기, 및 플루오로알킬기로 이루어진 군으로부터 선택된다.
일 실시형태에서, 유기 용매(212)는 화학식 (I)로 나타내어지고, 추가로, R1, R2, R3, R4, 및 R5는 각각 메틸기이다. 이 실시형태의 몇몇 예시적인 화학식은 이하에 (II-A)∼(II-E)로 나타내어진다:
Figure pat00002
Figure pat00003
일 실시형태에서, 유기 용매(212)는 화학식 (I)로 나타내어지고, 추가로, R1, R2, R3, R4, 및 R5는 각각 에틸기이다. 이 실시형태의 몇몇 예시적인 화학식은 이하에 (III-A)∼(III-E)로 나타내어진다:
Figure pat00004
일 실시형태에서, 유기 용매(212)는 화학식 (I)로 나타내어지고, 추가로, R1, R2, R3, R4, 및 R5는 각각 CF3를 갖는 플루오로알킬기이다. 이 실시형태의 몇몇 예시적인 화학식은 이하에 (IV-A)∼(IV-E)로 나타내어진다:
Figure pat00005
Figure pat00006
일 실시형태에서, 유기 용매(212)는 화학식 (I)로 나타내어지고, 추가로, R1, R2, R3, R4, 및 R5는 각각 C2F5를 갖는 플루오로알킬기이다. 이 실시형태의 몇몇 예시적인 화학식은 이하에 (V-A)∼(V-E)로 나타내어진다:
Figure pat00007
다양한 실시형태에서, 현상액(210)은 저분자량 첨가제 및 계면활성제를 추가로 포함할 수 있다. 몇몇 실시형태에서, 현상액(210)은 n-부틸 아세테이트(n-BA)를 공용매로서 추가로 포함할 수 있다. 유기 용매(212)와 공용매 n-BA 간의 비는 레지스트막(206)의 특성, 예컨대, 용해도, 분자량, 분자량 분포, 단량체 극성, 단량체 배열(sequence) 등에 의해 결정될 수 있다.
도 2d를 참조하면, 본 개시의 다양한 측면에 따라 구성된 현상액(210)을 레지스트막(206)에 적용한다. 레지스트막(206)의 비노광부(저노광부를 포함함)는 현상액(210)에 의해 용해되어, 기판(202) 위에 레지스트 패턴으로서 노광부(206a)만 남는다. 상기에 기재한 현상액(210)의 특성으로 인해, 레지스트 패턴(206a)은 매우 평활한 에지와 측벽을 가지며, 따라서 낮은 LER 및 LWR을 갖는다.
몇몇 실시형태에서, 현상액(210)을 현상 수단(350)에서 디바이스(200)에 적용한다. 예시적인 현상 수단(350)이 부분적으로 도 4에 도시되어 있다. 도 4를 참조하면, 현상 수단(350)은 반도체 집적 공정에 있어서의 클러스터 툴(cluster tool)의 일부이다. 레지스트막(206)을 EUV 리소그래피 시스템(300)에서 노광시킨 후, 디바이스(200)를 현상 수단(350)으로 옮기고, 이 현상 수단(350)은 현상액(210)을 레지스트막(206)에 적용한다. 제시된 실시형태에서, 현상 수단(350)은 현상액(210)을 스핀온 공정(spin-on process)으로 적용하는데, 즉, 상기 현상 수단(350)은 디바이스(200)를 회전시키면서 현상액(210)을 레지스트막(206) 상에 분무한다.
도 4에 도시된 바와 같이, 현상 수단(350)은 레지스트막(206)을 포함한 디바이스(200)를 고정하도록 설계된 기판 스테이지(352)를 포함한다. 기판 스테이지(352)는 회전하도록 작동되어, 그에 따라 그 위에 고정된 디바이스(200)가 스핀온 현상 공정 중에 회전하게 된다. 기판 스테이지(352)는, 디바이스(200)를 고정하기 위해, 진공 흡인 메카니즘, e-처킹(e-chucking), 또는 다른 적합한 메카니즘 등의 메카니즘을 포함한다. 현상 수단(350)은 기판 스테이지(352)와 통합된 운동 메카니즘(354)을 추가로 포함하며, 기판 스테이지(352) 및 그 위에 고정된 디바이스(200)를 다양한 운동 방식으로 구동시키도록 작동될 수 있다. 몇몇 실시형태에서, 운동 메카니즘(354)은 기판 스테이지(352) 및 디바이스(200)가 다양한 공정(예컨대 현상 및 세정) 중에 특정 회전 속도로 회전하도록 구동시키는 모터를 포함한다. 몇몇 실시형태에서, 운동 메카니즘(354)은, 디바이스(200)가 더 낮은 또는 더 높은 높이에 배치될 수 있도록 수평 방향을 따라 디바이스(200)를 이동시키기 위한 승강 모듈을 포함한다.
현상액(210)은 디바이스(200)가 회전하는 동안 디바이스(200) 위에 노즐(356)을 통해 분주된다. 현상액(210)은 컨테이너(358)에 저장되고, 배관을 포함하는 전달 장치를 통해 노즐(356)에 전달된다. 현상액(210)은 펌프, 가압 가스 또는 다른 메카니즘을 이용하여 전달할 수 있다. 일 실시형태에서, 현상액(210)은 n-BA를 공용매로서 포함한다. 이러한 실시형태에서 추가로, 유기 용매(212) 및 공용매 n-BA를 예혼합하여 컨테이너(358)에 저장할 수 있다. 대안으로, 유기 용매(212) 및 공용매 n-BA를 별개의 컨테이너(컨테이너(358)와 유사한 것)에 저장하고 전달 장치를 통해 노즐(356)까지 혼합할 수 있으며, 그러는 동안 현상액(210)이 적용된다. 다양한 실시형태에서, 현상 수단(350)은 유기 용매(212)와 공용매 n-BA 간의 혼합비를 제어할 수 있으며, 상기 혼합비는 레지스트막(206)의 파라미터와 관련된 다양한 물리적 값에 따라 달라질 수 있다. 예를 들어, 혼합비는 레지스트막(206) 내의 중합체의 특성, 평균 분자량, 분자량 분포, 단량체의 극성 및 배열 등을 고려할 수 있다.
다양한 실시형태에서, 현상액(210)을 디바이스(200) 상에 연속적으로 분무할 수 있다. 대안으로, 이것을 퍼들법 등의 다른 방법에 의해 적용할 수 있다. 방법(100)은 공정(108) 후 레지스트 패턴(206a)을 마무리하기 위해 추가의 공정을 포함할 수 있다. 예를 들어, 디바이스(200)에 대해 탈이온(DI)수를 사용한 세정 작업을 실시하여 잔류물 및 입자를 제거하고/하거나, 그 구조적 안정성을 증가시키기 위해 레지스트 패턴(206a)을 경화시키기 위한 현상 후 베이킹(post-development baking; PDB) 공정을 실시할 수 있다.
방법(100)(도 1)은 에칭 마스크로서 레지스트 패턴(206a)을 사용하여 기판(202)을 에칭하기 위한 공정(110)으로 진행하여, 레지스트 패턴(206a)으로부터 기판(202)으로 패턴을 전사한다(도 2e). 일 실시형태에서, 패터닝 층(204)은 하드 마스크 층이다. 이러한 실시형태에서 추가로, 패턴을 먼저 레지스트 패턴(206a)으로부터 하드 마스크 층(204)으로 전사하고, 그 후 기판(202)의 다른 층으로 전사한다. 예를 들어, 하드 마스크 층(204)을, 건식(플라즈마) 에칭, 습식 에칭 및/또는 다른 에칭법을 이용하여 레지스트 패턴(206a)의 개구를 통해 에칭할 수 있다. 예를 들어, 건식 에칭법은 산소 함유 가스, 불소 함유 가스(예를 들어, CF4, SF6, CH2F2, CHF3, 및/또는 C2F6), 염소 함유 가스(예를 들어, Cl2, CHCl3, CCl4, 및/또는 BCl3), 브롬 함유 가스(예를 들어, HBr 및/또는 CHBR3), 요오드 함유 가스, 다른 적절한 가스 및/또는 플라즈마, 및/또는 이들의 조합을 이용할 수 있다. 레지스트 패턴(206a)은 하드 마스크 층(204)의 에칭 과정에서 부분적으로 또는 완전히 소비될 수 있다. 일 실시형태에서, 레지스트 패턴(206a)의 임의의 잔류 부분을 스트리핑하여, 도 2e에 도시된 바와 같이 기판(202) 위에 패터닝된 하드 마스크 층(204a)을 남길 수 있다.
도 1에 도시되지는 않았지만, 방법(100)은 기판(202) 상에 최종 패턴 또는 IC 디바이스를 형성하도록 진행할 수 있다. 일 실시형태에서, 기판(202)은 반도체 기판이고, 방법(100)은 핀 전계 효과 트랜지스터(fin field effect transistor; FinFET) 구조를 형성하도록 진행한다. 이러한 실시형태에서, 공정(110)은 반도체 기판(202) 내에 복수의 액티브 핀(active fin)을 형성한다. 액티브 핀은, 레지스트 패턴(206a)의 낮은 LER 및 LWR로 인해, 균일한 CD를 갖는다. 또 다른 실시형태에서, 방법(100)은 반도체 기판(202)에서 복수의 게이트 전극을 형성하도록 진행한다. 게이트 전극은 레지스트 패턴(206a)의 평활한 측벽으로 인해 균일한 게이트 길이를 갖는다. 방법(100)은 게이트 스페이서, 도핑된 공급원/드레인 영역, 게이트/소스/드레인 피쳐에 대한 접점 등을 추가로 형성할 수 있다. 또 다른 실시형태에서, 타겟 패턴이 다층 인터커넥션 구조물의 금속 라인으로서 형성될 수 있다. 예를 들어, 금속 라인은 기판(202)의 층간 절연(ILD) 층으로 형성될 수 있고, 이것은 복수의 트렌치를 포함하도록 공정(110)에 의해 에칭된 것이다. 방법(100)은 금속 등의 전도성 재료로 트렌치를 충전하고, 패터닝된 ILD 층을 노광시켜 ILD 층에 금속 라인을 형성하도록 화학 기계적 연마(CMP) 등의 공정을 이용하여 전도성 재료를 연마하도록 진행된다. 위에서 기재한 것은 본 개시의 다양한 측면에 따라 방법(100) 및 현상액(210)을 이용하여 제조 및/또는 개선시킬 수 있는 디바이스/구조의 비한정적인 예이다.
한정을 의도하는 것은 아니지만, 본 개시의 하나 이상의 실시형태는 반도체 디바이스 및 그 형성에 많은 이익을 제공한다. 예를 들어, 본 개시에 따라 구성된 레지스트 현상액은 차세대 리소그래피, 예컨대 EUV 리소그래피 또는 e-빔 리소그래피를 위한 NTD 공정에서 뛰어난 성능을 제공한다. 이 현상액의 실시형태는 PHS를 포함하는 레지스트막을 현상하는 데 적합하다. 이러한 현상액을 사용하면, 레지스트 패턴 팽윤화의 감소, 및 레지스트 패턴 표면 조도, 예컨대 라인 엣지 조도(LER) 및/또는 선폭 조도(LWR)의 감소가 얻어진다. 이러한 레지스트 현상액은 임계 치수(CD) 균일성이 회로 성능의 중요한 인자가 되고 있는 나노미터 반도체 집적공정에 있어서 유익하다.
예시적인 한 측면에서, 본 개시는 리소그래피 패터닝 방법에 관한 것이다. 이 방법은 기판을 제공하는 단계; 기판 위에 재료층을 형성하는 단계; 재료층의 일부분을 방사선에 노광시키는 단계; 및 재료층의 비노광 부분을 현상액 중에서 제거하여, 패터닝된 재료층을 얻는 단계로서, 상기 현상액은 유기 용매를 포함하고 1.82 초과의 로그 P 값을 갖는 것인 단계를 포함한다.
예시적인 또 다른 측면에서, 본 개시는 리소그래피 패터닝 방법에 관한 것이다. 이 방법은 기판 위에 레지스트 층을 형성하는 단계; 레지스트층의 일부분을 EUV 방사선에 노광시키는 단계; 및 레지스트층의 비노광 부분을 현상액 중에서 제거하여, 패터닝된 레지스트 층을 얻는 단계로서, 상기 현상액은 1.82 초과의 로그 P 값을 가지고 용매로서 n-부틸 아세테이트(n-BA) 유도체를 포함하는 것인 단계를 포함한다.
또 다른 예시적인 측면에서, 본 개시는 1.82 초과의 로그 P 값을 갖는 리소그래피 현상 조성물에 관한 것이다. 상기 조성물은 하기 화학식으로 표시되는 유기 용매를 포함한다:
Figure pat00008
상기 화학식에서, R1, R2, R3, R4, 및 R5는 각각 수소, 메틸기, 에틸기, 및 플루오로알킬기로 이루어진 군으로부터 선택된다.
이상의 설명은 당해 기술분야의 통상의 기술자가 본 개시의 측면들을 더 잘 이해할 수 있도록 몇 가지 실시형태의 특징들을 약술한 것이다. 당해 기술분야의 통상의 기술자라면 본원에 개시된 실시형태들과 동일한 목적을 수행하고/하거나 동일한 이익을 얻기 위해 다른 공정 및 구조를 설계하거나 변경하기 위한 기초로서 본 개시를 쉽게 이용할 수 있다는 것을 이해할 것이다. 또한, 당해 기술분야의 통상의 기술자라면 그러한 균등한 구성이 본 개시의 사상 및 범위로부터 벗어나지 않음을 이해해야 하고, 그들이 본 개시의 사상 및 범위로부터 벗어나지 않도록 본원에 다양한 변경, 치환 및 변형을 가할 수 있음을 이해해야 한다.

Claims (10)

  1. 기판을 제공하는 단계;
    상기 기판 위에 재료층을 형성하는 단계;
    상기 재료층의 일부분을 방사선에 노광시키는 단계; 및
    상기 재료층의 비노광 부분을 현상액 중에서 제거하여, 패터닝된 재료층을 형성하는 단계로서, 상기 현상액은 유기 용매를 포함하고 1.82 초과의 로그 P 값을 갖는 것인 단계
    를 포함하는 리소그래피 패터닝 방법.
  2. 제1항에 있어서, 상기 유기 용매가 하기 화학식으로 나타내어지는 것인 방법:
    Figure pat00009

    상기 화학식에서, R1, R2, R3, R4, 및 R5는 각각 수소, 메틸기, 에틸기, 및 플루오로알킬기로 이루어진 군으로부터 선택된다.
  3. 제1항에 있어서, 상기 재료층이, 현상액 중에서의 용해도가 방사선 노광 시 감소하는 네거티브 톤 레지스트인 방법.
  4. 제3항에 있어서, 상기 재료층이 0% 초과 40% 미만의 폴리하이드록시스티렌(PHS)을 포함하는 것인 방법.
  5. 제1항에 있어서, 상기 방사선이 극자외(EUV) 방사선 또는 전자빔(e-빔)인 방법.
  6. 제1항에 있어서, 상기 현상액이 n-부틸 아세테이트를 더 포함하는 것인 방법.
  7. 기판 위에 레지스트층을 형성하는 단계;
    상기 레지스트층의 일부분을 EUV 방사선에 노광시키는 단계; 및
    상기 레지스트층의 비노광 부분을 현상액 중에서 제거하여, 패터닝된 레지스트층을 형성하는 단계로서, 상기 현상액은 1.82 초과의 로그 P 값을 가지고, n-부틸 아세테이트(n-BA) 유도체인 용매를 포함하는 것인 단계
    를 포함하는 리소그래피 패터닝 방법.
  8. 제7항에 있어서, 비노광 부분을 제거하는 단계가 스핀온 공정(spin-on process)을 이용하여 레지스트층에 현상액을 적용하는 것을 포함하는 것인 방법.
  9. 1.82 초과의 로그 P 값을 가지고 하기 화학식으로 나타내어지는 유기 용매를 포함하는 리소그래피 현상 조성물:
    Figure pat00010

    상기 화학식에서, R1, R2, R3, R4, 및 R5는 각각 수소, 메틸기, 에틸기, 및 플루오로알킬기로 이루어진 군으로부터 선택된다.
  10. 제9항에 있어서, n-부틸 아세테이트(n-BA)를 더 포함하는 리소그래피 현상 조성물.
KR1020150169119A 2015-06-30 2015-11-30 극자외선 리소그래피용 네가티브 톤 현상액 조성물 KR101791750B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/755,049 2015-06-30
US14/755,049 US9459536B1 (en) 2015-06-30 2015-06-30 Negative tone developer composition for extreme ultraviolet lithography

Publications (2)

Publication Number Publication Date
KR20170003362A true KR20170003362A (ko) 2017-01-09
KR101791750B1 KR101791750B1 (ko) 2017-10-30

Family

ID=56995118

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150169119A KR101791750B1 (ko) 2015-06-30 2015-11-30 극자외선 리소그래피용 네가티브 톤 현상액 조성물

Country Status (4)

Country Link
US (1) US9459536B1 (ko)
KR (1) KR101791750B1 (ko)
CN (1) CN106325002B (ko)
TW (1) TWI611275B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018081306A (ja) * 2016-11-07 2018-05-24 富士フイルム株式会社 処理液及びパターン形成方法
KR101957876B1 (ko) * 2018-06-14 2019-03-13 영창케미칼 주식회사 극자외선 리소그래피용 공정액 조성물 및 이를 이용하는 패턴 형성 방법
KR101957875B1 (ko) * 2018-06-14 2019-03-13 영창케미칼 주식회사 극자외선 리소그래피용 공정액 조성물 및 이를 이용하는 패턴 형성 방법

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9927707B2 (en) 2015-08-31 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Developer for lithography
US10386723B2 (en) 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10727055B2 (en) * 2017-02-10 2020-07-28 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US10520820B2 (en) * 2017-05-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative tone developer for extreme ultraviolet lithography
US11480868B2 (en) * 2019-03-22 2022-10-25 International Business Machines Corporation Determination of optical roughness in EUV structures

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3384628A (en) * 1964-09-21 1968-05-21 Agriculture Usa Fluorinated ester compounds and use thereof
DE4342133A1 (de) * 1993-12-10 1995-06-14 Nokia Deutschland Gmbh Anordnung zur aktiven Schalldämpfung
TW376397B (en) * 1995-12-21 1999-12-11 Du Pont Fluorinated ester melt additives for thermoplastic fibers
JP2000321789A (ja) 1999-03-08 2000-11-24 Somar Corp レジストパターン形成用処理液及びレジストパターン形成方法
JP3779882B2 (ja) * 2000-02-28 2006-05-31 三菱電機株式会社 現像方法、パターン形成方法およびこれらを用いたフォトマスクの製造方法、半導体装置の製造方法
EP1323703B1 (en) * 2000-09-27 2007-09-26 Asahi Glass Company Ltd. Process for producing fluorinated ester compound
US8748046B2 (en) * 2007-01-25 2014-06-10 California Institute Of Technology Lithium-ion electrolytes with fluoroester co-solvents
JP4562784B2 (ja) 2007-04-13 2010-10-13 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられるレジスト組成物、現像液及びリンス液
JP5639755B2 (ja) * 2008-11-27 2014-12-10 富士フイルム株式会社 有機溶剤を含有する現像液を用いたパターン形成方法及びこれに用いるリンス液
JP5557550B2 (ja) * 2009-02-20 2014-07-23 富士フイルム株式会社 電子線又はeuv光を用いた有機溶剤系現像又は多重現像パターン形成方法
JP5952613B2 (ja) * 2012-03-30 2016-07-13 富士フイルム株式会社 レジストの現像方法、レジストパターンの形成方法およびモールドの製造方法並びにそれらに使用される現像液
JP6282058B2 (ja) * 2013-08-06 2018-02-21 東京応化工業株式会社 有機溶剤現像液
US8980108B1 (en) 2013-10-04 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit fabrication
KR102219702B1 (ko) * 2013-11-27 2021-02-25 삼성전자주식회사 음극 활물질 및 이를 이용한 리튬 이차전지

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018081306A (ja) * 2016-11-07 2018-05-24 富士フイルム株式会社 処理液及びパターン形成方法
KR101957876B1 (ko) * 2018-06-14 2019-03-13 영창케미칼 주식회사 극자외선 리소그래피용 공정액 조성물 및 이를 이용하는 패턴 형성 방법
KR101957875B1 (ko) * 2018-06-14 2019-03-13 영창케미칼 주식회사 극자외선 리소그래피용 공정액 조성물 및 이를 이용하는 패턴 형성 방법
WO2019240398A1 (ko) * 2018-06-14 2019-12-19 영창케미칼 주식회사 극자외선 리소그래피용 공정액 조성물 및 이를 이용하는 패턴 형성 방법
WO2019240397A1 (ko) * 2018-06-14 2019-12-19 영창케미칼 주식회사 극자외선 리소그래피용 공정액 조성물 및 이를 이용하는 패턴 형성 방법
US11473035B2 (en) 2018-06-14 2022-10-18 Young Chang Chemical Co., Ltd. Process solution composition for extreme ultraviolet lithography, and method for forming pattern by using same
US11624984B2 (en) 2018-06-14 2023-04-11 Young Chang Chemical Co., Ltd Process liquid composition for extreme ultraviolet lithography and pattern forming method using same

Also Published As

Publication number Publication date
CN106325002B (zh) 2018-11-02
TWI611275B (zh) 2018-01-11
US9459536B1 (en) 2016-10-04
CN106325002A (zh) 2017-01-11
TW201701086A (zh) 2017-01-01
KR101791750B1 (ko) 2017-10-30

Similar Documents

Publication Publication Date Title
KR101791750B1 (ko) 극자외선 리소그래피용 네가티브 톤 현상액 조성물
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
KR101983945B1 (ko) 리소그래피를 위한 새로운 현상제
US11378884B2 (en) Extreme ultraviolet photoresist and method
US11143963B2 (en) Negative tone developer for extreme ultraviolet lithography
US11774855B2 (en) Lithography patterning technique
US9927707B2 (en) Developer for lithography
TWI737782B (zh) 微影圖案化的方法
KR102481142B1 (ko) Euv 리소그래피를 위한 네가티브 톤 포토레지스트
TW201835971A (zh) 用於微影製程之圖案化的方法
US20230056958A1 (en) Photoresist developer and methods of use

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
GRNT Written decision to grant