KR20160109989A - Vertical memory devices - Google Patents

Vertical memory devices Download PDF

Info

Publication number
KR20160109989A
KR20160109989A KR1020150070338A KR20150070338A KR20160109989A KR 20160109989 A KR20160109989 A KR 20160109989A KR 1020150070338 A KR1020150070338 A KR 1020150070338A KR 20150070338 A KR20150070338 A KR 20150070338A KR 20160109989 A KR20160109989 A KR 20160109989A
Authority
KR
South Korea
Prior art keywords
contact
gate electrodes
pattern
layer
gate
Prior art date
Application number
KR1020150070338A
Other languages
Korean (ko)
Other versions
KR102339740B1 (en
Inventor
황성민
김지용
변대석
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US15/001,877 priority Critical patent/US9899394B2/en
Priority to CN201610133440.3A priority patent/CN106409831B/en
Publication of KR20160109989A publication Critical patent/KR20160109989A/en
Application granted granted Critical
Publication of KR102339740B1 publication Critical patent/KR102339740B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • H01L27/11556
    • H01L27/11521
    • H01L27/11551
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11861Substrate and well contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1443Non-volatile random-access memory [NVRAM]

Landscapes

  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

A vertical memory device includes: a plurality of gate electrodes formed on a plurality of layers respectively which are spaced from each other in a vertical direction vertical to the upper surface of a substrate; a channel extended, on the substrate, in the vertical direction to penetrate the gate electrodes; and a plurality of first contact plugs extended, on the gate electrodes, in the vertical direction to come in contact with the gate electrodes respectively. One or more second contact plugs, extended in the vertical direction, are formed on a first gate electrode among the gate electrodes.

Description

수직형 메모리 장치{VERTICAL MEMORY DEVICES}{Vertical Memory Devices}

본 발명은 수직형 메모리 장치에 관한 것이다. 보다 상세하게, 본 발명은 계단 형상의 워드라인 패드 및 이에 접촉하는 콘택들을 포함하는 수직형 불휘발성 메모리 장치에 관한 것이다. The present invention relates to a vertical memory device. More particularly, the present invention relates to a vertical non-volatile memory device comprising a step-like word line pad and contacts in contact therewith.

최근 집적도 증가를 위해 수직형 불휘발성 메모리 장치가 개발되고 있다. 수직형 불휘발성 메모리 장치 제조 시, 계단형 워드라인 패드를 형성한 후, 상기 워드라인 패드의 계단들 상에 각각 접촉하는 콘택들을 형성한다. 그런데, 패턴들의 밀도 차이에 의한 패턴 로딩 효과에 의해서, 가장 낮은 계단 및 가장 높은 계단상에 형성되는 콘택들을 위한 콘택 홀들이 상기 계단을 잘 노출시키지 못하도록 형성될 수 있다. 이에 따라, 상기 콘택 홀들 내에 형성되는 콘택들이 상기 워드라인 패드에 잘 접촉하지 못하여 전기적인 특성이 열화될 수 있다.Recently, a vertical type nonvolatile memory device has been developed to increase the degree of integration. In the fabrication of a vertical nonvolatile memory device, after forming a stepped wordline pad, contacts are formed which each contact on the steps of the wordline pad. However, due to the pattern loading effect due to the density difference of the patterns, the contact holes for the contacts formed on the lowest step and the highest step can be formed so as not to expose the step well. As a result, the contacts formed in the contact holes do not contact the word line pad well, and the electrical characteristics may deteriorate.

본 발명의 목적은 우수한 전기적 특성을 갖는 수직형 메모리 장치를 제공하는 것이다.It is an object of the present invention to provide a vertical memory device having excellent electrical characteristics.

상술한 본 발명의 과제를 달성하기 위하여, 예시적인 실시예들에 따른 수직형 메모리 장치는 기판 상면에 수직한 수직 방향을 따라 서로 이격된 복수 개의 층들에 각각 형성된 복수 개의 게이트 전극들, 상기 기판 상에 상기 수직 방향으로 연장되어 상기 게이트 전극들을 관통하는 채널, 및 상기 게이트 전극들 상에 상기 수직 방향으로 연장되어 상기 게이트 전극들에 각각 접촉하는 복수 개의 제1 콘택 플러그들을 포함한다. 상기 게이트 전극들 중 제1 게이트 전극 상에는 상기 수직 방향으로 연장되는 하나 이상의 제2 콘택 플러그가 더 형성된다.According to an aspect of the present invention, there is provided a vertical memory device including a plurality of gate electrodes formed on a plurality of layers spaced apart from each other along a vertical direction perpendicular to a top surface of a substrate, A plurality of first contact plugs extending in the vertical direction and passing through the gate electrodes, and a plurality of first contact plugs extending in the vertical direction on the gate electrodes and contacting the gate electrodes, respectively. And one or more second contact plugs extending in the vertical direction are further formed on the first gate electrode of the gate electrodes.

예시적인 실시예들에 있어서, 상기 제2 콘택 플러그의 상면은 상기 제1 콘택 플러그들의 상면과 실질적으로 동일한 높이에 형성될 수 있다.In exemplary embodiments, an upper surface of the second contact plug may be formed at a substantially same height as an upper surface of the first contact plugs.

예시적인 실시예들에 있어서, 상기 제2 콘택 플러그는 상기 제1 게이트 전극에 접촉할 수 있다.In exemplary embodiments, the second contact plug may contact the first gate electrode.

예시적인 실시예들에 있어서, 상기 제2 콘택 플러그는 상기 제1 게이트 전극에 접촉하지 않으며, 상기 제2 콘택 플러그의 저면은 상기 제1 게이트 전극의 상면보다는 높고 상기 제1 게이트 전극이 형성된 층의 바로 위층에 형성된 상기 게이트 전극의 저면보다는 낮을 수 있다.In the exemplary embodiments, the second contact plug does not contact the first gate electrode, the bottom surface of the second contact plug is higher than the top surface of the first gate electrode, May be lower than the bottom surface of the gate electrode formed immediately above.

예시적인 실시예들에 있어서, 상기 각 게이트 전극들은 상기 기판 상면에 평행한 제1 방향을 따라 연장될 수 있으며, 상기 제1 및 제2 콘택 플러그들은 상면에서 보았을 때 상기 제1 방향을 따라 일정한 간격으로 배치될 수 있다.In exemplary embodiments, each of the gate electrodes may extend along a first direction parallel to the top surface of the substrate, and the first and second contact plugs may be spaced apart at regular intervals As shown in FIG.

예시적인 실시예들에 있어서, 상기 제2 콘택 플러그는 상기 제1 및 제2 콘택 플러그들 중에서 상기 제1 방향을 따라 제일 처음 혹은 제일 마지막에 배치될 수 있다.In exemplary embodiments, the second contact plug may be disposed at the earliest or first end of the first and second contact plugs along the first direction.

예시적인 실시예들에 있어서, 상기 제2 콘택 플러그는 상기 제1 및 제2 콘택 플러그들 중에서 상기 제1 방향을 따라 가운데에 배치될 수 있다.In exemplary embodiments, the second contact plug may be centered along the first direction among the first and second contact plugs.

예시적인 실시예들에 있어서, 상기 제1 및 제2 콘택 플러그들은 상기 제1 방향을 따라 지그재그 형상으로 배치될 수 있다.In exemplary embodiments, the first and second contact plugs may be arranged in a zigzag shape along the first direction.

예시적인 실시예들에 있어서, 상기 제2 콘택 플러그는 상기 제1 및 제2 콘택 플러그들 중에서 상기 제1 방향을 따라 제일 처음 혹은 제일 마지막에 배치될 수 있다.In exemplary embodiments, the second contact plug may be disposed at the earliest or first end of the first and second contact plugs along the first direction.

예시적인 실시예들에 있어서, 상기 게이트 전극들은 하층으로부터 상층으로 갈수록 상기 제1 방향의 길이가 점차 줄어드는 계단 형상을 가질 수 있으며, 상기 각 제1 및 제2 콘택 플러그들은 상층의 게이트 전극들에 의해 오버랩되지 않는 상기 각 게이트 전극들의 가장자리 부분 상에 형성될 수 있다.In exemplary embodiments, the gate electrodes may have a stepped shape in which the length in the first direction gradually decreases from the lower layer to the upper layer, and each of the first and second contact plugs may be formed by gate electrodes of the upper layer May be formed on edge portions of the gate electrodes not overlapping each other.

예시적인 실시예들에 있어서, 상기 제1 게이트 전극은 상기 게이트 전극들 중에서 최하층에 형성될 수 있다.In exemplary embodiments, the first gate electrode may be formed in the lowest layer among the gate electrodes.

예시적인 실시예들에 있어서, 상기 제1 게이트 전극은 상기 게이트 전극들 중에서 최상층에 형성될 수 있다.In exemplary embodiments, the first gate electrode may be formed on the uppermost one of the gate electrodes.

예시적인 실시예들에 있어서, 상기 제1 게이트 전극은 상기 게이트 전극들 중에서 가운데층에 형성될 수 있다.In exemplary embodiments, the first gate electrode may be formed in the middle layer of the gate electrodes.

예시적인 실시예들에 있어서, 상기 수직형 메모리 장치는 복수 개의 상기 제1 게이트 전극들을 포함할 수 있다.In exemplary embodiments, the vertical memory device may include a plurality of the first gate electrodes.

예시적인 실시예들에 있어서, 상기 제1 게이트 전극들은 상기 게이트 전극들 중에서 최하층 및 최상층에 각각 형성될 수 있다.In exemplary embodiments, the first gate electrodes may be formed in the lowermost layer and the uppermost layer, respectively, of the gate electrodes.

예시적인 실시예들에 있어서, 상기 제1 콘택 플러그들 상면에 각각 접촉하는 제1 배선들을 더 포함할 수 있으며, 상기 제1 배선들을 통해 상기 제1 콘택 플러그들에는 전기적 신호가 인가될 수 있다.In exemplary embodiments, the first contact plugs may further include first wirings that respectively contact the upper surfaces of the first contact plugs, and electrical signals may be applied to the first contact plugs through the first wirings.

예시적인 실시예들에 있어서, 상기 제2 콘택 플러그의 상면은 상기 제1 게이트 전극에 접촉하는 상기 제1 콘택 플러그 상면에 형성된 상기 제1 배선에 접촉할 수 있다.In exemplary embodiments, the upper surface of the second contact plug may contact the first wiring formed on the upper surface of the first contact plug contacting the first gate electrode.

예시적인 실시예들에 있어서, 상기 제2 콘택 플러그는 어떠한 배선에도 연결되지 않을 수 있다.In exemplary embodiments, the second contact plug may not be connected to any wiring.

예시적인 실시예들에 있어서, 상기 게이트 전극들은 하층으로부터 상층으로 갈수록 상기 기판 상면에 평행한 제1 방향의 길이가 점차 줄어드는 계단 형상을 가질 수 있으며, 상기 게이트 전극들 중에서 최하층에 형성된 게이트 전극과 상기 제1 방향으로 인접한 상기 기판 상면에는, 상기 수직 방향으로 연장되어 상기 제1 및 제2 콘택 플러그들의 상면과 동일한 높이의 상면을 갖는 하나 이상의 제3 콘택 플러그가 더 형성될 수 있다.In exemplary embodiments, the gate electrodes may have a stepped shape in which a length in a first direction parallel to the upper surface of the substrate gradually decreases from a lower layer to an upper layer, and a gate electrode formed in the lowest layer among the gate electrodes, The upper surface of the substrate adjacent to the first direction may further include at least one third contact plug extending in the vertical direction and having a top surface at the same height as the top surfaces of the first and second contact plugs.

예시적인 실시예들에 있어서, 상기 제1 콘택 플러그들 상면에 각각 접촉하는 제1 배선들을 더 포함할 수 있으며, 상기 제1 배선들을 통해 상기 제1 콘택 플러그들에는 전기적 신호가 인가될 수 있다.In exemplary embodiments, the first contact plugs may further include first wirings that respectively contact the upper surfaces of the first contact plugs, and electrical signals may be applied to the first contact plugs through the first wirings.

예시적인 실시예들에 있어서, 상기 제3 콘택 플러그의 상면은 상기 제1 배선들과는 다른 제2 배선에 접촉할 수 있다.In exemplary embodiments, an upper surface of the third contact plug may contact a second wiring different from the first wiring.

예시적인 실시예들에 있어서, 상기 제3 콘택 플러그는 어떠한 배선에도 연결되지 않을 수 있다.In the exemplary embodiments, the third contact plug may not be connected to any wiring.

예시적인 실시예들에 있어서, 상기 게이트 전극들은 순차적으로 적층된 그라운드 선택 라인(GSL), 워드 라인 및 스트링 선택 라인(SSL)을 포함할 수 있다.In exemplary embodiments, the gate electrodes may comprise a sequentially selected ground selection line (GSL), a word line, and a string selection line (SSL).

예시적인 실시예들에 있어서, 상기 제1 게이트 전극은 상기 그라운드 선택 라인 혹은 상기 스트링 선택 라인을 포함할 수 있다.In exemplary embodiments, the first gate electrode may comprise the ground select line or the string select line.

예시적인 실시예들에 있어서, 상기 각 게이트 전극들은 금속 패턴, 및 상기 금속 패턴의 적어도 상면 및 저면을 커버하는 배리어막 패턴을 포함할 수 있다.In exemplary embodiments, each of the gate electrodes may include a metal pattern, and a barrier film pattern covering at least upper and lower surfaces of the metal pattern.

예시적인 실시예들에 있어서, 상기 각 제1 콘택 플러그들은 대응하는 상기 게이트 전극의 상기 배리어막 패턴을 관통하여 상기 금속 패턴에 접촉할 수 있다.In exemplary embodiments, each of the first contact plugs may contact the metal pattern through the barrier film pattern of the corresponding gate electrode.

예시적인 실시예들에 있어서, 상기 각 제1 콘택 플러그들은 대응하는 상기 게이트 전극의 상기 배리어막 패턴에 접촉할 수 있다.In exemplary embodiments, each of the first contact plugs may contact the barrier film pattern of the corresponding gate electrode.

예시적인 실시예들에 있어서, 상기 각 제1 콘택 플러그들은 대응하는 상기 게이트 전극의 상기 배리어막 패턴 및 상기 금속 패턴을 관통할 수 있다.In exemplary embodiments, each of the first contact plugs may penetrate the barrier film pattern and the metal pattern of the corresponding gate electrode.

예시적인 실시예들에 있어서, 상기 제2 콘택 플러그는 대응하는 상기 게이트 전극의 상기 배리어막 패턴에 접촉할 수 있다.In exemplary embodiments, the second contact plug may contact the barrier film pattern of the corresponding gate electrode.

예시적인 실시예들에 있어서, 상기 제2 콘택 플러그는 대응하는 상기 게이트 전극의 상기 배리어막 패턴에 접촉하지 않을 수 있다.In exemplary embodiments, the second contact plug may not contact the barrier film pattern of the corresponding gate electrode.

상술한 본 발명의 과제를 달성하기 위하여, 다른 실시예들에 따른 수직형 메모리 장치는 메모리 셀 영역 및 주변 영역을 포함하는 기판의 상기 메모리 셀 영역 내에서, 상기 기판 상면에 수직한 수직 방향을 따라 서로 이격된 복수 개의 층들에 각각 형성된 복수 개의 게이트 전극들, 상기 기판 상에 상기 수직 방향으로 연장되어 상기 게이트 전극들을 관통하는 채널, 및 상기 게이트 전극들 상에 상기 수직 방향으로 연장되어 상기 게이트 전극들에 각각 접촉하는 복수 개의 제1 콘택 플러그들을 포함한다. 상기 메모리 셀 영역 내에서, 상기 게이트 전극들 중 최하층에 형성된 게이트 전극에 인접하는 상기 기판 상에는 상기 수직 방향으로 연장되어 상기 제1 콘택 플러그들의 상면과 동일한 높이의 상면을 갖는 하나 이상의 제3 콘택 플러그가 더 형성된다.According to another aspect of the present invention, there is provided a vertical memory device including: a memory cell region including a memory cell region and a peripheral region; A plurality of gate electrodes formed in a plurality of layers spaced apart from each other, a channel extending in the vertical direction on the substrate and passing through the gate electrodes, and a plurality of gate electrodes extending in the vertical direction on the gate electrodes, And a plurality of first contact plugs, respectively. In the memory cell region, at least one third contact plug extending in the vertical direction and having a top surface at the same height as the top surface of the first contact plugs is formed on the substrate adjacent to the gate electrode formed in the lowermost layer among the gate electrodes Lt; / RTI >

예시적인 실시예들에 있어서, 상기 각 게이트 전극들은 상기 기판 상면에 평행한 제1 방향을 따라 연장될 수 있으며, 상기 제1 및 제3 콘택 플러그들은 상면에서 보았을 때 상기 제1 방향을 따라 일정한 간격으로 배치될 수 있다.In exemplary embodiments, each of the gate electrodes may extend along a first direction parallel to the top surface of the substrate, and the first and third contact plugs may be spaced apart at regular intervals As shown in FIG.

예시적인 실시예들에 있어서, 상기 게이트 전극들은 하층으로부터 상층으로 갈수록 상기 기판 상면에 평행한 제1 방향의 길이가 점차 줄어드는 계단 형상을 가질 수 있으며, 상기 제3 콘택 플러그는 상기 최하층에 형성된 상기 게이트 전극에 상기 제1 방향으로 인접한 상기 기판 부분 상에 형성될 수 있다.In the exemplary embodiments, the gate electrodes may have a stepped shape in which a length in a first direction parallel to the upper surface of the substrate gradually decreases from a lower layer to an upper layer, and the third contact plug may have a stepped shape, And may be formed on the portion of the substrate adjacent to the electrode in the first direction.

예시적인 실시예들에 있어서, 상기 제1 콘택 플러그들 상면에 각각 접촉하는 제1 배선들을 더 포함할 수 있으며, 상기 제1 배선들에는 상기 기판의 주변 영역에 형성된 제2 배선을 통해 전기적 신호가 인가될 수 있다.In exemplary embodiments, the semiconductor device may further include first wirings that respectively contact the upper surfaces of the first contact plugs, wherein an electrical signal is applied to the first wirings through a second wiring formed in a peripheral region of the substrate .

예시적인 실시예들에 있어서, 상기 제3 콘택 플러그의 상면은 상기 최하층에 형성된 게이트 전극에 접촉하는 상기 제1 콘택 플러그 상면에 형성된 상기 제1 배선에 접촉할 수 있다.In exemplary embodiments, the upper surface of the third contact plug may contact the first wiring formed on the upper surface of the first contact plug contacting the gate electrode formed in the lowest layer.

예시적인 실시예들에 있어서, 상기 제3 콘택 플러그는 상기 제1 배선과 다른 제3 배선에 연결될 수 있다.In exemplary embodiments, the third contact plug may be connected to a third wiring different from the first wiring.

예시적인 실시예들에 있어서, 상기 제3 콘택 플러그는 어떠한 배선에도 연결되지 않을 수 있다.In the exemplary embodiments, the third contact plug may not be connected to any wiring.

예시적인 실시예들에 있어서, 상기 게이트 전극들 중 제1 게이트 전극 상에는 상기 수직 방향으로 연장되어 상기 제1 콘택 플러그들의 상면과 동일한 높이의 상면을 갖는 하나 이상의 제2 콘택 플러그가 더 형성될 수 있다.In exemplary embodiments, one or more second contact plugs may be further formed on the first gate electrode of the gate electrodes, the first contact plugs extending in the vertical direction and having an upper surface of the same height as the upper surfaces of the first contact plugs .

상술한 본 발명의 과제를 달성하기 위하여, 다른 실시예들에 따른 수직형 메모리 장치는 기판 상면에 수직한 수직 방향을 따라 연장된 채널, 상기 채널의 외측벽을 감싸는 전하 저장막 구조물, 각각이 상기 전하 저장막 구조물을 둘러싸면서 상기 기판 상면에 평행한 제1 방향을 따라 연장되고, 상기 수직 방향을 따라 서로 이격되며, 하층으로부터 상층으로 갈수록 상기 제1 방향의 길이가 점차 줄어드는 계단 형상을 갖는 복수 개의 게이트 전극들, 및 상층의 상기 게이트 전극들에 의해 오버랩되지 않는 상기 각 게이트 전극들의 가장자리 부분에 접촉하여 상기 수직 방향으로 연장된 복수 개의 제1 콘택 플러그들을 포함한다. 상기 수직 방향으로 서로 인접하는 상기 게이트 전극들의 상기 제1 방향으로의 길이들은 제1 폭으로 감소하되, 다만 상기 게이트 전극들 중에서 제1 게이트 전극의 상기 제1 방향으로의 길이와 상기 제1 게이트 전극 바로 상층에 배치된 제2 게이트 전극의 상기 제1 방향으로의 길이는 상기 제1 폭보다 큰 제2 폭으로 감소한다.According to another aspect of the present invention, there is provided a vertical memory device including: a channel extending along a vertical direction perpendicular to a top surface of a substrate; a charge storage film structure surrounding an outer wall of the channel; A plurality of gates extending along a first direction parallel to the upper surface of the substrate and spaced from each other along the vertical direction and having a stepped shape gradually decreasing in length in the first direction from the lower layer to the upper layer, Electrodes, and a plurality of first contact plugs extending in the vertical direction in contact with edge portions of the gate electrodes that do not overlap by the gate electrodes of the upper layer. The lengths of the gate electrodes adjacent to each other in the vertical direction in the first direction are reduced to a first width, but a length in the first direction of the first gate electrode among the gate electrodes, The length of the second gate electrode disposed immediately above in the first direction decreases to a second width larger than the first width.

예시적인 실시예들에 있어서, 상기 제2 폭은 상기 제1 폭의 2배 이상일 수 있다.In exemplary embodiments, the second width may be at least twice the first width.

예시적인 실시예들에 있어서, 상기 제1 게이트 전극 상에 상기 수직 방향으로 연장된 하나 이상의 제2 콘택 플러그를 더 포함할 수 있다.In exemplary embodiments, the first contact plug may further include one or more second contact plugs extending in the vertical direction on the first gate electrode.

예시적인 실시예들에 있어서, 상기 제1 및 제2 콘택 플러그들은 상기 제1 방향을 따라 일정한 간격으로 배치될 수 있다.In exemplary embodiments, the first and second contact plugs may be disposed at regular intervals along the first direction.

예시적인 실시예들에 있어서, 상기 제2 콘택 플러그의 상면은 상기 제1 콘택 플러그의 상면과 동일한 높이에 형성될 수 있다.In exemplary embodiments, the upper surface of the second contact plug may be formed at the same height as the upper surface of the first contact plug.

예시적인 실시예들에 있어서, 상기 수직형 메모리 장치는 복수 개의 상기 제1 게이트 전극들을 포함할 수 있다.In exemplary embodiments, the vertical memory device may include a plurality of the first gate electrodes.

예시적인 실시예들에 있어서, 상기 제1 게이트 전극은 상기 게이트 전극들 중에서 최하층에 형성될 수 있다.In exemplary embodiments, the first gate electrode may be formed in the lowest layer among the gate electrodes.

전술한 바와 같이 예시적인 실시예들에 따른 수직형 메모리 장치 제조 방법에서, 계단 구조물의 각 계단들에 형성된 게이트 전극들에 각각 연결되도록 제1 콘택 플러그들을 형성할 때, 일부 계단들에 제2 콘택 플러그를 더 형성함으로써, 패턴 로딩 현상을 방지하여 상기 제1 콘택 플러그들이 상기 게이트 전극들에 잘 접촉하도록 형성할 수 있다.As described above, in the vertical memory device manufacturing method according to the exemplary embodiments, when the first contact plugs are formed to be connected to the gate electrodes formed at the respective steps of the step structure, By further forming the plug, the pattern loading phenomenon can be prevented, and the first contact plugs can be formed to be in contact with the gate electrodes well.

도 1 내지 도 13은 예시적인 실시예들에 따른 수직형 메모리 장치를 설명하기 위한 평면도들 및 단면도들이다.
도 14 내지 도 44는 상기 수직형 메모리 장치의 제조 방법을 설명하기 위한 평면도들 및 단면도들이다.
도 45 내지 도 70은 예시적인 실시예들에 따른 수직형 메모리 장치를 설명하기 위한 평면도들 및 단면도들이다.
1 to 13 are plan views and sectional views for explaining a vertical memory device according to exemplary embodiments.
14 to 44 are plan views and sectional views for explaining a method of manufacturing the vertical memory device.
45-70 are plan views and cross-sectional views for illustrating a vertical memory device according to exemplary embodiments.

이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예들에 따른 수직형 메모리 장치 및 그 제조 방법에 대하여 상세하게 설명하지만, 본 발명이 하기의 실시예들에 제한되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다. 첨부된 도면에 있어서, 기판, 층(막), 영역, 패턴들 또는 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. 본 발명에 있어서, 각 층(막), 영역, 전극, 패턴들 또는 구조물들이 기판, 각 층(막), 영역, 전극, 구조물들 또는 패턴들 "상에", "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 영역, 전극, 패턴들 또는 구조물들이 직접 기판, 각 층(막), 영역, 구조물 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 영역, 다른 전극, 다른 패턴들 또는 다른 구조물이 기판 상에 추가적으로 형성될 수 있다. 또한, 물질, 층(막), 영역, 전극, 패턴들 또는 구조물들이 "제1", "제2", "제3" 및/또는 "예비"로 언급되는 경우, 이러한 부재들을 한정하기 위한 것이 아니라 단지 각 물질, 층(막), 영역, 전극, 패턴들 또는 구조물들을 구분하기 위한 것이다. 따라서 "제1", "제2", "제3" 및/또는 "예비"는 각 층(막), 영역, 전극, 패턴들 또는 구조물들에 대하여 각기 선택적으로 또는 교환적으로 사용될 수 있다.Hereinafter, a vertical memory device and a method of manufacturing the same according to preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the following embodiments, It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit or scope of the invention. In the accompanying drawings, dimensions of a substrate, a layer (film), an area, patterns or structures are enlarged in actuality for clarity of the present invention. In the present invention, each layer (film), region, electrode, pattern or structure is referred to as being "on", "on", or " Means that each layer (film), region, electrode, pattern, or structure is directly formed or positioned below a substrate, each layer (film), region, structure, or pattern, A layer (film), another region, another electrode, other patterns or other structure may be additionally formed on the substrate. It will also be understood that when a material, layer, region, electrode, pattern or structure is referred to as a "first", "second", "third", and / But only to distinguish each material, layer (membrane), region, electrode, patterns or structures. Thus, "first", "second", "third" and / or "spare" may be used, alternatively or alternatively, for each layer (membrane), region, electrode, patterns or structures.

도 1 내지 도 13은 예시적인 실시예들에 따른 수직형 메모리 장치를 설명하기 위한 평면도들 및 단면도들이다. 이때, 도 1은 상기 수직형 메모리 장치가 형성되는 기판의 영역들을 설명하기 위한 평면도이고, 도 2 내지 도 13은 도 1의 X 영역에 대한 도면들이다. 구체적으로, 도 1, 2, 8 및 13은 평면도들이고, 도 3-7 및 9-12는 단면도들이다. 즉, 도 3은 도 2의 A-A'선을 따라 절단한 단면도이고, 도 4는 도 2의 B-B'선을 따라 절단한 단면도들이며, 도 5는 도 2의 C-C'선을 따라 절단한 단면도이고, 도 6은 도 2의 D-D'선을 따라 절단한 단면도이며, 도 7은 도 2의 E-E'선을 따라 절단한 단면도이다. 1 to 13 are plan views and sectional views for explaining a vertical memory device according to exemplary embodiments. 1 is a plan view for explaining regions of a substrate on which the vertical memory device is formed, and FIGS. 2 to 13 are views for the X region of FIG. Specifically, Figures 1, 2, 8 and 13 are plan views, and Figures 3-7 and 9-12 are cross-sectional views. 2 is a cross-sectional view taken along the line B-B 'in FIG. 2, and FIG. 5 is a cross-sectional view taken along the line C-C' FIG. 6 is a cross-sectional view taken along line D-D 'of FIG. 2, and FIG. 7 is a cross-sectional view taken along line E-E' of FIG.

한편, 도 8a는 상기 수직형 메모리 장치에서 상기 기판으로부터 제5 층간 절연막까지 적층된 구조물에 대한 평면도이고, 도 8b는 상기 수직형 메모리 장치에서 상기 기판으로부터 제6 층간 절연막까지 적층된 구조물에 대한 평면도이며, 도 8c는 상기 수직형 메모리 장치에서 상기 기판으로부터 제7 층간 절연막까지 적층된 구조물에 대한 평면도이다. 또한, 도 9a 및 9b는 도 8a의 B-B'선을 따라 절단한 단면도들이고, 도 10 내지 도 12는 도 9a의 Y 영역의 확대도들이다. 8A is a plan view of a structure of the vertical memory device stacked from the substrate to a fifth interlayer insulating film, and FIG. 8B is a plan view of a structure stacked from the substrate to the sixth interlayer insulating film in the vertical memory device And FIG. 8C is a plan view of a structure of the vertical memory device stacked up from the substrate to the seventh interlayer insulating film. 9A and 9B are cross-sectional views taken along the line B-B 'in FIG. 8A, and FIGS. 10 to 12 are enlarged views of the Y region in FIG. 9A.

한편, 이하에서는 상기 기판 상면에 평행하고 서로 교차하는 두 방향들을 각각 제1 및 제2 방향들로 정의하고, 상기 기판 상면에 실질적으로 수직한 방향을 제3 방향으로 정의하기로 한다. 예시적인 실시예들에 있어서, 상기 제1 및 제2 방향들은 서로 직교할 수 있다.In the following description, two directions parallel to the upper surface of the substrate and intersecting with each other are defined as first and second directions, respectively, and a direction substantially perpendicular to the upper surface of the substrate is defined as a third direction. In exemplary embodiments, the first and second directions may be orthogonal to each other.

먼저 도 1을 참조하면, 상기 수직형 메모리 장치가 형성되는 기판(100)은 제1 내지 제4 영역들(I, II, III, IV)을 포함할 수 있다. 이때, 제1 영역(I)은 메모리 셀들이 형성되는 메모리 셀 영역일 수 있고, 제2 영역(II)은 행 디코더(X-decoder)가 형성되는 영역일 수 있으며, 제3 영역(III)은 페이지 버퍼(page buffer) 및 열 디코더(Y-decoder)가 형성되는 영역일 수 있고, 제4 영역(IV)은 상기 메모리 셀들을 구동시키기 위한 주변 회로들이 형성되는 주변 회로 영역일 수 있다. 제2 및 제3 영역들(II, III)은 함께 코어(core) 영역을 형성할 수 있으며, 상기 코어 영역 및 상기 주변 회로 영역은 함께 주변 영역으로 지칭될 수도 있다. Referring first to FIG. 1, a substrate 100 on which the vertical memory device is formed may include first through fourth regions I, II, III, and IV. In this case, the first region I may be a memory cell region where memory cells are formed, the second region II may be a region where a row decoder X-decoder is formed, A page buffer and a Y-decoder may be formed, and the fourth region IV may be a peripheral circuit region in which peripheral circuits for driving the memory cells are formed. The second and third regions II and III may form a core region together, and the core region and the peripheral circuit region together may be referred to as a peripheral region.

이하에서는 설명의 편의를 위해서, 기판(100)의 제1 영역(I) 내에서 일 가장자리 부분인 X 영역에 대한 도면들을 참조하여 기술하기로 한다.Hereinafter, for convenience of description, the description will be made with reference to the drawings of the X region which is the one-edge portion in the first region I of the substrate 100. [

도 2 내지 도 7, 도 8a, 8b, 8c 및 도 9a를 참조하면, 상기 수직형 메모리 장치는 기판(100) 상에 형성된 게이트 전극들(310), 채널(210), 제2 전하 저장막 구조물(280), 및 제1 및 제2 콘택 플러그들(380, 385)을 포함할 수 있다. Referring to FIGS. 2 to 7, 8A, 8B, 8C and 9A, the vertical memory device includes gate electrodes 310 formed on a substrate 100, a channel 210, a second charge storage film structure First contact plugs 280, and first and second contact plugs 380 and 385.

또한, 상기 수직형 메모리 장치는 기판(100) 상에 형성된 절연막 패턴(115), 절연성 패드(127), 반도체 패턴(160), 충전막 패턴(220), 캐핑막 패턴(230), 제1 내지 제8 층간 절연막들(130, 140, 240, 340, 390, 430, 560, 630), 공통 소스 라인(Common Source Line: CSL)(330), 제2 스페이서(320), 제1 내지 제6 배선들(420, 425, 460, 465, 660, 690), 및 제1 내지 제6 비아들(460, 465, 550, 555, 590, 620)을 더 포함할 수 있다.The vertical memory device includes an insulating film pattern 115 formed on a substrate 100, an insulating pad 127, a semiconductor pattern 160, a filled film pattern 220, a capping film pattern 230, The first and second interlayer insulating films 130, 140, 240, 340, 390, 430, 560 and 630, the common source line (CSL) 330, the second spacer 320, 460, 465, 660, 690, and first through sixth vias 460, 465, 550, 555, 590, 620. The first through sixth vias 460,

기판(100)은 실리콘, 게르마늄 등과 같은 반도체 물질을 포함할 수 있다. The substrate 100 may comprise a semiconductor material such as silicon, germanium, or the like.

게이트 전극들(310)은 기판(100) 상에서 상기 제3 방향을 따라 서로 이격되도록 복수 개의 층들에 형성될 수 있다. 이때, 상기 제3 방향을 따라 적층된 게이트 전극들(310) 사이에는 절연막 패턴(115)이 개재될 수 있다.The gate electrodes 310 may be formed on the substrate 100 in a plurality of layers so as to be spaced apart from each other along the third direction. At this time, an insulating layer pattern 115 may be interposed between the gate electrodes 310 stacked along the third direction.

한편, 각 게이트 전극들(310)은 상기 제1 방향으로 연장될 수 있으며, 상기 제2 방향을 따라 복수 개로 형성될 수 있다. 이때, 상기 제2 방향을 따라 복수 개로 형성된 게이트 전극들(310)은 상기 제1 방향으로 연장되는 공통 소스 라인(CSL)(330) 및 이의 양 측벽에 형성된 제2 스페이서(320)에 의해 서로 분리될 수 있다. 한편, 공통 소스 라인(CSL)(330)에 접하는 기판(100) 상부에는 불순물 영역(105)이 형성될 수 있다. 불순물 영역(105)은 예를 들어, 인, 비소와 같은 n형 불순물을 포함할 수 있다. Each of the gate electrodes 310 may extend in the first direction, and may be formed along the second direction. The plurality of gate electrodes 310 formed along the second direction may be separated from each other by a common source line (CSL) 330 extending in the first direction and a second spacer 320 formed on both sidewalls thereof. . On the other hand, the impurity region 105 may be formed on the substrate 100 in contact with the common source line (CSL) 330. The impurity region 105 may include an n-type impurity such as phosphorous, arsenic, for example.

상기 제3 방향으로 순차적으로 적층된 게이트 전극(310) 및 절연막 패턴(115)은 하나의 계단을 구성할 수 있으며, 상기 제3 방향을 따라 상기 계단들이 복수 개로 적층되어 하나의 계단 구조물을 형성할 수 있다. 이에 따라, 본 명세서에서 상기 계단 구조물을 구성하는 각 계단들은 외부로 노출되는 부분뿐만 아니라, 상부에 형성된 구조물에 의해 커버되는 부분까지도 모두 포함하여 하나의 층을 이루는 전체 부분을 의미한다. The gate electrode 310 and the insulating film pattern 115 which are sequentially stacked in the third direction may constitute one step, and the plurality of steps may be stacked along the third direction to form one step structure . Accordingly, in the present specification, each step constituting the step structure means an entire part including one layer, including not only the part exposed to the outside but also the part covered by the structure formed on the upper part.

상기 계단 구조물은 하층으로부터 상층으로 갈수록 상기 제1 방향의 길이가 점차 줄어드는 계단들을 포함할 수 있으며, 이에 따라 상기 각 계단들에 형성된 게이트 전극들(310) 및 절연막 패턴들(115) 역시 하층으로부터 상층으로 갈수록 상기 제1 방향의 길이가 점차 줄어들 수 있다. 예시적인 실시예들에 있어서, 상기 제3 방향을 따라 상기 계단들은 상기 제1 방향으로의 길이가 일정한 폭으로 줄어들 수 있으며, 이에 따라 각 계단들에서 상층에 형성된 계단들에 의해 커버되거나 오버랩되지 않고 노출되는 부분은 일정할 수 있다. 마찬가지로, 상기 제3 방향으로 서로 인접하는 게이트 전극들(310)의 상기 제1 방향으로의 길이는 일정한 제1 폭만큼 감소할 수 있으며, 이에 따라 각 게이트 전극들(310)에서 상층에 형성된 게이트 전극들(310)에 의해 커버되거나 오버랩되지 않고 노출되는 부분은 일정할 수 있다. The stepped structure may include steps in which the length of the first direction gradually decreases from the lower layer to the upper layer, so that the gate electrodes 310 and the insulating layer patterns 115 formed at the respective steps are also formed from the lower layer to the upper layer, The length of the first direction may gradually decrease. In exemplary embodiments, the stairs along the third direction may be reduced in length in the first direction to a constant width, such that they are not covered or overlapped by the stairs formed in the upper layer in each of the stairs The exposed part may be constant. Similarly, the lengths of the gate electrodes 310 adjacent to each other in the third direction in the first direction may be reduced by a predetermined first width, so that the gate electrodes 310 formed in the upper layer in each of the gate electrodes 310, The portion exposed or covered by or not overlapped with each other 310 may be constant.

하지만, 상기 계단들 중에서 제1 계단의 상기 제1 방향으로의 길이와 상기 제1 계단 바로 상층에 배치된 제2 계단의 상기 제1 방향으로의 길이는 상기 제1 폭보다 큰 제2 폭만큼 감소할 수 있다. 예시적인 실시예들에 있어서, 상기 제2 폭은 상기 제1 폭의 2배 이상일 수 있다.However, the length in the first direction of the first step and the length in the first direction of the second step disposed immediately above the first step in the steps are reduced by a second width greater than the first width, can do. In exemplary embodiments, the second width may be at least twice the first width.

이에 따라, 상기 제1 계단에 형성된 제1 게이트 전극(310)의 상기 제1 방향으로의 길이와 제1 게이트 전극(310) 바로 상층에 배치된 제2 게이트 전극(310)의 상기 제1 방향으로의 길이는 상기 제1 폭보다 큰 제2 폭으로 감소할 수 있으며, 제1 게이트 전극(310)에서 상층의 게이트 전극들(310)에 의해 커버되거나 오버랩되지 않고 노출되는 부분은 다른 층에 형성된 게이트 전극들(310)에서 상층의 게이트 전극들(310)에 의해 커버되거나 오버랩되지 않고 노출되는 부분에 비해 넓을 수 있다. Accordingly, the length of the first gate electrode 310 formed in the first step in the first direction and the length of the second gate electrode 310 disposed in the immediately upper layer of the first gate electrode 310 in the first direction May be reduced to a second width greater than the first width and a portion of the first gate electrode 310 that is covered or not overlapped by the gate electrodes 310 of the upper layer may be exposed to the gate May be wider than the portions exposed or covered by the gate electrodes 310 of the upper layer in the electrodes 310. [

예시적인 실시예들에 있어서, 제1 게이트 전극(310)은 복수 개로 적층된 게이트 전극들(310) 중에서 최하층에 형성된 것일 수 있다. 다른 실시예들에 있어서, 제1 게이트 전극(310)은 복수 개로 적층된 게이트 전극들(310) 중에서 최상층에 형성된 것일 수 있다. 또 다른 실시예들에 있어서, 제1 게이트 전극(310)은 복수 개로 적층된 게이트 전극들(310) 중에서 가운데층에 형성된 것일 수 있다. 즉, 제1 게이트 전극(310)은 복수 개로 적층된 게이트 전극들(310) 중에서 임의의 층에 형성된 것일 수 있으며, 경우에 따라서는 복수 개의 층들에 형성된 것들일 수도 있다. In the exemplary embodiments, the first gate electrode 310 may be formed in the lowermost layer among the plurality of gate electrodes 310 stacked. In other embodiments, the first gate electrode 310 may be formed on the uppermost layer among a plurality of the gate electrodes 310 stacked. In still other embodiments, the first gate electrode 310 may be formed in the middle layer among a plurality of the gate electrodes 310 stacked. That is, the first gate electrode 310 may be formed in any layer among the plurality of gate electrodes 310, and may be formed in a plurality of layers in some cases.

게이트 전극(310)은 상기 제3 방향을 따라 순차적으로 배열된 그라운드 선택 라인(Ground Selection Line: GSL), 워드 라인(word line) 및 스트링 선택 라인(String Selection Line: SSL)을 포함할 수 있다. 이때, 상기 각 GSL, 워드 라인 및 SSL은 1개 혹은 복수 개의 층에 형성될 수 있다. 또한, 상기 GSL과 상기 워드 라인 사이 및/또는 상기 SSL과 상기 워드 라인 사이에는 하나 혹은 복수 개의 더미 워드 라인(dummy word line)이 더 형성될 수도 있다. 예시적인 실시예들에 있어서, 상기 GSL은 1개의 층에 형성되고, 상기 SSL은 2개의 층에 형성되며, 상기 워드 라인은 상기 GSL 및 상기 SSL 사이에서 짝수 개의 층들에 형성될 수 있다. 이에 따라, 일 실시예에 있어서, 제1 게이트 전극(310)은 상기 GSL이거나 혹은 상기 SSL일 수 있다.The gate electrode 310 may include a ground selection line (GSL), a word line, and a string selection line (SSL) sequentially arranged along the third direction. At this time, each GSL, word line, and SSL may be formed in one or a plurality of layers. In addition, one or more dummy word lines may be formed between the GSL and the word lines and / or between the SSL and the word lines. In exemplary embodiments, the GSL is formed in one layer, the SSL is formed in two layers, and the word line may be formed in even layers between the GSL and the SSL. Thus, in one embodiment, the first gate electrode 310 may be the GSL or the SSL.

게이트 전극(310)은 게이트 도전 패턴(300), 및 이의 상면 및 저면과 적어도 일부 측벽을 감싸는 게이트 배리어막 패턴(290)을 포함할 수 있다. 게이트 도전 패턴(300)은 예를 들어, 텅스텐, 티타늄, 탄탈륨, 백금 등의 전기 저항이 낮은 금속을 포함할 수 있다. 게이트 배리어막 패턴(290)은 예를 들어, 티타늄 질화물, 탄탈륨 질화물 등의 금속 질화물을 포함할 수 있다. 이와는 달리, 게이트 배리어막 패턴(290)은 금속을 포함하는 제1 층 및 금속 질화물을 포함하는 제2 층으로 구성될 수도 있다. The gate electrode 310 may include a gate conductive pattern 300, and a gate barrier film pattern 290 surrounding the top and bottom surfaces and at least some of the sidewalls thereof. The gate conductive pattern 300 may include a low electrical resistance metal, such as, for example, tungsten, titanium, tantalum, platinum, and the like. The gate barrier film pattern 290 may comprise a metal nitride, such as, for example, titanium nitride, tantalum nitride, and the like. Alternatively, the gate barrier film pattern 290 may be comprised of a first layer comprising a metal and a second layer comprising a metal nitride.

한편, 게이트 전극(310)의 상면 및 저면과, 일부 측벽은 제2 블로킹막 패턴(270)에 의해 감싸질 수 있다. 구체적으로, 제2 블로킹막 패턴(270)은 게이트 전극(310)의 게이트 배리어막 패턴(290)을 커버할 수 있다. 제2 블로킹막 패턴(270)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함할 수 있다.On the other hand, the top and bottom surfaces of the gate electrode 310 and some sidewalls may be surrounded by the second blocking film pattern 270. Specifically, the second blocking film pattern 270 can cover the gate barrier film pattern 290 of the gate electrode 310. The second blocking film pattern 270 may comprise an oxide, such as, for example, silicon oxide.

절연막 패턴(115)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함할 수 있고, 공통 소스 라인(CSL)(330)은 금속, 금속 질화물 및/또는 금속 실리사이드를 포함할 수 있으며, 제2 스페이서(320)는 예를 들어, 실리콘 질화물과 같은 질화물을 포함할 수 있다.The common source line (CSL) 330 may comprise a metal, a metal nitride and / or a metal suicide, and the second spacer (e.g., 320 may comprise a nitride, such as, for example, silicon nitride.

한편, 각 게이트 전극들(310)의 일 측벽에는 절연성 패드(127)가 형성될 수 있으며, 절연성 패드(127)는 하층으로부터 상층으로 갈수록 상기 제2 방향으로의 길이가 점차 감소할 수 있다. 절연성 패드(127)는 예를 들어, 실리콘 질화물과 같은 질화물을 포함할 수 있다.On the other hand, the insulating pad 127 may be formed on one side wall of each gate electrode 310, and the length of the insulating pad 127 in the second direction may gradually decrease from the lower layer to the upper layer. The insulating pad 127 may comprise a nitride, such as, for example, silicon nitride.

상기 계단 구조물을 관통하여 제2 구조물이 형성될 수 있으며, 상기 제2 구조물은 기판(100) 상면에 접촉할 수 있다. 즉, 상기 제2 구조물은 기판(100) 상에 순차적으로 적층된 반도체 패턴(160), 상기 제1 구조물, 및 캐핑막 패턴(230)을 포함할 수 있으며, 상기 제1 구조물은 반도체 패턴(160) 상에 순차적으로 적층된 제1 전하 저장막 구조물(200), 채널(210) 및 충전막 패턴(220)을 포함할 수 있다. 상기 제2 구조물은 상기 제3 방향으로 연장되어, 교대로 반복적으로 적층된 게이트 전극들(310) 및 절연막 패턴들(115)을 관통할 수 있다.A second structure may be formed through the step structure, and the second structure may contact the upper surface of the substrate 100. That is, the second structure may include a semiconductor pattern 160, a first structure, and a capping pattern 230 that are sequentially stacked on a substrate 100, and the first structure may include a semiconductor pattern 160 A first charge storage film structure 200, a channel 210, and a filler film pattern 220 that are sequentially stacked on the first charge storage film structure 200. The second structure may extend in the third direction and pass through the gate electrodes 310 and the insulating film patterns 115 which are alternately repeatedly stacked.

예시적인 실시예들에 있어서, 상기 제2 구조물은 상기 제1 및 제2 방향들을 따라 복수 개로 형성될 수 있으며, 이에 따라 제2 구조물 어레이를 형성할 수 있다. 상기 각 제2 구조물들은 내부에 채널(210)을 포함하고 있으므로, 상기 제2 구조물 어레이에 대해서는 후술하는 채널 어레이에 대한 설명으로 대체하기로 한다.In exemplary embodiments, the second structure may be formed in plurality along the first and second directions, thereby forming a second structure array. Since each of the second structures includes a channel 210 therein, the second structure array will be replaced with a description of a channel array to be described later.

반도체 패턴(160)은 단결정 실리콘 혹은 단결정 게르마늄을 포함할 수 있으며, 경우에 따라 불순물이 도핑될 수도 있다. 예시적인 실시예들에 있어서, 반도체 패턴(160)은 그 상면이 절연막 패턴들(115) 중에서 기판(100) 상면으로부터 2번째 층에 형성된 절연막 패턴(115)의 상면과 저면 사이에 위치하도록 형성될 수 있다. 이에 따라, 반도체 패턴(160)은 GSL에 인접하도록 형성될 수 있다.The semiconductor pattern 160 may comprise monocrystalline silicon or monocrystalline germanium and may optionally be doped with impurities. In the exemplary embodiments, the semiconductor pattern 160 is formed such that the upper surface thereof is positioned between the upper surface and the lower surface of the insulating film pattern 115 formed in the second layer from the upper surface of the substrate 100 in the insulating film patterns 115 . Accordingly, the semiconductor pattern 160 can be formed adjacent to the GSL.

채널(210)은 반도체 패턴(160) 상에 형성될 수 있으며, 컵 형상을 가질 수 있다. 채널(210)은 불순물이 도핑되거나 또는 도핑되지 않은 폴리실리콘 혹은 비정질 실리콘을 포함할 수 있다. 채널(210)은 상기 제1 및 제2 방향들을 따라 복수 개로 형성될 수 있으며, 이에 따라 채널 어레이를 형성할 수 있다. The channel 210 may be formed on the semiconductor pattern 160 and may have a cup shape. The channel 210 may comprise doped or undoped polysilicon or amorphous silicon. The channel 210 may be formed in plural along the first and second directions, thereby forming a channel array.

예시적인 실시예들에 있어서, 상기 채널 어레이는 상기 제1 방향을 따라 복수 개로 형성된 제1 채널들을 포함하는 제1 채널 열(hole column)과, 상기 제1 방향을 따라 복수 개로 형성된 제2 채널들을 포함하면서 상기 제2 방향으로 상기 제1 채널 열과 일정한 간격으로 이격된 제2 채널 열을 포함할 수 있다. 이때, 상기 제1 채널들은 상기 제2 채널들로부터 상기 제1 방향 혹은 상기 제2 방향과 예각을 이루는 방향에 각각 위치할 수 있다. 이에 따라, 상기 제1 및 제2 채널들은 전체적으로 상기 제1 방향을 기준으로 지그재그(zigzag) 형상으로 배열될 수 있다. 이와 같이 상기 제1 및 제2 채널들이 지그재그로 배열됨에 따라서, 단위 면적 내에 보다 많은 수의 채널들(210)이 배열될 수 있다. In exemplary embodiments, the channel array may include a first channel column including a plurality of first channels formed along the first direction, and a plurality of second channels formed along the first direction, And a second channel column spaced apart from the first channel column by a predetermined distance in the second direction. At this time, the first channels may be positioned at an acute angle with the first direction or the second direction from the second channels. Accordingly, the first and second channels may be arranged in a zigzag shape with respect to the first direction as a whole. Thus, as the first and second channels are arranged in a zigzag manner, a greater number of channels 210 can be arranged in a unit area.

한편, 상기 제1 및 제2 채널 열들은 상기 제2 방향을 따라 교대로 반복적으로 배열될 수 있다. 예시적인 실시예들에 있어서, 상기 제2 방향을 따라 상기 제1 및 제2 채널 열들이 교대로 2번씩 배열되어 총 4개의 채널 열들을 포함하는 하나의 채널 블록(hole block)을 형성할 수 있으며, 상기 채널 블록은 상기 제2 방향을 따라 서로 이격되도록 복수 개로 형성될 수 있다. 이하에서는 각 채널 블록들 내에 배열된 4개의 채널 열들을 최상층 절연막 패턴(115)의 가장자리에 인접한 것부터 상기 제2 방향을 따라 순서대로 제1, 제2, 제3 및 제4 채널 열들로 지칭하기로 한다. 즉, 도 2에서는 상기 제2 방향을 따라 서로 이격된 2개의 채널 블록들이 도시되어 있으며, 상기 각 채널 블록들은 상기 제2 방향을 따라 순차적으로 배열된 제1, 제2, 제3 및 제4 채널 열들을 포함하고 있다.The first and second channel columns may be alternately and repeatedly arranged along the second direction. In exemplary embodiments, the first and second channel columns may be alternately arranged twice in the second direction to form one channel block including a total of four channel columns. And the channel blocks may be formed to be spaced apart from each other along the second direction. Hereinafter, four channel columns arranged in each channel block are referred to as first, second, third and fourth channel columns in order from the side adjacent to the edge of the uppermost insulating film pattern 115 to the second direction do. In other words, in FIG. 2, two channel blocks spaced apart from each other along the second direction are shown, and each of the channel blocks includes first, second, third and fourth channels sequentially arranged in the second direction Columns.

전술한 바와는 달리, 상기 채널 어레이는 상기 지그재그 배열과는 다르게 배열된 복수 개의 채널들(210)을 포함할 수도 있다. Unlike the foregoing, the channel array may comprise a plurality of channels 210 arranged differently from the zigzag arrangement.

채널(210)의 외측벽에는 터널 절연막 패턴(190), 전하 저장막 패턴(180) 및 제1 블로킹막 패턴(170)이 순차적으로 적층될 수 있으며, 이들은 제1 전하 저장막 구조물(200)을 형성할 수 있다. 제1 전하 저장막 구조물(200)은 게이트 전극(310)의 일 측벽을 감싸는 제2 블로킹막 패턴(270) 부분에 접촉할 수 있으며, 이들은 함께 제2 전하 저장막 구조물(280)을 형성할 수 있다. 이때, 제1 및 제2 블로킹막 패턴들(170, 270)은 함께 블로킹막 패턴 구조물을 형성할 수 있다. 제1 전하 저장막 구조물(200)은 저면 중앙부가 뚫린 컵 형상을 가질 수 있다.A tunnel insulating film pattern 190, a charge storage film pattern 180 and a first blocking film pattern 170 may be sequentially stacked on an outer wall of the channel 210. These may form a first charge storage film structure 200 can do. The first charge storage film structure 200 may contact a portion of the second blocking film pattern 270 that surrounds one side wall of the gate electrode 310 and together they may form a second charge storage film structure 280 have. At this time, the first and second blocking film patterns 170 and 270 may form a blocking film pattern structure together. The first charge storage film structure 200 may have a cup shape with an opening at the center of the bottom.

터널 절연막 패턴(190)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함할 수 있고, 전하 저장막 패턴(180)은 예를 들어, 실리콘 질화물과 같은 질화물을 포함할 수 있으며, 제1 블로킹막 패턴(170)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함할 수 있다.The tunnel insulating layer pattern 190 may comprise an oxide, such as, for example, silicon oxide, and the charge storage layer pattern 180 may comprise a nitride, such as, for example, silicon nitride, 0.0 > 170 < / RTI > may comprise an oxide, such as, for example, silicon oxide.

충전막 패턴(220)은 컵 형상을 갖는 채널(210)이 형성하는 내부 공간을 채울 수 있다. 충전막 패턴(220)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함할 수 있다.The filling film pattern 220 may fill the inner space formed by the cup-shaped channel 210. The filler film pattern 220 may comprise an oxide, such as, for example, silicon oxide.

제1 전하 저장막 구조물(200), 채널(210), 및 충전막 패턴(220)으로 구성되는 상기 제1 구조물은 워드 라인 및 SSL에 인접하도록 형성될 수 있다.The first structure comprising the first charge storage film structure 200, the channel 210, and the filler film pattern 220 may be formed adjacent to the word line and the SSL.

캐핑막 패턴(230)은 상기 제1 구조물 상에 형성될 수 있다. 캐핑막 패턴(230)은 불순물이 도핑되거나 또는 도핑되지 않은 폴리실리콘 혹은 비정질 실리콘을 포함할 수 있다.A capping layer pattern 230 may be formed on the first structure. The capping layer pattern 230 may include impurity-doped or undoped polysilicon or amorphous silicon.

제1 층간 절연막(100)은 기판(100) 상에 형성되어 상기 계단 구조물의 측벽을 커버할 수 있다. 제2 층간 절연막(140)은 제1 층간 절연막(130) 및 상기 계단 구조물 상에 형성될 수 있으며, 캐핑막 패턴(230)의 측벽을 감쌀 수 있다. 제3 층간 절연막(240)은 제2 층간 절연막(140) 및 캐핑막 패턴(230) 상에 형성될 수 있으며, 공통 소스 라인(330) 및 제2 스페이서(320)의 측벽을 감쌀 수 있다. 제4 층간 절연막(340)은 제3 층간 절연막(240) 및 공통 소스 라인(330) 상에 형성될 수 있다. 제1 내지 제4 층간 절연막들(130, 140, 240, 340)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함할 수 있으며, 이에 따라 일부 혹은 전체가 하나의 막으로 병합될 수도 있다. 또한, 제1 및 제2 층간 절연막들(130, 140)은 절연막 패턴(115)과 병합될 수도 있다.The first interlayer insulating film 100 may be formed on the substrate 100 to cover the sidewalls of the stepped structure. The second interlayer insulating layer 140 may be formed on the first interlayer insulating layer 130 and the step structure and may cover the side walls of the capping layer pattern 230. The third interlayer insulating layer 240 may be formed on the second interlayer insulating layer 140 and the capping layer pattern 230 and may cover the sidewalls of the common source line 330 and the second spacers 320. The fourth interlayer insulating film 340 may be formed on the third interlayer insulating film 240 and the common source line 330. The first to fourth interlayer insulating films 130, 140, 240, and 340 may include an oxide such as silicon oxide, for example, and some or all of them may be combined into a single film. Also, the first and second interlayer insulating films 130 and 140 may be combined with the insulating film pattern 115.

이제 도 10 내지 도 12를 함께 참조하면, 각 제1 콘택 플러그들(380)은 제1 내지 제4 층간 절연막들(130, 140, 240, 340), 절연막 패턴(115), 제2 블로킹막 패턴(270), 및 게이트 배리어막 패턴(290)을 관통하여 게이트 도전 패턴(300)에 접촉할 수 있다. 즉, 각 제1 콘택 플러그들(380)은 제1 내지 제4 층간 절연막들(130, 140, 240, 340), 상층의 계단들에 의해 커버되지 않은 각 계단들 부분에 형성된 절연막 패턴(115) 부분, 상기 절연막 패턴(115) 부분 하부의 제2 블로킹막 패턴(270), 및 게이트 배리어막 패턴(290)을 관통하여 게이트 도전 패턴(300)과 접촉할 수 있다. 이때, 각 제1 콘택 플러그들(380)은 게이트 도전 패턴(300) 상면에 형성된 게이트 배리어막 패턴(290) 부분을 관통하여 게이트 도전 패턴(300)과 접촉할 수 있으며, 나아가 게이트 도전 패턴(300) 상부도 부분적으로 관통할 수 있다.Referring to FIGS. 10 to 12, each first contact plug 380 includes first to fourth interlayer insulating films 130, 140, 240 and 340, an insulating film pattern 115, The gate conductive film pattern 270, and the gate barrier film pattern 290. That is, each of the first contact plugs 380 includes the first to fourth interlayer insulating layers 130, 140, 240, and 340, an insulating layer pattern 115 formed on each of the uncovered steps of the upper layer, The second blocking film pattern 270 under the portion of the insulating film pattern 115 and the gate barrier film pattern 290 and contact with the gate conductive pattern 300. [ At this time, each first contact plug 380 can contact the gate conductive pattern 300 through the gate barrier film pattern 290 formed on the upper surface of the gate conductive pattern 300, and further, the gate conductive pattern 300 ) Can be partially penetrated.

하지만, 본 발명의 개념은 반드시 이에 한정되지는 않으며, 각 제1 콘택 플러그들(380)이 게이트 전극(310)과 적어도 부분적으로 접촉하는 경우라면 모두 본 발명의 범위에 포함될 수 있다. 일 실시예에 있어서, 도 10을 참조하면, 각 제1 콘택 플러그들(380)은 게이트 도전 패턴(300) 상면에 형성된 게이트 배리어막 패턴(290) 부분은 관통하지 않고 그 상면에만 접촉하거나, 혹은 상기 게이트 배리어막 패턴(290) 부분을 부분적으로만 관통하여 게이트 도전 패턴(300)에는 접촉하지 않을 수 있다. 다른 실시예에 있어서, 도 11을 참조하면, 각 제1 콘택 플러그들(380)은 게이트 도전 패턴(300) 상면에 형성된 게이트 배리어막 패턴(290) 부분 및 게이트 도전 패턴(300)을 관통하여, 게이트 도전 패턴(300) 저면에 형성된 게이트 배리어막 패턴(290) 부분에 접촉하거나, 혹은 이를 부분적으로 관통할 수 있다. 또 다른 실시예에 있어서, 도 12를 참조하면, 각 제1 콘택 플러그들(380)은 게이트 도전 패턴(300), 게이트 도전 패턴(300) 상면 및 저면에 형성된 게이트 배리어막 패턴(290) 부분들을 모두 관통할 수 있으며, 이에 따라 그 저면이 제2 블로킹막 패턴(270) 내부 혹은 그 하부의 절연막 패턴(115) 내부에 위치할 수도 있다.However, the concept of the present invention is not necessarily limited to this, and all of the first contact plugs 380 may be included in the scope of the present invention at least partially in contact with the gate electrode 310. 10, each of the first contact plugs 380 does not pass through the gate barrier film pattern 290 formed on the upper surface of the gate conductive pattern 300 but contacts only the upper surface thereof, or alternatively, The gate conductive pattern 300 may partially penetrate only the portion of the gate barrier film pattern 290 and not contact the gate conductive pattern 300. 11, each of the first contact plugs 380 penetrates a portion of the gate barrier film pattern 290 formed on the upper surface of the gate conductive pattern 300 and the gate conductive pattern 300, It may contact or partially penetrate the portion of the gate barrier film pattern 290 formed on the bottom of the gate conductive pattern 300. 12, each of the first contact plugs 380 includes a gate conductive pattern 300, a gate barrier film pattern 290 portions formed on an upper surface and a lower surface of the gate conductive pattern 300, So that the bottom surface may be located inside the insulating film pattern 115 inside or below the second blocking film pattern 270. [

다만, 최상층 계단에 형성된 게이트 전극(310)을 노출시키는 제1 콘택 플러그(380)는 제1 층간 절연막(130)은 관통하지 않을 수 있다.However, the first contact plug 380, which exposes the gate electrode 310 formed in the uppermost step, may not pass through the first interlayer insulating film 130.

각 제1 콘택 플러그들(380)은 상층 계단들에 의해 커버되지 않는 각 계단들 부분에 형성될 수 있다. 예시적인 실시예들에 있어서, 제1 콘택 플러그들(380)은 상기 제1 방향을 따라 일정한 간격으로 형성될 수 있다. 일 실시예에 있어서, 제1 콘택 플러그들(380)은 상부에서 보았을 때, 상기 각 채널 블록 내에서 하나의 채널 열, 예를 들어, 제2 채널 열과 동일 선상에 배열되도록 형성될 수 있다. 다른 실시예에 있어서, 도 13을 참조하면, 제1 콘택 플러그들(380)은 상부에서 보았을 때, 상기 각 채널 블록 내에서 상기 제2 방향으로의 가운데 위치에서 상기 제1 방향을 따라 배열되도록 형성될 수 있다. 즉, 제1 콘택 플러그들(380)은 하나의 채널 블록 내에서 상기 제2 방향으로의 임의의 위치에서 상기 제1 방향을 따라 배열되도록 형성될 수 있다.Each first contact plug 380 may be formed at each step portion that is not covered by the upper step steps. In the exemplary embodiments, the first contact plugs 380 may be formed at regular intervals along the first direction. In one embodiment, the first contact plugs 380 may be formed so as to be collinear with one channel column, for example, the second channel column, in each channel block when viewed from above. 13, the first contact plugs 380 are formed to be arranged along the first direction at a center position in the second direction within each of the channel blocks when viewed from above. . That is, the first contact plugs 380 may be formed to be arranged along the first direction at any position in the second direction within one channel block.

이와는 달리, 제1 콘택 플러그들(380)은 상기 제1 방향을 따라 지그재그 형상으로 형성될 수도 있다. Alternatively, the first contact plugs 380 may be formed in a zigzag shape along the first direction.

일 실시예에 있어서, 제2 콘택 플러그(385)는 제1 내지 제4 층간 절연막들(130, 140, 240, 340), 절연막 패턴(115), 제2 블로킹막 패턴(270), 및 게이트 배리어막 패턴(290)을 관통하여, 제1 게이트 전극(310)에 포함된 게이트 도전 패턴(300)에 접촉할 수 있다. 하지만, 본 발명의 개념은 반드시 이에 한정되지는 않는다. 즉, 제2 콘택 플러그(385)는 제1 콘택 플러그(380)와 유사하게, 게이트 도전 패턴(300) 상면에 형성된 게이트 배리어막 패턴(290) 부분의 상면에 접촉하거나 그 일부를 관통할 수도 있고, 게이트 도전 패턴(300)을 관통하여 그 저면에 형성된 게이트 배리어막 패턴(290) 부분에 접촉하거나 부분적으로 관통할 수도 있으며, 나아가 게이트 도전 패턴(300), 및 그 상면 및 저면에 형성된 게이트 배리어막 패턴(290) 부분들을 모두 관통하여, 그 저면이 제2 블로킹막 패턴(270) 내부 혹은 그 하부의 절연막 패턴(115) 내부에 위치할 수도 있다.In one embodiment, the second contact plug 385 includes first to fourth interlayer insulating films 130, 140, 240 and 340, an insulating film pattern 115, a second blocking film pattern 270, And can contact the gate conductive pattern 300 included in the first gate electrode 310 through the film pattern 290. However, the concept of the present invention is not necessarily limited to this. That is, the second contact plug 385 may contact or partially penetrate the upper surface of the portion of the gate barrier film pattern 290 formed on the upper surface of the gate conductive pattern 300, similarly to the first contact plug 380 And may be in contact with or partially penetrating the gate barrier film pattern 290 formed on the bottom of the gate conductive pattern 300. The gate conductive pattern 300 and the gate barrier film 290 formed on the top and bottom surfaces thereof The bottom surface of the pattern 290 may be located inside the insulating film pattern 115 inside or below the second blocking film pattern 270.

하지만, 제1 콘택 플러그들(380)과는 달리, 제2 콘택 플러그(385)는 게이트 전극(310)에 접촉하지 않을 수도 있다. 즉, 도 9b를 참조하면, 제2 콘택 플러그(385)는 게이트 전극(310) 상면에 형성된 제2 블로킹막 패턴(270) 부분의 상면 혹은 내부 일부에만 접촉하고 게이트 전극(310)에는 접촉하지 않을 수 있으며, 나아가 그 저면이 상부의 절연막 패턴(115) 내에 위치하여 제2 블로킹막 패턴(270)에 접촉하지 않을 수도 있다.However, unlike the first contact plugs 380, the second contact plugs 385 may not contact the gate electrode 310. 9B, the second contact plug 385 contacts only the upper surface or the inner part of the portion of the second blocking film pattern 270 formed on the upper surface of the gate electrode 310, and does not contact the gate electrode 310 Further, the bottom surface may be located in the upper insulating film pattern 115 and may not contact the second blocking film pattern 270.

예시적인 실시예들에 있어서, 제2 콘택 플러그(385)는 제1 게이트 전극(310) 상에 형성된 제1 콘택 플러그(380)에 인접하도록 제1 게이트 전극(310) 상에 형성될 수 있으며, 제1 콘택 플러그들(380)이 상기 제1 방향을 따라 서로 이격된 간격과 동일하게 제1 게이트 전극(310) 상에 형성된 제1 콘택 플러그(380)로부터 상기 제1 방향으로 이격될 수 있다. 즉, 제1 및 제2 콘택 플러그들(380, 385)은 전체적으로 상기 제1 방향을 따라 동일 선상에서 일정한 간격으로 형성될 수 있다. 이와는 달리, 제1 콘택 플러그들(380)이 상기 제1 방향을 따라 지그재그 형상으로 형성되는 경우에는, 제1 및 제2 콘택 플러그들(380, 385)도 전체적으로 상기 제1 방향을 따라 지그재그 형상으로 형성될 수 있다.In exemplary embodiments, a second contact plug 385 may be formed on the first gate electrode 310 to be adjacent to a first contact plug 380 formed on the first gate electrode 310, The first contact plugs 380 may be spaced apart from the first contact plugs 380 formed in the first direction on the first gate electrode 310 in the same manner as spaced apart from one another along the first direction. That is, the first and second contact plugs 380 and 385 may be formed on the same line at regular intervals along the first direction. Alternatively, when the first contact plugs 380 are formed in a zigzag shape along the first direction, the first and second contact plugs 380 and 385 may also be formed in a zigzag shape along the first direction as a whole .

전술한 바와 같이, 제1 게이트 전극(310)은 최하층 계단뿐만 아니라 최상층 계단에 형성될 수도 있고, 임의의 층 계단에 형성될 수 있으며, 나아가 복수 개로 형성될 수도 있다. 이에 따라, 제1 게이트 전극(310) 상에 형성되는 제2 콘택 플러그(385) 역시 그 저면이 최하층 계단, 최상층 계단, 혹은 임의의 층 계단에 형성될 수 있으며, 나아가 복수 개의 제2 콘택 플러그(285)가 형성될 수도 있다. 또한, 각 제1 게이트 전극들(310) 상에는 하나의 제2 콘택 플러그(385)뿐만 아니라, 복수 개의 제2 콘택 플러그들(385)도 형성될 수 있다. As described above, the first gate electrode 310 may be formed not only in the lowermost layer step but also in the uppermost layer step, may be formed in any layer step, or may be formed in a plurality of layers. Accordingly, the second contact plug 385 formed on the first gate electrode 310 may also have its bottom surface formed in the lowest step, the uppermost step, or an arbitrary layer step, and further, a plurality of second contact plugs 285 may be formed. A plurality of second contact plugs 385 may be formed on each of the first gate electrodes 310 as well as one second contact plug 385.

다만 이하에서는 설명의 편의를 위해서, 상기 제1 계단은 상기 최하층 계단이고, 상기 제1 계단에는 하나의 제2 콘택 플러그(385)만이 형성되는 경우를 설명하기로 한다.Hereinafter, for convenience of description, the case where the first step is the lowest step and only one second contact plug 385 is formed at the first step will be described.

제1 콘택 플러그(380)는 제1 도전 패턴(370), 및 이의 저면 및 측벽을 감싸는 제1 배리어막 패턴(360)을 포함할 수 있으며, 제2 콘택 플러그(385)는 제2 도전 패턴(375), 및 이의 저면 및 측벽을 감싸는 제2 배리어막 패턴(365)을 포함할 수 있다. 이때, 상기 제1 도전 패턴(370)은 예를 들어, 텅스텐, 티타늄, 탄탈륨 등의 금속을 포함할 수 있 있으며, 제1 배리어막 패턴(360)은 티타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물 등의 금속 질화물을 포함할 수 있다. 이와는 달리, 제1 배리어막 패턴(360)은 금속막 및 금속 질화막으로 구성된 다층막으로 형성될 수도 있다.The first contact plug 380 may include a first conductive pattern 370 and a first barrier film pattern 360 surrounding the bottom and side walls of the first contact plug 380 and the second contact plug 385 may include a second conductive pattern 375, and a second barrier film pattern 365 surrounding the bottom and sidewalls thereof. The first barrier layer pattern 360 may include a metal such as titanium nitride, tantalum nitride, tungsten nitride, or the like. The first barrier pattern 360 may include a metal such as tungsten, titanium, tantalum, Nitride. ≪ / RTI > Alternatively, the first barrier film pattern 360 may be formed of a multilayer film composed of a metal film and a metal nitride film.

제5 내지 제8 층간 절연막들(390, 430, 560, 630)은 제4 층간 절연막(340), 및 제1 및 제2 콘택 플러그들(380, 385) 상에 순차적으로 적층될 수 있으며, 예를 들어, 실리콘 산화물과 같은 산화물을 포함할 수 있다. 이에 따라, 제5 내지 제8 층간 절연막들(390, 430, 560, 630)은 일부 혹은 전체가 하나의 막으로 병합될 수도 있으며, 또한 하부의 제4 층간 절연막(340)과도 병합될 수도 있다.The fifth to eighth interlayer insulating films 390, 430, 560 and 630 may be sequentially stacked on the fourth interlayer insulating film 340 and the first and second contact plugs 380 and 385, For example, an oxide such as silicon oxide. Accordingly, the fifth to eighth interlayer insulating films 390, 430, 560, and 630 may be partially or wholly merged into a single film, or may be merged with the fourth interlayer insulating film 340 disposed below.

제1 내지 제6 배선들(420, 425, 460, 465, 660, 690), 및 제1 내지 제6 비아들(490, 495, 550, 555, 590, 620)은 각각 도전 패턴, 및 이의 저면 및 측벽을 감싸는 배리어막 패턴을 포함할 수 있다. 이때, 상기 도전 패턴은 예를 들어, 구리, 알루미늄, 텅스텐, 티타늄, 탄탈륨 등의 금속을 포함할 수 있으며, 상기 배리어막 패턴은 티타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물 등의 금속 질화물을 포함할 수 있다. 이와는 달리, 상기 배리어막 패턴은 금속막 및 금속 질화막으로 구성된 다층막으로 형성될 수도 있다.The first through sixth wiring lines 420, 425, 460, 465, 660, 690 and the first through sixth vias 490, 495, 550, 555, 590, 620 each have a conductive pattern, And a barrier film pattern surrounding the sidewalls. The conductive pattern may include a metal such as copper, aluminum, tungsten, titanium, or tantalum. The barrier pattern may include a metal nitride such as titanium nitride, tantalum nitride, or tungsten nitride . Alternatively, the barrier film pattern may be formed of a multilayer film composed of a metal film and a metal nitride film.

구체적으로, 제1 및 제2 배선들(420, 425)은 제5 층간 절연막(390)을 관통하여 제1 및 제2 콘택 플러그들(380, 385) 상면에 접촉할 수 있다. 제1 배선(420)은 제3 도전 패턴(410), 및 이의 저면 및 측벽을 감싸는 제3 배리어막 패턴(400)을 포함할 수 있으며, 제2 배선(425)은 제4 도전 패턴(415), 및 이의 저면 및 측벽을 감싸는 제4 배리어막 패턴(405)을 포함할 수 있다. Specifically, the first and second wirings 420 and 425 may be in contact with the upper surfaces of the first and second contact plugs 380 and 385 through the fifth interlayer insulating film 390. The first wiring 420 may include a third conductive pattern 410 and a third barrier film pattern 400 surrounding the bottom and side walls of the third conductive pattern 410. The second wiring 425 may include a fourth conductive pattern 415, And a fourth barrier film pattern 405 surrounding the bottom and sidewalls thereof.

예시적인 실시예들에 있어서, 제1 배선(420)은 상기 제2 방향으로 연장될 수 있으며, 상기 제1 방향을 따라 복수 개로 형성될 수 있다. 또한, 제2 배선(425)은 상기 제1 방향으로 연장될 수 있다. 이때, 각 제1 배선들(420)은 제1 콘택 플러그(380) 상면에 접촉할 수 있으며, 제2 배선(425)은 상기 제1 계단에 형성된 제1 및 제2 콘택 플러그들(380, 385) 상면들에 접촉할 수 있다.In the exemplary embodiments, the first wirings 420 may extend in the second direction, and may be formed in plural along the first direction. Also, the second wiring 425 may extend in the first direction. At this time, the first wirings 420 may contact the upper surface of the first contact plug 380, and the second wirings 425 may contact the first and second contact plugs 380 and 385 ) ≪ / RTI >

한편, 각 제1 배선들(420)은 상기 제2 방향을 따라 복수 개로 형성된 채널 블록들 중 일부에 형성된 제1 콘택 플러그들(380) 상면에 접촉하도록 상기 제2 방향으로 연장될 수 있다. 일 실시예에 있어서, 각 제1 배선들(420)은 상기 제2 방향으로 연장되어, 상기 제2 방향으로 서로 인접하는 4개의 채널 블록들에 형성된 제1 콘택 플러그들(380) 상면에 접촉할 수 있다. 제2 배선(425)은 상기 제1 방향으로 연장되어 제2 영역(II)에 형성된 배선(도시되지 않음)과 연결될 수 있으며, 이에 따라 전기적 신호가 인가될 수 있다.Each of the first wirings 420 may extend in the second direction so as to contact the upper surface of the first contact plugs 380 formed on a part of the plurality of channel blocks formed along the second direction. In one embodiment, each of the first wirings 420 extends in the second direction and contacts the upper surface of the first contact plugs 380 formed on the four channel blocks adjacent to each other in the second direction . The second wiring 425 may extend in the first direction and may be connected to a wiring (not shown) formed in the second region II so that an electrical signal can be applied.

제3 및 제4 배선들(460, 465)은 제6 층간 절연막(430)의 상부를 관통할 수 있으며, 제1 및 제2 비아들(490, 495)은 제6 층간 절연막(430)의 하부를 관통하여 제1 및 제2 배선들(420, 425) 상면에 각각 접촉할 수 있다. The third and fourth wirings 460 and 465 may penetrate the upper portion of the sixth interlayer insulating film 430 and the first and second vias 490 and 495 may penetrate the upper portion of the sixth interlayer insulating film 430 And may contact the upper surfaces of the first and second wirings 420 and 425, respectively.

이때, 제3 배선(460)은 제5 도전 패턴(450), 및 이의 측벽 및 저면 일부를 감싸는 제5 배리어막 패턴(440)을 포함할 수 있으며, 제4 배선(465)은 제6 도전 패턴(455), 및 이의 측벽 및 저면 일부를 감싸는 제6 배리어막 패턴(445)을 포함할 수 있다. 또한, 제1 비아(490)는 제7 도전 패턴(480), 및 이의 저면 및 측벽을 감싸는 제7 배리어막 패턴(470)을 포함할 수 있으며, 제2 비아(495)는 제8 도전 패턴(485), 및 이의 저면 및 측벽을 감싸는 제8 배리어막 패턴(475)을 포함할 수 있다. 다만, 순차적으로 적층된 제1 비아(490) 및 제3 배선(460)은 일체적으로 형성될 수 있으며, 또한, 순차적으로 적층된 제2 비아(495) 및 제4 배선(465) 역시 일체적으로 형성될 수 있다. The third wiring 460 may include a fifth conductive pattern 450 and a fifth barrier film pattern 440 surrounding a portion of the side wall and the bottom of the fifth conductive pattern 450. The fourth wiring 465 may include a sixth conductive pattern 450, A second barrier layer pattern 455, and a sixth barrier layer pattern 445 that surrounds a portion of the sidewall and bottom thereof. The first via 490 may include a seventh conductive pattern 480 and a seventh barrier film pattern 470 surrounding the bottom and sidewalls thereof and the second via 495 may include an eighth conductive pattern 485, and an eighth barrier film pattern 475 surrounding the bottom and sidewalls thereof. The sequentially stacked first vias 490 and the third wirings 460 may be integrally formed, and the second vias 495 and the fourth wirings 465 sequentially stacked may also be integrally formed As shown in FIG.

예시적인 실시예들에 있어서, 제3 배선(460)은 상기 제2 방향으로 연장될 수 있으며, 상기 제1 방향을 따라 복수 개로 형성될 수 있다. 또한, 제4 배선(465)은 상기 제1 방향으로 연장될 수 있다. 이때, 제3 배선들(460)은 제1 비아(490)를 통해 제1 배선들(420)에 각각 전기적으로 연결될 수 있으며, 제4 배선들(465)은 제2 비아(495)를 통해 제1 배선들(420)에 각각 전기적으로 연결될 수 있다.In the exemplary embodiments, the third wiring 460 may extend in the second direction, and may be formed along the first direction. Further, the fourth wiring 465 may extend in the first direction. The third wirings 460 may be electrically connected to the first wirings 420 through the first vias 490 and the fourth wirings 465 may be electrically connected to the first wirings 420 through the second vias 495. [ 1 wirings 420, respectively.

일 실시예에 있어서, 각 제3 배선들(460)은 상기 제2 방향으로 연장되어, 상기 제2 방향으로 서로 인접하는 4개의 채널 블록들 상에 형성될 수 있다. 제4 배선(465)은 상기 제1 방향으로 연장되어 제2 영역(II)에 형성된 배선(도시되지 않음)과 연결될 수 있으며, 이에 따라 전기적 신호가 인가될 수 있다.In one embodiment, each of the third wires 460 may extend in the second direction and may be formed on four adjacent channel blocks in the second direction. The fourth wiring 465 may extend in the first direction and may be connected to a wiring (not shown) formed in the second region II, and thus an electrical signal may be applied.

제1 및 제2 연결 배선들(520, 525)은 제6 층간 절연막(430)의 상부를 관통할 수 있으며, 제3 및 제4 비아들(550, 555)은 제6 층간 절연막(430)의 하부, 및 제3 내지 제5 층간 절연막들(240, 340, 390)을 관통하여 캐핑막 패턴(230) 상면에 접촉할 수 있다.The first and second connection wirings 520 and 525 may penetrate the upper portion of the sixth interlayer insulating film 430 and the third and fourth vias 550 and 555 may penetrate the upper portion of the sixth interlayer insulating film 430. [ 340, and 390, and may contact the upper surface of the capping pattern 230. In this case,

이때, 제1 연결 배선(520)은 제9 도전 패턴(510), 및 이의 측벽 및 저면 일부를 감싸는 제9 배리어막 패턴(500)을 포함할 수 있으며, 제2 연결 배선(525)은 제10 도전 패턴(515), 및 이의 측벽 및 저면 일부를 감싸는 제10 배리어막 패턴(505)을 포함할 수 있다. 또한, 제3 비아(550)는 제11 도전 패턴(540), 및 이의 저면 및 측벽을 감싸는 제11 배리어막 패턴(530)을 포함할 수 있으며, 제4 비아(555)는 제12 도전 패턴(545), 및 이의 저면 및 측벽을 감싸는 제12 배리어막 패턴(535)을 포함할 수 있다. 다만, 순차적으로 적층된 제3 비아(550) 및 제1 연결 배선(520)은 일체적으로 형성될 수 있으며, 또한, 순차적으로 적층된 제4 비아(555) 및 제2 연결 배선(525) 역시 일체적으로 형성될 수 있다. The first connection wiring 520 may include a ninth conductive pattern 510 and a ninth barrier layer pattern 500 surrounding a side wall and a part of the bottom of the ninth conductive pattern 510. The second connection wiring 525 may include a tenth conductive pattern 510, A conductive pattern 515, and a tenth barrier film pattern 505 that surrounds a portion of the side wall and the bottom of the conductive pattern 515. The third vias 550 may include an eleventh conductive pattern 540 and an eleventh barrier layer pattern 530 surrounding the bottom and sidewalls thereof and the fourth via 555 may include a twelfth conductive pattern 545, and a twelfth barrier film pattern 535 surrounding the bottom and sidewalls thereof. However, the third vias 550 and the first connection wirings 520, which are sequentially stacked, may be integrally formed, and the fourth vias 555 and the second connection wirings 525 sequentially stacked may also be formed And can be integrally formed.

제3 및 제4 비아들(550, 555)은 채널들(210) 상에 형성된 캐핑막 패턴들(230) 상에 각각 형성될 수 있으며, 제1 및 제2 연결 배선들(520, 525)은 각각 상기 제2 방향으로 연장되어, 제3 및 제4 비아들(550, 555)에 전기적으로 연결될 수 있다. 이에 따라, 제1 및 제2 연결 배선들(520, 525)은 공통 소스 라인(CSL)(330)에 의해 상기 제2 방향으로 서로 이격된 양 채널 블록들에 각각 포함된 채널들(210)을 서로 전기적으로 연결할 수 있다. 예시적인 실시예들에 있어서, 제1 연결 배선(520)은 제1 채널 블록의 제3 및 제4 채널 열들에 포함된 채널들(210)과, 상기 제2 방향으로 상기 제1 채널 블록과 이격된 제2 채널 블록의 제1 및 제2 채널 열들에 포함된 채널들(210)을 서로 연결할 수 있다. 또한, 제2 연결 배선(525)은 상기 제2 채널 블록의 제3 및 제4 채널 열들에 포함된 채널들(210)과, 상기 제2 방향으로 상기 제2 채널 블록과 이격된 제3 채널 블록의 제1 및 제2 채널 열들에 포함된 채널들(210)을 서로 연결할 수 있다.The third and fourth vias 550 and 555 may be formed on the capping pattern patterns 230 formed on the channels 210 respectively and the first and second connection wirings 520 and 525 Extend in the second direction, and may be electrically connected to the third and fourth vias 550 and 555, respectively. Accordingly, the first and second connection wirings 520 and 525 are formed by the common source line (CSL) 330 and the channels 210, respectively, included in the two channel blocks spaced from each other in the second direction They can be electrically connected to each other. In the exemplary embodiments, the first connection wiring 520 includes channels 210 included in the third and fourth channel columns of the first channel block, And the channels 210 included in the first and second channel columns of the second channel block may be connected to each other. In addition, the second connection wiring 525 may include channels 210 included in the third and fourth channel columns of the second channel block, and a third channel block, which is spaced apart from the second channel block in the second direction, And the channels 210 included in the first and second channel columns of the first and second channel columns.

제5 및 제6 비아들(590, 620)은 제7 층간 절연막(560)을 관통하여 제3 배선(460), 및 제1 및 제2 연결 배선들(520, 525) 상면에 각각 접촉할 수 있다. The fifth and sixth vias 590 and 620 can be in contact with the upper surface of the third wiring 460 and the first and second connection wirings 520 and 525 through the seventh interlayer insulating film 560 have.

이때, 제5 비아(590)는 제13 도전 패턴(580), 및 이의 측벽 및 저면을 감싸는 제13 배리어막 패턴(570)을 포함할 수 있으며, 제6 비아(620)는 제14 도전 패턴(610), 및 이의 측벽 및 저면을 감싸는 제14 배리어막 패턴(600)을 포함할 수 있다. The fifth vias 590 may include a thirteenth conductive pattern 580 and a thirteen barrier film pattern 570 surrounding the sidewalls and the bottom of the thirteenth conductive pattern 580. The sixth via 620 may include a fourteenth conductive pattern 580, 610), and a fourteenth barrier film pattern 600 surrounding the side and bottom surfaces thereof.

제5 및 제6 배선들(660, 690)은 제8 층간 절연막(630)을 관통하여 제5 및 제6 비아들(590, 620) 상면에 각각 접촉할 수 있다. The fifth and sixth wirings 660 and 690 may penetrate the eighth interlayer insulating film 630 and contact the upper surfaces of the fifth and sixth vias 590 and 620, respectively.

이때, 제5 배선(660)은 제15 도전 패턴(650), 및 이의 측벽 및 저면을 감싸는 제15 배리어막 패턴(640)을 포함할 수 있으며, 제6 배선(690)은 제16 도전 패턴(680), 및 이의 측벽 및 저면을 감싸는 제16 배리어막 패턴(670)을 포함할 수 있다. 예시적인 실시예들에 있어서, 제5 배선(660)은 상기 제1 방향으로 연장되어 제2 영역(II)에 형성된 배선(도시되지 않음)과 연결될 수 있으며, 이에 따라 전기적 신호가 인가될 수 있다. 즉, 제2 영역(II)의 상기 배선으로부터 인가된 전기적 신호는 제5 배선(660), 제5 비아(590), 제3 배선(460), 제1 비아(490) 및 제1 배선(420)을 통해 제1 콘택 플러그(380)로 전달될 수 있다. 예시적인 실시예들에 있어서, 제6 배선(690)은 상기 제2 방향으로 연장될 수 있으며, 제6 비아(620), 제1 및 제2 연결 배선들(520, 525), 제3 및 제4 비아들(550, 555), 및 캐핑막 패턴(230)을 통해 채널(210)에 전기적으로 연결될 수 있다. 이때, 제6 배선(690)은 비트 라인 기능을 수행할 수 있다.The fifth wiring 660 may include a fifteenth conductive pattern 650 and a fifteenth barrier film pattern 640 surrounding the sidewalls and the bottom of the fifth wiring 660. The sixth wiring 690 may include a sixteenth conductive pattern 680, and a sixteenth barrier film pattern 670 surrounding the side and bottom surfaces thereof. In the exemplary embodiments, the fifth wiring 660 may extend in the first direction and may be connected to a wiring (not shown) formed in the second region II, whereby an electrical signal may be applied . That is, an electrical signal applied from the wiring in the second region II is electrically connected to the fifth wiring 660, the fifth via 590, the third wiring 460, the first via 490, and the first wiring 420 To the first contact plug 380 through the first contact plug 380. [ In the exemplary embodiments, the sixth wiring 690 may extend in the second direction and may include a sixth via 620, first and second connection interconnections 520 and 525, 4 vias 550, 555, and a capping pattern 230. In this embodiment, At this time, the sixth wiring 690 may perform a bit line function.

전술한 바와 같이, 상기 수직형 메모리 장치는 기판(100) 상면에 수직한 방향을 따라 적층된 복수의 게이트 전극들(310) 중에서 제1 게이트 전극(310) 상에는 제1 콘택 플러그(380)에 더하여 제2 콘택 플러그(385)가 더 형성될 수 있다. 후술하는 제조 방법에서 설명되는 바와 같이, 제2 콘택 플러그(385)에 의해 패턴 로딩 현상이 방지되어, 각 제1 콘택 플러그들(380)이 원하는 크기 및/또는 형상을 갖도록 형성될 수 있으며, 하부의 게이트 전극들(310)에 잘 접촉할 수 있다.As described above, the vertical memory device includes, in addition to the first contact plug 380, a first contact plug 380 on the first gate electrode 310 among the plurality of gate electrodes 310 stacked along the direction perpendicular to the upper surface of the substrate 100, A second contact plug 385 may be further formed. The patterning phenomenon is prevented by the second contact plug 385, as described in the manufacturing method described later, so that each first contact plug 380 can be formed to have a desired size and / or shape, The gate electrode 310 of FIG.

한편, 상기 수직형 메모리 장치에 형성되는 제2 콘택 플러그(385), 및 이에 전기적으로 연결되는 제1 및 제2 배선들(420, 425)은 다양한 형태로 구현될 수 있으며, 이에 대해서는 도 45 내지 도 70을 참조로 후술하기로 한다.The second contact plug 385 formed in the vertical memory device and the first and second wirings 420 and 425 electrically connected to the second contact plug 385 may be implemented in various forms. This will be described later with reference to FIG.

이하에서는, 예시적인 실시예들에 따른 수직형 메모리 장치의 제조 방법을 설명하기로 한다. 이때, 상기 수직형 메모리 장치의 제조 방법은 도 1에 도시된 제1 영역(I) 내의 X 영역을 나타내는 도 14 내지 도 44를 참조로 설명하기로 한다.Hereinafter, a method of manufacturing a vertical type memory device according to exemplary embodiments will be described. Hereinafter, a method of manufacturing the vertical memory device will be described with reference to FIGS. 14 to 44 showing the X region in the first region I shown in FIG.

도 14 내지 도 44는 상기 수직형 메모리 장치의 제조 방법을 설명하기 위한 평면도들 및 단면도들이다. 구체적으로, 도 14, 16, 18, 20, 22, 24, 29, 31, 33, 35, 37 및 42는 평면도들이고, 도 15, 17, 19, 21, 23, 25-28, 30, 32, 34, 36, 38-41 및 43-44는 단면도들이다. 이때, 도 15, 17, 19, 21, 23, 25, 27, 30 및 38은 대응하는 각 평면도들의 A-A'선을 따라 절단한 단면도들이고, 도 26, 28, 32, 34, 36 및 39는 대응하는 각 평면도들의 B-B'선을 따라 절단한 단면도들이며, 도 40은 대응하는 평면도의 C-C'선을 따라 절단한 단면도이고, 도 41 및 43은 대응하는 각 평면도들의 D-D'선을 따라 절단한 단면도들이며, 도 44는 대응하는 평면도의 E-E'선을 따라 절단한 단면도이다. 14 to 44 are plan views and sectional views for explaining a method of manufacturing the vertical memory device. 14, 16, 18, 20, 22, 24, 29, 31, 33, 35, 37 and 42 are plan views and FIGS. 15, 17, 19, 21, 23, 25-28, 30, 32, 34, 36, 38-41 and 43-44 are cross-sectional views. Figs. 15, 17, 19, 21, 23, 25, 27, 30 and 38 are cross-sectional views taken along the line A-A ' 40 is a cross-sectional view taken along the line C-C 'in the corresponding plan view, and FIGS. 41 and 43 are cross-sectional views taken along the line B-B' of corresponding corresponding plan views, And FIG. 44 is a cross-sectional view taken along the line E-E 'in the corresponding plan view.

도 14 및 15를 참조하면, 기판(100) 상에 절연막(110) 및 희생막(120)을 교대로 반복적으로 적층한다. 이에 따라, 복수의 절연막들(110) 및 복수의 희생막들(120)이 상기 제3 방향을 따라 교대로 적층될 수 있다. 도 1에는 예시적으로, 8개 층의 절연막들(110) 및 7개 층의 희생막들(120)이 기판(100) 상에 교대로 형성된 것이 도시되어 있으나, 절연막(110) 및 희생막(120)의 개수는 이에 한정되지 않으며, 각각 더 많거나 혹은 더 적은 개수로 형성될 수도 있다.14 and 15, an insulating film 110 and a sacrificial film 120 are alternately and repeatedly laminated on a substrate 100. [ Accordingly, a plurality of insulating films 110 and a plurality of sacrificial films 120 may be alternately stacked along the third direction. Although FIG. 1 exemplarily shows eight layers of insulating films 110 and seven layers of sacrificial films 120 alternately formed on a substrate 100, an insulating film 110 and a sacrificial layer 120 are not limited thereto, but may be formed in a larger number or a smaller number, respectively.

기판(100)은 실리콘, 게르마늄 등과 같은 반도체 물질을 포함할 수 있다. The substrate 100 may comprise a semiconductor material such as silicon, germanium, or the like.

절연막(110) 및 희생막(120)은, 예를 들어, 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정, 플라스마 화학 기상 증착(Plasma Enhanced Chemical Vapor Deposition: PECVD) 공정, 원자층 증착(Atomic Layer Deposition: ALD) 공정 등을 통해 형성할 수 있다. 특히, 기판(100) 상면에 직접 형성되는 최하층 절연막(110)의 경우, 기판(100) 상면에 대한 열산화 공정에 의해 형성될 수도 있다. The insulating layer 110 and the sacrificial layer 120 may be formed by a chemical vapor deposition (CVD) process, a plasma enhanced chemical vapor deposition (PECVD) process, an atomic layer deposition : ALD) process. In particular, in the case of the lowermost insulating film 110 formed directly on the upper surface of the substrate 100, the lower insulating film 110 may be formed by a thermal oxidation process on the upper surface of the substrate 100.

절연막(110)은 예를 들어, 피이-테오스(PE-TEOS), 고밀도 플라스마(HDP) 산화물 또는 피이오엑스(PEOX) 등과 같은 실리콘 산화물을 포함하도록 형성될 수 있다. 희생막(120)은 절연막(110)에 대해 식각 선택비를 갖는 물질, 예를 들어, 실리콘 질화물을 포함하도록 형성될 수 있다. The insulating film 110 may be formed to include silicon oxide such as, for example, PE-TEOS (PE-TEOS), high-density plasma (HDP) oxide, or PEOX. The sacrificial layer 120 may be formed to include a material having an etch selectivity to the insulating layer 110, for example, silicon nitride.

도 16 및 17을 참조하면, 최상층에 형성된 절연막(110)을 부분적으로 커버하는 포토레지스트 패턴(도시되지 않음)을 최상층 절연막(110) 상에 형성한 후, 이를 식각 마스크로 사용하여 최상층 절연막(110) 및 그 하부의 최상층 희생막(120)을 식각한다. 이에 따라, 최상층 희생막(120) 하부에 형성된 절연막(110)의 일부가 노출될 수 있다. 상기 포토레지스트 패턴의 면적을 일정한 비율로 축소시킨 후, 이를 식각 마스크로 사용하여 최상층 절연막(110), 최상층 희생막(120), 상기 노출된 절연막(110), 및 그 하부의 희생막(110)을 다시 식각하는 트리밍(trimming) 공정을 수행한다. 상기 트리밍 공정을 반복적으로 수행함으로써, 순차적으로 적층된 희생막 패턴(125) 및 절연막 패턴(115)으로 각각 구성되는 복수 개의 계단들을 포함하는 계단 구조물이 형성될 수 있다. 16 and 17, a photoresist pattern (not shown) partially covering the insulating film 110 formed on the uppermost layer is formed on the uppermost insulating film 110, and then the uppermost insulating film 110 And the uppermost sacrificial layer 120 at the lower part thereof are etched. Accordingly, a part of the insulating film 110 formed under the uppermost sacrificial film 120 can be exposed. The uppermost sacrificial layer 120, the exposed insulating layer 110, and the sacrificial layer 110 under the sacrificial layer 110 are etched using an etch mask to reduce the area of the photoresist pattern, And then performing a trimming process to etch again. By repeating the trimming process, a step structure including a plurality of stepped portions each composed of the sequentially stacked sacrificial pattern 125 and the insulating film pattern 115 can be formed.

이때, 상기 계단 구조물에 포함된 계단들은 하층에서 상층으로 갈수록 일정한 비율로 감소하는 면적을 가질 수 있다. 이에 따라, 상기 계단들은 상기 각 제1 및 제2 방향들로의 길이가 하층에서 상층으로 갈수록 일정한 폭만큼 줄어들 수 있으며, 상층 계단들에 의해 커버되지 않고 노출되는 각 계단들 부분의 상기 각 제1 및 제2 방향들로의 길이가 일정할 수 있다. 다만, 예시적인 실시예들에 있어서, 상기 계단들 중 최하층 계단에서 상층에 형성된 계단들에 의해 커버되지 않고 노출되는 부분의 상기 각 제1 및 제2 방향들로의 길이는, 나머지 층들에 형성된 각 계단들에서 상층에 형성된 계단들에 의해 커버되지 않고 노출되는 부분의 상기 각 제1 및 제2 방향들로의 길이보다 크도록 형성될 수 있다. 이는 상기 트리밍 공정에서, 상기 포토레지스트 패턴의 면적을 축소시키는 비율을 조정함으로써 구현될 수 있다. 예시적인 실시예들에 있어서, 상기 최하층 계단에서 노출되는 부분의 상기 제1 방향으로의 길이는 나머지 층들에 형성된 각 계단들에서 노출되는 부분의 상기 제1 방향으로의 길이의 2배 이상일 수 있다.At this time, the steps included in the step structure may have an area decreasing at a constant rate from the lower layer to the upper layer. Thus, the stairs may be reduced in length in the first and second directions from the lower layer to the upper layer by a predetermined width, and the first, second, And the lengths in the second directions may be constant. However, in exemplary embodiments, the length of each of the first and second directions of the portion that is not covered by the stairs formed in the upper layer at the lowest layer of the stairs, May be formed so as to be larger than the lengths in the first and second directions of the portion exposed without being covered by the steps formed in the upper layer in the steps. This can be realized by adjusting the ratio of reducing the area of the photoresist pattern in the trimming process. In exemplary embodiments, the length in the first direction of the portion exposed at the lowest step may be at least twice the length in the first direction of the portion exposed at each step formed in the remaining layers.

다만, 도 16 및 17은 최하층 계단의 노출되는 부분의 길이가 다른 층에 형성된 계단의 노출되는 부분의 길이보다 상대적으로 큰 것을 도시하고 있으나, 본 발명의 개념은 반드시 이에 한정되지는 않는다. 즉 제1 콘택 홀들(350, 도 31 및 32 참조) 이외에 제2 콘택 홀(355, 도 31 및 32 참조)을 형성해야 할 필요가 있는 경우라면, 상기 최하층 계단 이외의 다른 층에 형성된 계단의 노출되는 부분의 길이가 상대적으로 크도록 형성할 수도 있으며, 이하에서는 이와 같이 상대적으로 노출되는 부분의 길이가 큰 계단을 제1 계단으로 지칭하기로 한다. 예시적인 실시예들에 있어서, 상기 제1 계단은 최하층뿐만 아니라 임의의 층에 형성될 수 있으며, 또한 복수 개로 형성될 수도 있다.16 and 17 illustrate that the length of the exposed portion of the lowest step is relatively larger than the length of the exposed portion of the step formed on the other layer, but the concept of the present invention is not necessarily limited thereto. 31 and 32) need to be formed in addition to the first contact holes 350 (see FIGS. 31 and 32), the exposure of the step formed in the other layer other than the lowest step And a step having a relatively long length of the relatively exposed portion will be referred to as a first step. In the exemplary embodiments, the first step may be formed in any layer as well as the lowermost layer, or may be formed in plural.

한편, 절연막(110) 및 희생막(120)의 적층 개수가 많은 경우에는, 2개 이상의 포토레지스트 패턴들(도시되지 않음)을 사용하여 상기 트리밍 공정을 수행할 수도 있다. 즉 하나의 포토레지스트 패턴이 갖는 두께의 한계 때문에, 이를 식각 마스크로 사용하여 트리밍 공정을 수행하는 데에는 횟수의 제한이 따르므로, 복수 개의 포토레지스트 패턴들을 순차적으로 사용함으로써 전체적으로 많은 횟수로 트리밍 공정을 수행할 수 있으며, 이에 따라 많은 수로 적층된 절연막(110) 및 희생막(120)을 식각하여 각각 절연막 패턴(115) 및 희생막 패턴(125)을 형성할 수 있다. On the other hand, when the number of stacks of the insulating film 110 and the sacrificial film 120 is large, the trimming process may be performed using two or more photoresist patterns (not shown). That is, due to the limitation of the thickness of one photoresist pattern, the trimming process is performed by using the photoresist pattern as an etch mask. Therefore, a plurality of photoresist patterns are sequentially used to perform the trimming process as many times as a whole The insulating film pattern 115 and the sacrificial film pattern 125 may be formed by etching the insulating film 110 and the sacrificial film 120 stacked in a large number.

이와 같이 복수 개의 포토레지스트 패턴들을 사용하는 경우에는, 최하층이 아닌 다른 층에 형성된 계단의 노출되는 부분의 길이가 상대적으로 크도록 하기 위해서, 반드시 트리밍 공정 시 포토레지스트 패턴의 면적을 축소시키는 비율을 부분적으로 조정할 필요는 없다. 예를 들어, 제1 포토레지스트 패턴의 면적을 일정하게 축소시키면서 트리밍 공정을 복수 회 수행한 이후, 다음에 사용되는 제2 포토레지스트 패턴의 면적 자체를 조정함으로써 상대적으로 긴 부분이 노출되는 계단을 형성할 수 있으며, 이후 상기 제2 포토레지스트 패턴의 면적을 역시 일정하게 축소시키면서 트리밍 공정을 복수 회 수행하여 계단 구조물을 형성할 수 있다. In the case of using a plurality of photoresist patterns as described above, the ratio of reducing the area of the photoresist pattern at the time of trimming must be at least partially reduced in order to make the length of the exposed portion of the step formed in another layer other than the lowermost layer relatively large. . For example, after the trimming process is performed a plurality of times while reducing the area of the first photoresist pattern to a constant size, a step is formed in which a relatively long portion is exposed by adjusting the area of the second photoresist pattern used next Then, a step structure can be formed by performing a trimming process a plurality of times while reducing the area of the second photoresist pattern to be constant.

도 18 및 19를 참조하면, 상기 계단 구조물을 커버하는 제1 층간 절연막(130)을 기판(100) 상에 형성하고, 상기 계단 구조물의 최상층 절연막 패턴(115)의 상면이 노출될 때까지 제1 층간 절연막(130) 상면을 평탄화한다. 18 and 19, a first interlayer insulating film 130 covering the step structure is formed on a substrate 100, and a first interlayer insulating film 130 is formed on the substrate 100 until the upper surface of the uppermost insulating film pattern 115 of the step structure is exposed. The upper surface of the interlayer insulating film 130 is planarized.

이때, 제1 층간 절연막(130)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성될 수 있으며, 이에 따라 절연막 패턴(115)과 병합될 수도 있다. 상기 평탄화 공정은 화학 기계적 연마(Chemical Mechanical Polishing: CMP) 공정 및/또는 에치 백(etch back) 공정을 통해 수행될 수 있다. 다만, 제1 층간 절연막(130)은 상기 계단 구조물이 형성된 부분 상에서 상대적으로 높게 형성될 수 있으므로, 이에 대해 일차적으로 식각한 후 상기 평탄화 공정을 수행할 수도 있다.At this time, the first interlayer insulating film 130 may be formed to include an oxide such as silicon oxide, for example, and may be combined with the insulating film pattern 115. The planarization process may be performed through a chemical mechanical polishing (CMP) process and / or an etch back process. However, since the first interlayer insulating layer 130 may be relatively high on the portion where the step structure is formed, the planarization process may be performed after the first interlayer insulating layer 130 is etched.

도 20 및 21을 참조하면, 상기 계단 구조물 및 제1 층간 절연막(130) 상에 제2 층간 절연막(140)을 형성한 후, 포토레지스트 패턴(도시되지 않음)을 사용하는 사진 식각 공정을 수행한다. 이에 따라, 제2 층간 절연막(140), 절연막 패턴들(115) 및 희생막 패턴들(125)을 관통하여 기판(100) 상면을 노출시키는 복수 개의 채널 홀들(holes)(150)을 형성할 수 있다. 20 and 21, a second interlayer insulating layer 140 is formed on the step structure and the first interlayer insulating layer 130, and then a photolithography process using a photoresist pattern (not shown) is performed . Accordingly, a plurality of channel holes 150 that expose the upper surface of the substrate 100 can be formed through the second interlayer insulating film 140, the insulating film patterns 115, and the sacrificial film patterns 125 have.

이때, 제2 층간 절연막(140)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성될 수 있으며, 이에 따라 제1 층간 절연막(130) 및/또는 최상층 절연막 패턴(115)에 병합될 수도 있다. At this time, the second interlayer insulating film 140 may be formed to include an oxide such as silicon oxide, for example, and may be incorporated into the first interlayer insulating film 130 and / or the uppermost insulating film pattern 115 .

예시적인 실시예들에 있어서, 채널 홀들(150)은 상기 제1 및 제2 방향들을 따라 각각 형성될 수 있으며, 이에 따라 채널 홀 어레이(array)가 정의될 수 있다. 예시적인 실시예들에 있어서, 상기 채널 홀 어레이는 상기 제1 방향을 따라 복수 개로 형성된 제1 채널 홀들을 포함하는 제1 채널 홀 열(hole column)과, 상기 제1 방향을 따라 복수 개로 형성된 제2 채널 홀들을 포함하면서 상기 제2 방향으로 상기 제1 채널 홀 열과 일정한 간격으로 이격된 제2 채널 홀 열을 포함할 수 있다. 이때, 상기 제1 채널 홀들은 상기 제2 채널 홀들로부터 상기 제1 방향 혹은 상기 제2 방향과 예각을 이루는 방향에 각각 위치할 수 있다. 이에 따라, 상기 제1 및 제2 채널 홀들은 전체적으로 상기 제1 방향을 기준으로 지그재그(zigzag) 형상으로 배열될 수 있다. 이와 같이 상기 제1 및 제2 채널 홀들이 지그재그로 배열됨에 따라서, 단위 면적 내에 보다 많은 수의 채널 홀들(150)이 배열될 수 있다. In the exemplary embodiments, channel holes 150 may be formed along the first and second directions, respectively, so that a channel hole array may be defined. In exemplary embodiments, the channel hole array may include a first channel hole column including a plurality of first channel holes formed along the first direction, and a plurality of second channel hole columns formed along the first direction, And a second channel hole array including two channel holes and spaced apart from the first channel hole column in the second direction by a predetermined distance. At this time, the first channel holes may be located at an acute angle to the first direction or the second direction from the second channel holes. Accordingly, the first and second channel holes may be arranged in a zigzag shape with respect to the first direction as a whole. As the first and second channel holes are arranged in a zigzag manner, a larger number of channel holes 150 can be arranged in a unit area.

한편, 상기 제1 및 제2 채널 홀 열들은 상기 제2 방향을 따라 교대로 반복적으로 배열될 수 있다. 예시적인 실시예들에 있어서, 상기 제2 방향을 따라 상기 제1 및 제2 채널 홀 열들이 교대로 2번씩 배열되어 총 4개의 채널 홀 열들을 포함하는 하나의 채널 홀 블록(hole block)을 형성할 수 있으며, 상기 채널 홀 블록은 상기 제2 방향을 따라 서로 이격되도록 복수 개로 형성될 수 있다. 이하에서는 각 채널 홀 블록들 내에 배열된 4개의 채널 홀 열들을 최상층 절연막 패턴(115)의 가장자리에 인접한 것부터 상기 제2 방향을 따라 순서대로 제1, 제2, 제3 및 제4 채널 홀 열들로 지칭하기로 한다. 즉, 도 20에서는 상기 제2 방향을 따라 서로 이격된 2개의 채널 홀 블록들이 도시되어 있으며, 상기 각 채널 홀 블록들은 상기 제2 방향을 따라 순차적으로 배열된 제1, 제2, 제3 및 제4 채널 홀 열들을 포함하고 있다.The first and second channel hole rows may be alternately and repeatedly arranged along the second direction. In the exemplary embodiments, the first and second channel hole sequences are alternately arranged twice along the second direction to form one channel hole block including a total of four channel hole sequences. And the plurality of channel hole blocks may be spaced apart from each other along the second direction. Hereinafter, the four channel hole arrays arranged in the respective channel hole blocks are arranged in the first, second, third and fourth channel hole arrays in order from the side adjacent to the edge of the uppermost insulating film pattern 115 to the second direction . That is, FIG. 20 shows two channel hole blocks spaced apart from each other along the second direction, and each of the channel hole blocks is divided into first, second, third, and fourth And includes four channel hole rows.

전술한 바와는 달리, 상기 채널 홀 어레이는 상기 지그재그 배열과는 다르게 배열된 복수 개의 채널 홀들(150)을 포함할 수도 있다. Unlike the above, the channel hole array may include a plurality of channel holes 150 arranged differently from the zigzag arrangement.

도 22 및 23을 참조하면, 먼저 각 채널 홀들(150)을 부분적으로 채우는 반도체 패턴(160)을 형성한다. Referring to FIGS. 22 and 23, a semiconductor pattern 160 is formed, which partially fills each of the channel holes 150.

구체적으로, 채널 홀들(150)에 의해 노출된 기판(100) 상면을 시드(seed)로 사용하는 선택적 에피택시얼 성장(Selective Epitaxial Growth: SEG) 공정을 수행하여 채널 홀들(150)을 부분적으로 채우는 반도체 패턴(160)을 형성할 수 있다. 이에 따라, 반도체 패턴(160)은 기판(100)의 재질에 따라 단결정 실리콘 혹은 단결정 게르마늄을 포함하도록 형성될 수 있으며, 경우에 따라 불순물이 도핑될 수도 있다. 이와는 달리, 채널 홀들(150)을 채우는 비정질 실리콘 막을 형성한 후, 상기 비정질 실리콘 막에 레이저 에피택시얼 성장(Laser Epitaxial Growth: LEG) 공정 혹은 고상 에피택시(Solid Phase Epitaxy: SPE) 공정을 수행하여 반도체 패턴(160)을 형성할 수도 있다. 예시적인 실시예들에 있어서, 반도체 패턴(160)은 그 상면이 절연막 패턴들(115) 중에서 기판(100) 상면으로부터 2번째 층에 형성된 절연막 패턴(115)의 상면과 저면 사이에 위치하도록 형성될 수 있다. Specifically, a selective epitaxial growth (SEG) process using the upper surface of the substrate 100 exposed by the channel holes 150 as a seed is performed to partially fill the channel holes 150 The semiconductor pattern 160 can be formed. Accordingly, the semiconductor pattern 160 may be formed to include monocrystalline silicon or single-crystal germanium depending on the material of the substrate 100, and in some cases, impurities may be doped. Alternatively, after the amorphous silicon film filling the channel holes 150 is formed, a laser epitaxial growth (LEG) process or a solid phase epitaxy (SPE) process is performed on the amorphous silicon film The semiconductor pattern 160 may be formed. In the exemplary embodiments, the semiconductor pattern 160 is formed such that the upper surface thereof is positioned between the upper surface and the lower surface of the insulating film pattern 115 formed in the second layer from the upper surface of the substrate 100 in the insulating film patterns 115 .

이후, 채널 홀들(150)의 내측벽, 반도체 패턴(160)의 상면, 및 제2 층간 절연막(140)의 상면에 제1 블로킹막, 전하 저장막, 터널 절연막 및 제1 스페이서 막(도시되지 않음)을 순차적으로 형성하고, 상기 제1 스페이서 막을 이방성 식각하여 채널 홀들(150)의 내측벽 상에만 잔류하는 제1 스페이서(도시되지 않음)를 형성한 후, 상기 제1 스페이서를 식각 마스크로 사용하여 상기 터널 절연막, 상기 전하 저장막 및 상기 제1 블로킹막을 식각함으로써, 채널 홀들(150)의 내측벽 및 반도체 패턴(160) 상에 각각 저면 중앙부가 뚫린 컵 형상을 갖는 터널 절연막 패턴(190), 전하 저장막 패턴(180) 및 제1 블로킹막 패턴(170)을 각각 형성할 수 있다. 이때, 반도체 패턴(160)의 상부도 부분적으로 함께 제거될 수 있다. 한편, 터널 절연막 패턴(190), 전하 저장막 패턴(180) 및 제1 블로킹막 패턴(170)은 제1 전하 저장막 구조물(200)을 형성할 수 있다.Thereafter, a first blocking film, a charge storage film, a tunnel insulating film, and a first spacer film (not shown) are formed on the inner walls of the channel holes 150, the upper surface of the semiconductor pattern 160 and the upper surface of the second interlayer insulating film 140 (Not shown) that remains only on the inner walls of the channel holes 150 are formed by anisotropically etching the first spacer film, and then the first spacer is used as an etch mask A tunnel insulating film pattern 190 having a cup-shaped central opening at the bottom of each of the inner walls of the channel holes 150 and the semiconductor pattern 160 by etching the tunnel insulating film, the charge storage film, and the first blocking film, The storage film pattern 180 and the first blocking film pattern 170 may be formed. At this time, the upper portion of the semiconductor pattern 160 can also be partially removed together. The tunnel insulating film pattern 190, the charge storage film pattern 180, and the first blocking film pattern 170 may form the first charge storage film structure 200.

상기 제1 블로킹막은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성할 수 있고, 상기 전하 저장막은 예를 들어, 실리콘 질화물과 같은 질화물을 포함하도록 형성할 수 있으며, 상기 터널 절연막은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성할 수 있고, 상기 제1 스페이서 막은 예를 들어 실리콘 질화물과 같은 질화물을 포함하도록 형성할 수 있다. The first blocking film may be formed to include an oxide such as, for example, silicon oxide, and the charge storage film may be formed to include nitride, for example, silicon nitride. The tunnel insulating film may be formed, for example, , An oxide such as silicon oxide, and the first spacer film may be formed to include a nitride such as, for example, silicon nitride.

상기 제1 스페이서를 제거한 후, 노출된 반도체 패턴(160), 터널 절연막 패턴(190), 및 제2 층간 절연막(140) 상에 채널막을 형성하고, 채널 홀들(150)의 나머지 부분을 충분히 채우는 충전막을 상기 채널막 상에 형성한다. A channel film is formed on the exposed semiconductor pattern 160, the tunnel insulating film pattern 190 and the second interlayer insulating film 140, and a filling process is performed to fill the remaining portions of the channel holes 150 A film is formed on the channel film.

상기 채널막은 불순물이 도핑되거나 또는 도핑되지 않은 폴리실리콘 혹은 비정질 실리콘을 포함하도록 형성할 수 있다. 상기 채널막이 비정질 실리콘을 포함하도록 형성되는 경우, 이후 레이저 에피택시얼 성장(LEG) 공정 혹은 고상 에피택시(SPE) 공정을 추가적으로 수행하여 이를 결정질 실리콘으로 변환시킬 수 있다. 상기 충전막은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성할 수 있다.The channel film may be formed to include impurity-doped or undoped polysilicon or amorphous silicon. When the channel film is formed to include amorphous silicon, a laser epitaxial growth (LEG) process or a solid phase epitaxy (SPE) process may be further performed to convert the channel film to crystalline silicon. The filling film may be formed to include an oxide such as, for example, silicon oxide.

이후, 제2 층간 절연막(140)의 상면이 노출될 때까지 상기 충전막 및 상기 채널막을 평탄화함으로써, 각 채널 홀들(150)의 나머지 부분을 채우는 충전막 패턴(220)을 형성할 수 있으며, 상기 채널막은 채널(210)로 변환될 수 있다. Thereafter, the filling film and the channel film are planarized until the upper surface of the second interlayer insulating film 140 is exposed, thereby forming a filling film pattern 220 filling the remaining portions of the respective channel holes 150, The channel film may be converted to channel 210.

이에 따라, 각 채널 홀들(150) 내 반도체 패턴(160) 상에는 제1 전하 저장막 구조물(200), 채널(210) 및 충전막 패턴(220)이 순차적으로 적층될 수 있다. 이때, 제1 전하 저장막 구조물(200)은 저면 중앙부가 뚫린 컵 형상으로 형성될 수 있고, 채널(210)은 컵 형상으로 형성될 수 있으며, 충전막 패턴(220)은 필라(pillar) 형상으로 형성될 수 있다. Accordingly, the first charge storage film structure 200, the channel 210, and the filling film pattern 220 may be sequentially stacked on the semiconductor pattern 160 in each of the channel holes 150. At this time, the first charge storage film structure 200 may be formed into a cup shape with the center of the bottom thereof being opened, the channel 210 may be formed into a cup shape, and the filling film pattern 220 may be formed into a pillar shape .

채널(210)이 형성되는 채널 홀들(150)이 제1 내지 제4 채널 홀 열들을 포함하는 채널 홀 블록, 및 복수 개의 채널 홀 블록들을 포함하는 채널 홀 어레이를 정의함에 따라, 채널(210)도 이에 대응하여 채널 블록 및 채널 어레이를 정의할 수 있다. The channel holes 150 in which the channel 210 is formed define a channel hole block including the first through fourth channel hole columns and the channel hole array including the plurality of channel hole blocks, Corresponding to this, a channel block and a channel array can be defined.

이후, 충전막 패턴(220), 채널(210), 및 제1 전하 저장막 구조물(200)로 구성되는 제1 구조물의 상부를 제거하여 트렌치(도시되지 않음)를 형성하고, 상기 트렌치를 채우는 캐핑막 패턴(230)을 형성한다.Thereafter, the upper portion of the first structure consisting of the filler film pattern 220, the channel 210, and the first charge storage film structure 200 is removed to form a trench (not shown) Thereby forming a thin film pattern 230.

구체적으로, 상기 제1 구조물의 상부를 에치 백 공정을 통해 제거하여 상기 트렌치를 형성한 후, 상기 트렌치를 채우는 캐핑막을 상기 제1 구조물 및 제2 층간 절연막(140) 상에 형성하고, 제2 층간 절연막(140)의 상면이 노출될 때까지 상기 캐핑막의 상부를 평탄화하여 캐핑막 패턴(230)을 형성할 수 있다. 예시적인 실시예들에 있어서, 상기 캐핑막은 불순물이 도핑되거나 또는 도핑되지 않은 폴리실리콘 혹은 비정질 실리콘을 포함하도록 형성될 수 있으며, 상기 캐핑막이 비정질 실리콘을 포함하도록 형성되는 경우, 이를 결정화시키는 공정이 추가적으로 수행될 수 있다. Specifically, the upper portion of the first structure is removed through an etch-back process to form the trench, and then a capping layer filling the trench is formed on the first structure and the second interlayer insulating layer 140, The upper portion of the capping layer may be planarized until the upper surface of the insulating layer 140 is exposed to form the capping layer pattern 230. In exemplary embodiments, the capping film may be formed to include polysilicon or amorphous silicon doped with or without doping, and when the capping film is formed to include amorphous silicon, .

캐핑막 패턴(230)은 각 채널들(210) 상에 형성되므로, 상기 채널 블록 및 채널 어레이에 각각 대응하여 캐핑막 패턴 블록 및 캐핑막 패턴 어레이를 형성할 수 있다.Since the capping layer pattern 230 is formed on each of the channels 210, a capping pattern block and a capping pattern array may be formed corresponding to the channel block and the channel array, respectively.

한편, 각 채널 홀들(150) 내부에 형성되는 상기 제1 구조물, 반도체 패턴(160) 및 캐핑막 패턴(230)은 제2 구조물을 정의할 수 있다.The first structure, the semiconductor pattern 160, and the capping pattern 230 formed in the respective channel holes 150 may define a second structure.

도 24 내지 도 26을 참조하면, 제2 층간 절연막(140) 및 캐핑막 패턴(230) 상에 제3 층간 절연막(240)을 형성한 후, 제2 및 제3 층간 절연막들(140, 240), 절연막 패턴들(115) 및 희생막 패턴들(125)을 관통하는 개구(250)를 형성하여 기판(100) 상면을 노출시킨다.24 to 26, after the third interlayer insulating layer 240 is formed on the second interlayer insulating layer 140 and the capping layer pattern 230, the second and third interlayer insulating layers 140 and 240 are formed, The insulating film patterns 115 and the sacrificial film patterns 125 to expose the upper surface of the substrate 100.

이때, 제3 층간 절연막(240)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성될 수 있으며, 이에 따라 제2 층간 절연막(140)과 병합될 수도 있다. At this time, the third interlayer insulating film 240 may be formed to include an oxide such as silicon oxide, for example, and may be combined with the second interlayer insulating film 140.

예시적인 실시예들에 따르면, 개구(250)는 상기 채널 블록들 사이에서 상기 제1 방향을 따라 연장되도록 형성될 수 있으며, 상기 제2 방향을 따라 복수 개로 형성될 수 있다. 이에 따라, 인접하는 2개의 개구들(250) 사이에는 4개의 채널 열들이 형성될 수 있으나, 본 발명의 개념은 반드시 이에 한정되지는 않는다. 즉, 상기 채널 블록들이 포함하는 채널 열들의 개수에 따라서, 인접하는 2개의 개구들(250) 사이에 형성되는 채널 열들의 개수도 달라질 수 있다. According to exemplary embodiments, the openings 250 may be formed to extend along the first direction between the channel blocks, and may be formed along the second direction. Accordingly, although four channel columns may be formed between two adjacent openings 250, the concept of the present invention is not necessarily limited thereto. That is, the number of channel columns formed between adjacent two openings 250 may vary depending on the number of channel columns included in the channel blocks.

이후, 개구(250)에 의해 노출된 희생막 패턴들(125)을 제거하여, 각 층의 절연막 패턴들(115) 사이에 갭(260)을 형성할 수 있으며, 갭(260)에 의해 제1 블로킹막 패턴(170)의 외측벽 일부 및 반도체 패턴(160)의 측벽 일부가 노출될 수 있다. 예시적인 실시예들에 따르면, 인산 혹은 황산을 포함하는 식각액을 사용하는 습식 식각 공정을 통해 개구(250)에 의해 노출된 희생막 패턴들(125)을 제거할 수 있다.Thereafter, the sacrificial film patterns 125 exposed by the openings 250 may be removed to form a gap 260 between the insulation film patterns 115 of each layer, A part of the outer wall of the blocking film pattern 170 and a part of the side wall of the semiconductor pattern 160 may be exposed. According to exemplary embodiments, the sacrificial film patterns 125 exposed by the opening 250 may be removed through a wet etching process using an etchant containing phosphoric acid or sulfuric acid.

다만 개구(250)로부터 상기 제2 방향으로 멀리 떨어진 계단 부분에 형성된 희생막 패턴(125) 부분은 상기 습식 식각 공정에 의해 제거되지 않고 잔류할 수 있으며, 이하에서는 이들을 절연성 패드(127)로 지칭하기로 한다.However, the portion of the sacrificial film pattern 125 formed in the step portion remote from the opening 250 in the second direction may remain without being removed by the wet etching process, and hereinafter, they may be referred to as an insulating pad 127 .

도 27 및 28을 참조하면, 노출된 제1 블로킹막 패턴(170)의 외측벽, 노출된 반도체 패턴(160)의 측벽, 갭(260)의 내벽, 절연막 패턴들(115)의 표면, 노출된 기판(100) 상면, 및 제3 층간 절연막(240)의 상면에 제2 블로킹막을 형성하고, 상기 제2 블로킹막 상에 게이트 배리어막을 형성한 후, 갭(260)의 나머지 부분을 충분히 채우는 게이트 도전막을 상기 게이트 배리어막 상에 형성한다.27 and 28, the outer wall of the exposed first blocking film pattern 170, the sidewall of the exposed semiconductor pattern 160, the inner wall of the gap 260, the surface of the insulating film patterns 115, A second blocking film is formed on the upper surface of the third interlayer insulating film 240 and the upper surface of the third interlayer insulating film 240 and a gate barrier film is formed on the second blocking film and then a gate conductive film Is formed on the gate barrier film.

상기 제2 블로킹막은 예를 들어, 알루미늄 산화물, 하프늄 산화물, 란탄 산화물, 란탄 알루미늄 산화물, 란탄 하프늄 산화물, 하프늄 알루미늄 산화물, 티타늄 산화물, 탄탈륨 산화물, 지르코늄 산화물 등의 금속 산화물을 포함하도록 형성할 수 있다. 상기 게이트 도전막은 예를 들어, 텅스텐, 티타늄, 탄탈륨, 백금 등의 전기 저항이 낮은 금속을 포함하도록 형성될 수 있다. 상기 게이트 배리어막은 예를 들어, 티타늄 질화물, 탄탈륨 질화물 등의 금속 질화물을 포함하도록 형성될 수 있다. 이와는 달리, 상기 게이트 배리어막은 금속을 포함하는 제1 층 및 금속 질화물을 포함하는 제2 층으로 구성될 수도 있다. The second blocking film may be formed to include metal oxides such as aluminum oxide, hafnium oxide, lanthanum oxide, lanthanum aluminum oxide, lanthanum hafnium oxide, hafnium aluminum oxide, titanium oxide, tantalum oxide, and zirconium oxide. The gate conductive film may be formed to include a low electrical resistance metal such as tungsten, titanium, tantalum, and platinum. The gate barrier film may be formed to include, for example, a metal nitride such as titanium nitride, tantalum nitride, or the like. Alternatively, the gate barrier film may consist of a first layer comprising a metal and a second layer comprising a metal nitride.

이후, 상기 게이트 도전막 및 상기 게이트 배리어막을 부분적으로 제거하여, 갭(260) 내부에 각각 게이트 도전 패턴(300) 및 게이트 배리어막 패턴(290)을 형성할 수 있으며, 이들은 함께 게이트 전극(310)을 형성할 수 있다. 예시적인 실시예들에 따르면, 상기 게이트 도전막 및 상기 게이트 배리어막은 습식 식각 공정을 통해 부분적으로 제거될 수 있다.The gate conductive film and the gate barrier film may be partially removed to form a gate conductive pattern 300 and a gate barrier film pattern 290 in the gap 260, Can be formed. According to exemplary embodiments, the gate conductive film and the gate barrier film can be partially removed through a wet etching process.

예시적인 실시예들에 있어서, 게이트 전극(310)은 상기 제1 방향으로 연장될 수 있으며, 상기 제2 방향을 따라 복수 개로 형성될 수 있다. 즉, 상기 제1 방향으로 연장되는 복수 개의 게이트 전극들(310)은 개구(250)에 의해 서로 이격될 수 있다. 한편, 복수 개의 게이트 전극들(310) 중에서 상기 제1 계단에 형성된 게이트 전극(310)은 제1 게이트 전극(310)으로 지칭될 수 있다. In the exemplary embodiments, the gate electrode 310 may extend in the first direction, and may be formed along the second direction. That is, the plurality of gate electrodes 310 extending in the first direction may be spaced apart from each other by the openings 250. Meanwhile, the gate electrode 310 formed at the first step among the plurality of gate electrodes 310 may be referred to as a first gate electrode 310.

게이트 전극(310)은 상기 제3 방향을 따라 순차적으로 형성된 GSL, 워드 라인 및 SSL을 포함할 수 있다. 이때, 상기 각 GSL, 워드 라인 및 SSL은 1개 혹은 복수 개의 층에 형성될 수 있다. 또한, 상기 GSL과 상기 워드 라인 사이 및/또는 상기 SSL과 상기 워드 라인 사이에는 하나 혹은 복수 개의 더미 워드 라인이 더 형성될 수도 있다.The gate electrode 310 may include a GSL, a word line, and a SSL formed sequentially along the third direction. At this time, each GSL, word line, and SSL may be formed in one or a plurality of layers. In addition, one or more dummy word lines may be formed between the GSL and the word line and / or between the SSL and the word line.

예시적인 실시예들에 있어서, 상기 GSL은 1개의 층에 형성되고, 상기 SSL은 2개의 층에 형성되며, 상기 워드 라인은 상기 GSL 및 상기 SSL 사이에서 짝수 개의 층들에 형성될 수 있다. 이에 따라, 상기 GSL은 반도체 패턴(160)에 인접하여 형성될 수 있고, 상기 워드 라인 및 SSL은 채널(210)에 인접하여 형성될 수 있다. In exemplary embodiments, the GSL is formed in one layer, the SSL is formed in two layers, and the word line may be formed in even layers between the GSL and the SSL. Accordingly, the GSL may be formed adjacent to the semiconductor pattern 160, and the word line and the SSL may be formed adjacent to the channel 210.

한편, 상기 게이트 도전막 및 상기 게이트 배리어막을 부분적으로 제거할 때, 절연막 패턴들(115)의 표면, 기판(100) 상면, 캐핑막 패턴(230) 상면 및 제3 층간 절연막(240) 상면의 상기 제2 블로킹막 부분이 함께 제거될 수 있으며, 이에 따라 게이트 전극(310)의 상면, 저면 및 적어도 일 측벽을 감싸는 제2 블로킹막 패턴(270)이 형성될 수 있다. 제1 및 제2 블로킹막 패턴들(170, 270)은 함께 블로킹막 패턴 구조물을 형성할 수 있으며, 또한 터널 절연막 패턴(190), 전하 저장막 패턴(180), 및 상기 블로킹막 패턴 구조물은 함께 제2 전하 저장막 구조물(280)을 형성할 수 있다.On the other hand, when the gate conductive film and the gate barrier film are partially removed, the upper surface of the insulating film patterns 115, the upper surface of the substrate 100, the upper surface of the capping film pattern 230 and the upper surface of the third interlayer insulating film 240 The second blocking film portion may be removed together, thereby forming the second blocking film pattern 270 that covers the upper surface, the bottom surface, and at least one side wall of the gate electrode 310. The first and second blocking film patterns 170 and 270 can form a blocking film pattern structure together and the tunnel insulating film pattern 190, the charge storage film pattern 180, A second charge storage film structure 280 may be formed.

한편, 상기 게이트 도전막, 상기 게이트 배리어막 및 상기 제2 블로킹막이 부분적으로 제거됨에 따라, 기판(100) 상면을 노출시키며 상기 제1 방향으로 연장되는 개구(250)가 다시 형성될 수 있다.Meanwhile, as the gate conductive film, the gate barrier film, and the second blocking film are partially removed, the opening 250 exposing the upper surface of the substrate 100 and extending in the first direction may be formed again.

도 29 및 30을 참조하면, 상기 노출된 기판(100) 상부에 불순물을 주입하여 불순물 영역(105)을 형성할 수 있다. 예시적인 실시예들에 따르면, 상기 불순물은 인, 비소와 같은 n형 불순물을 포함할 수 있다. Referring to FIGS. 29 and 30, the impurity region 105 may be formed by implanting impurities on the exposed substrate 100. According to exemplary embodiments, the impurity may comprise an n-type impurity such as phosphorus, arsenic.

이후, 불순물 영역(105) 상면, 개구(250)의 측벽 및 제3 층간 절연막(240) 상면에 제2 스페이서 막을 형성한 후, 상기 제2 스페이서 막을 이방성 식각함으로써, 개구(250)의 측벽 상에 제2 스페이서(320)를 형성할 수 있으며, 이에 따라 기판(100) 상부에 형성된 불순물 영역(105)이 부분적으로 노출될 수 있다. 상기 제2 스페이서 막은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성할 수 있다.Thereafter, a second spacer film is formed on the upper surface of the impurity region 105, the side wall of the opening 250 and the upper surface of the third interlayer insulating film 240, and then the second spacer film is subjected to anisotropic etching, The second spacers 320 may be formed so that the impurity regions 105 formed on the substrate 100 may be partially exposed. The second spacer film may be formed to include an oxide such as, for example, silicon oxide.

노출된 불순물 영역(105) 상에 개구(250)의 나머지 부분을 채우는 공통 소스 라인(CSL)(330)을 형성한다. 예시적인 실시예들에 따르면, 개구(250)를 채우는 도전막을 노출된 불순물 영역(105), 제2 스페이서(320) 및 제3 층간 절연막(240) 상에 형성한 후, 제3 층간 절연막(240)의 상면이 노출될 때까지 상기 도전막 상부를 평탄화함으로써, 공통 소스 라인(CSL)(330)을 형성할 수 있다. 상기 도전막은 금속, 금속 질화물 및/또는 금속 실리사이드를 포함하도록 형성될 수 있다.Forming a common source line (CSL) 330 that fills the remaining portion of the opening 250 on the exposed impurity region 105. According to exemplary embodiments, a conductive film filling the opening 250 is formed on the exposed impurity region 105, the second spacer 320, and the third interlayer insulating film 240, and then the third interlayer insulating film 240 (CSL) 330 can be formed by planarizing the upper portion of the conductive film until the upper surface of the common source line (CSL) 330 is exposed. The conductive film may be formed to include a metal, a metal nitride, and / or a metal silicide.

도 31 및 32a를 참조하면, 제3 층간 절연막(240) 및 공통 소스 라인(CSL)(330) 상에 제4 층간 절연막(340)을 형성하고, 포토레지스트 패턴(도시되지 않음)을 사용하는 사진 식각 공정을 수행하여 제1 및 제2 콘택 홀들(350, 355)을 형성할 수 있다. 31 and 32A, a fourth interlayer insulating film 340 is formed on the third interlayer insulating film 240 and the common source line (CSL) 330, and a photo using a photoresist pattern (not shown) The first and second contact holes 350 and 355 may be formed by performing an etching process.

각 제1 콘택 홀들(350)은 제1 내지 제4 층간 절연막들(130, 140, 240, 340), 절연막 패턴(115), 제2 블로킹막 패턴(270), 및 게이트 배리어막 패턴(290)을 관통하여 게이트 도전 패턴(300)을 노출시키도록 형성될 수 있다. 즉, 각 제1 콘택 홀들(350)은 제1 내지 제4 층간 절연막들(130, 140, 240, 340), 상층의 계단들에 의해 커버되지 않은 각 계단들 부분에 형성된 절연막 패턴(115) 부분, 상기 절연막 패턴(115) 부분 하부의 제2 블로킹막 패턴(270), 및 게이트 배리어막 패턴(290)을 관통하여 게이트 도전 패턴(300)을 노출시킬 수 있다. 이때, 각 제1 콘택 홀들(350)은 게이트 도전 패턴(300) 상면에 형성된 게이트 배리어막 패턴(290) 부분을 관통하여 게이트 도전 패턴(300)을 노출시킬 수 있으며, 나아가 게이트 도전 패턴(300) 상부도 부분적으로 관통할 수 있다.Each of the first contact holes 350 includes first through fourth interlayer insulating films 130 140 and 240 340, an insulating film pattern 115, a second blocking film pattern 270, and a gate barrier film pattern 290, So as to expose the gate conductive pattern 300. That is, each of the first contact holes 350 includes the first to fourth interlayer insulating films 130, 140, 240, and 340, a portion of the insulating film pattern 115 formed on each step portion that is not covered by the upper step, The second blocking film pattern 270 under the insulating film pattern 115 and the gate barrier film pattern 290 to expose the gate conductive pattern 300. [ Each of the first contact holes 350 may expose the gate conductive pattern 300 through the gate barrier film pattern 290 formed on the upper surface of the gate conductive pattern 300, The upper part can also partially penetrate.

하지만, 본 발명의 개념은 반드시 이에 한정되지는 않으며, 각 제1 콘택 홀들(350)이 게이트 전극(310)을 적어도 부분적으로 노출시키도록 형성되는 경우라면 모두 본 발명의 범위에 포함될 수 있다. 즉, 일 실시예에 있어서, 각 제1 콘택 홀들(350)은 게이트 도전 패턴(300) 상면에 형성된 게이트 배리어막 패턴(290) 부분은 관통하지 않고 그 상면만을 노출시키거나, 혹은 상기 게이트 배리어막 패턴(290) 부분을 부분적으로만 관통하여 게이트 도전 패턴(300)을 노출시키지 않을 수 있다. 다른 실시예에 있어서, 각 제1 콘택 홀들(350)은 게이트 도전 패턴(300) 상면에 형성된 게이트 배리어막 패턴(290) 부분 및 게이트 도전 패턴(300)을 관통하여, 게이트 도전 패턴(300) 저면에 형성된 게이트 배리어막 패턴(290) 부분을 노출시키거나, 혹은 이를 부분적으로 관통할 수 있다. 또 다른 실시예에 있어서, 각 제1 콘택 홀들(350)은 게이트 도전 패턴(300), 게이트 도전 패턴(300) 상면 및 저면에 형성된 게이트 배리어막 패턴(290) 부분들을 모두 관통할 수 있으며, 이에 따라 그 저면이 제2 블로킹막 패턴(270) 내부 혹은 그 하부의 절연막 패턴(115) 내부에 위치할 수도 있다.However, the concept of the present invention is not necessarily limited to this, and all of the first contact holes 350 may be included in the scope of the present invention if they are formed to at least partially expose the gate electrode 310. That is, in one embodiment, each first contact hole 350 does not penetrate the portion of the gate barrier film pattern 290 formed on the upper surface of the gate conductive pattern 300, but expose only the upper surface thereof, The gate conductive pattern 300 may not be exposed by partially penetrating the pattern 290 portion. Each of the first contact holes 350 penetrates through the gate conductive pattern 300 and the portion of the gate conductive film pattern 290 formed on the upper surface of the gate conductive pattern 300, Or may partially penetrate the portion of the gate barrier film pattern 290 that is formed on the gate insulating film pattern 290. In yet another embodiment, each first contact hole 350 may pass through both the gate conductive pattern 300, the top surface of the gate conductive pattern 300, and the gate barrier film pattern 290 portions formed on the bottom surface, The bottom face may be located inside the insulating film pattern 115 inside or below the second blocking film pattern 270.

다만, 최상층 계단에 형성된 게이트 전극(310)을 노출시키는 제1 콘택 홀(350)은 제1 층간 절연막(130)은 관통하지 않을 수 있다.However, the first contact hole 350 for exposing the gate electrode 310 formed in the uppermost step may not pass through the first interlayer insulating film 130.

각 제1 콘택 홀들(350)은 상층 계단들에 의해 커버되지 않는 각 계단들 부분에 형성될 수 있다. 예시적인 실시예들에 있어서, 제1 콘택 홀들(350)은 상기 제1 방향을 따라 일정한 간격으로 형성될 수 있다. 일 실시예에 있어서, 제1 콘택 홀들(350)은 상부에서 보았을 때, 상기 각 채널 블록 내에서 하나의 채널 열, 예를 들어, 제2 채널 열과 동일 선상에 배열되도록 형성될 수 있다. 다른 실시예에 있어서, 제1 콘택 홀들(350)은 상부에서 보았을 때, 상기 각 채널 블록 내에서 상기 제2 방향으로의 가운데 위치에서 상기 제1 방향을 따라 배열되도록 형성될 수 있다. 즉, 제1 콘택 홀들(350)은 하나의 채널 블록 내에서 상기 제2 방향으로의 임의의 위치에서 상기 제1 방향을 따라 배열되도록 형성될 수 있다.Each of the first contact holes 350 may be formed at each step portion that is not covered by the upper step steps. In the exemplary embodiments, the first contact holes 350 may be formed at regular intervals along the first direction. In one embodiment, the first contact holes 350 may be formed so as to be aligned with one channel column, for example, the second channel column, in each channel block when viewed from above. In another embodiment, the first contact holes 350 may be formed to be arranged along the first direction at a center position in the second direction within the respective channel blocks when viewed from above. That is, the first contact holes 350 may be formed to be arranged along the first direction at an arbitrary position in the second direction within one channel block.

이와는 달리, 제1 콘택 홀들(350)은 상기 제1 방향을 따라 지그재그 형상으로 형성될 수도 있다. Alternatively, the first contact holes 350 may be formed in a zigzag shape along the first direction.

일 실시예에 있어서, 제2 콘택 홀(355)은 제1 내지 제4 층간 절연막들(130, 140, 240, 340), 절연막 패턴(115), 제2 블로킹막 패턴(270), 및 게이트 배리어막 패턴(290)을 관통하여, 상기 제1 계단에 형성된 게이트 도전 패턴(300)을 노출시키도록 형성될 수 있다. 하지만, 본 발명의 개념은 반드시 이에 한정되지는 않는다. 즉, 제2 콘택 홀(355)은 제1 콘택 홀(350)과 유사하게, 게이트 도전 패턴(300) 상면에 형성된 게이트 배리어막 패턴(290) 부분의 상면을 노출시키거나 그 일부를 관통할 수도 있고, 게이트 도전 패턴(300)을 관통하여 그 저면에 형성된 게이트 배리어막 패턴(290) 부분을 노출시키거나 부분적으로 관통할 수도 있으며, 나아가 게이트 도전 패턴(300), 및 그 상면 및 저면에 형성된 게이트 배리어막 패턴(290) 부분들을 모두 관통하여, 그 저면이 제2 블로킹막 패턴(270) 내부 혹은 그 하부의 절연막 패턴(115) 내부에 위치할 수도 있다.In one embodiment, the second contact hole 355 includes first to fourth interlayer insulating films 130, 140, 240 and 340, an insulating film pattern 115, a second blocking film pattern 270, May be formed to penetrate the film pattern 290 and expose the gate conductive pattern 300 formed in the first step. However, the concept of the present invention is not necessarily limited to this. That is, the second contact hole 355 may expose the upper surface of the gate barrier film pattern 290 formed on the upper surface of the gate conductive pattern 300, or may penetrate a portion thereof, similarly to the first contact hole 350 And may expose or partially penetrate the portion of the gate barrier film pattern 290 formed on the bottom surface of the gate conductive pattern 300. The gate conductive pattern 300 may include a gate conductive pattern 300 and a gate formed on the top and bottom surfaces thereof. The bottom of the barrier film pattern 290 may be entirely penetrated and the bottom of the barrier film pattern 290 may be located in the insulating film pattern 115 inside or below the second blocking film pattern 270.

하지만, 제1 콘택 홀들(350)과는 달리, 제2 콘택 홀(355)은 게이트 전극(310)을 노출시키지 않을 수도 있다. 즉, 도 32b를 참조하면, 제2 콘택 홀(355)은 게이트 전극(310) 상면에 형성된 제2 블로킹막 패턴(270) 부분의 상면 혹은 내부 일부만을 부분적으로 노출시키고 게이트 전극(310)은 노출시키지 않을 수 있으며, 나아가 그 저면이 상부의 절연막 패턴(115) 내에 위치하여 제2 블로킹막 패턴(270)을 노출시키지 않을 수도 있다.However, unlike the first contact holes 350, the second contact hole 355 may not expose the gate electrode 310. 32B, the second contact hole 355 partially exposes only the upper surface or the inner part of the portion of the second blocking film pattern 270 formed on the upper surface of the gate electrode 310, and the gate electrode 310 is exposed The bottom surface of the second insulating film pattern 115 may be located in the upper insulating film pattern 115 and may not expose the second blocking film pattern 270.

예시적인 실시예들에 있어서, 제2 콘택 홀(355)은 상기 제1 계단에 형성된 제1 콘택 홀(350)에 인접하도록 상기 제1 계단에 형성될 수 있으며, 제1 콘택 홀들(350)이 상기 제1 방향을 따라 서로 이격된 간격과 동일하게 상기 제1 계단에 형성된 제1 콘택 홀(350)로부터 상기 제1 방향으로 이격되도록 형성될 수 있다. 즉, 제1 및 제2 콘택 홀들(350, 355)은 전체적으로 상기 제1 방향을 따라 동일 선상에서 일정한 간격으로 형성될 수 있다. 이와는 달리, 제1 콘택 홀들(350)이 상기 제1 방향을 따라 지그재그 형상으로 형성되는 경우에는, 제1 및 제2 콘택 홀들(350, 355)도 전체적으로 상기 제1 방향을 따라 지그재그 형상으로 형성될 수 있다.In exemplary embodiments, a second contact hole 355 may be formed in the first step adjacent to the first contact hole 350 formed in the first step, and the first contact holes 350 may be formed in the first step, And may be spaced apart from the first contact holes 350 formed in the first step in the first direction in the same manner as the spaced apart intervals along the first direction. That is, the first and second contact holes 350 and 355 may be formed at regular intervals in the same direction along the first direction as a whole. Alternatively, when the first contact holes 350 are formed in a zigzag shape along the first direction, the first and second contact holes 350 and 355 may be formed in a zigzag shape along the first direction as a whole .

제1 콘택 홀들(350)은 내부에 홀들을 갖는 포토레지스트 패턴을 형성하고, 상기 포토레지스트 패턴을 식각 마스크로 사용하여 하부의 막들을 식각함으로써 형성될 수 있다. 그런데, 형성하고자 하는 패턴들의 밀도 차이에 의해서, 가장자리에 형성되는 패턴들은 가운데에 형성되는 패턴들과 동일한 크기 및/또는 형상으로 형성되지 않을 수 있으며, 이는 패턴 로딩(pattern loading) 현상으로 알려져 있다. 즉, 상기 포토레지스트 패턴에 상기 홀들을 형성할 때, 가장자리에 형성되는 홀들은 가운데에 형성되는 홀들과 다른 크기 및/또는 형상으로, 예를 들어 이들보다 작은 크기로 형성될 수 있다. The first contact holes 350 may be formed by forming a photoresist pattern having holes therein and etching the underlying films using the photoresist pattern as an etching mask. However, due to the difference in density of the patterns to be formed, the patterns formed on the edges may not be formed in the same size and / or shape as the patterns formed in the center, which is known as pattern loading phenomenon. That is, when forming the holes in the photoresist pattern, the holes formed at the edges may have different sizes and / or shapes from the holes formed at the center, for example, smaller than the holes.

또한, 상기 홀들이 형성된 상기 포토레지스트 패턴을 식각 마스크로 사용하여 제1 내지 제4 층간 절연막들(130, 140, 240, 340)을 식각함으로써 각 계단들을 부분적으로 관통하도록 제1 콘택 홀들(350)을 형성할 때, 상기 계단들의 높이 차이에 따라 제1 콘택 홀들(350) 사이에도 깊이 차이가 발생하며, 이에 따라, 예를 들어, 최하층 계단을 부분적으로 관통하는 제1 콘택 홀(350)은 나머지 층에 형성된 계단들을 부분적으로 관통하는 제1 콘택 홀들(350)에 비해 원하는 깊이 및/또는 폭을 갖도록 형성되기 어려울 수 있다.The first to fourth interlayer insulating films 130, 140, 240, and 340 are etched using the photoresist pattern having the holes as an etch mask to form first contact holes 350 so as to partly penetrate each step, The first contact holes 350 partially penetrating the lowest step are formed in the first contact holes 350 and the second contact holes 350 in the second contact holes 350. Therefore, It may be difficult to have a desired depth and / or width compared to the first contact holes 350 partially penetrating the steps formed in the layer.

따라서 제2 콘택 홀(355)을 형성하지 않고 제1 콘택 홀들(350)만을 형성할 경우에는, 상기 제1 방향을 따라 가장자리에 형성되는 제1 콘택 홀들(350), 즉 최상층 계단 및 최하층 계단에 형성되는 제1 콘택 홀들(350)은 패턴 로딩 현상에 의해 원하는 깊이 및/또는 폭으로 형성되지 않을 수 있으며, 특히 가장 깊이 형성되는 최하층 계단에 형성되는 제1 콘택 홀(350)은 원하는 만큼의 깊이 및/또는 폭을 갖도록 형성되기 어려울 수 있다.Therefore, when only the first contact holes 350 are formed without forming the second contact holes 355, the first contact holes 350 formed at the edges along the first direction, that is, the uppermost step and the lowermost step The first contact holes 350 formed may be formed to have a desired depth and / or width by the pattern loading phenomenon. In particular, the first contact holes 350 formed at the lowermost step, And / or a width.

하지만, 예시적인 실시예들에 있어서, 상기 최하층 계단에 형성되는 제1 콘택 홀(350)에 인접하도록 제2 콘택 홀(355)을 더 형성하여 패턴 로딩 현상을 방지함으로써, 상기 최하층 계단에 형성되는 제1 콘택 홀(350)이 원하는 깊이 및/또는 폭을 갖도록 할 수 있다. 이에 따라, 제1 콘택 홀(350)에 더하여 제2 콘택 홀(355)이 형성되는 상기 최하층 계단, 즉 상기 제1 계단은 도 16 및 17을 참조로 설명한 바와 같이 상기 제1 방향을 따라 상대적으로 큰 길이를 갖도록 형성될 수 있다. 물론, 제1 콘택 홀(350)은 상기 최하층 계단에 대신하거나 혹은 이에 더하여 상기 최상층 계단에 형성될 수도 있다. 다만, 제2 콘택 홀(355)은 패턴 로딩 현상이나 깊이 차이에 의해서 제1 콘택 홀들(350)과는 다른 크기 및/또는 형상을 갖도록 형성될 수도 있다.However, in exemplary embodiments, a second contact hole 355 may be formed adjacent to the first contact hole 350 formed in the lowest step to prevent the pattern loading phenomenon, The first contact hole 350 may have a desired depth and / or width. Accordingly, the lowest step, that is, the first step, in which the second contact hole 355 is formed in addition to the first contact hole 350, can be formed in a relatively short distance along the first direction as described with reference to FIGS. And can be formed to have a large length. Of course, the first contact hole 350 may be formed in the uppermost step in place of or in addition to the lowest step. However, the second contact hole 355 may be formed to have a size and / or shape different from that of the first contact holes 350 due to the pattern loading phenomenon or the depth difference.

한편, 실제 식각 공정 시 공정 순서나 공정 조건에 따라서, 상기 최하층 계단 혹은 상기 최상층 계단에 형성되는 제1 콘택 홀(350)뿐만 아니라, 가운데 층 계단들에 형성되는 제1 콘택 홀들(350)도 원하는 깊이 및/또는 폭을 갖지 못할 수도 있으며, 이를 방지하기 위하여 제2 콘택 홀(355)이 상기 가운데 층 계단들에 더 형성될 수도 있다. 예를 들어, 제1 콘택 홀들(350)을 한꺼번에 형성하지 않고 상층 계단들 및 하층 계단들로 나누어서 형성하는 경우에는, 최하층 계단이나 최상층 계단뿐만 아니라 가운데 층 계단에 형성되는 제1 콘택 홀(350)도 원하는 깊이 및/또는 폭을 가지 못할 수 있으며, 이에 따라 상기 가운데 층 계단에도 제2 콘택 홀(355)을 형성할 수 있다. 다만, 이 경우에는 일 실시예에 있어서, 하층 계단들에 형성되는 제1 및 제2 콘택 홀들(350, 355)을 채우도록 후술하는 제1 및 제2 콘택 플러그들(380, 385)을 형성한 후, 이들을 커버하는 별도의 층간 절연막(도시되지 않음)을 형성하고, 다시 상층 계단들에 형성되는 제1 및 제2 콘택 홀들(350, 355)을 채우도록 제1 및 제2 콘택 플러그들(380, 385)을 형성할 수도 있다.Meanwhile, the first contact holes 350 formed in the middle layer steps as well as the first contact holes 350 formed in the lowest step or the uppermost step may be formed in accordance with a process order or a process condition in the actual etching process. Depth and / or width, and a second contact hole 355 may be further formed in the middle layer steps to prevent this. For example, when the first contact holes 350 are formed without dividing into the upper and lower stepped portions, the first contact holes 350 formed at the middle layer step, as well as the lowest step or the uppermost step, May not have a desired depth and / or width, and thus the second contact hole 355 may be formed in the middle layer step. However, in this case, in one embodiment, the first and second contact plugs 380 and 385, which will be described later, are formed to fill the first and second contact holes 350 and 355 formed in the lower layer steps The first and second contact plugs 380 and 380 are formed so as to form a separate interlayer insulating film (not shown) covering them, and to fill the first and second contact holes 350 and 355 formed in the upper step steps, , And 385 may be formed.

즉, 상대적으로 큰 길이를 갖는 상기 제1 계단은 최하층 계단뿐만 아니라 가운데층 계단을 포함할 수도 있고 또한 복수 개로 형성될 수도 있으며, 상기 각 제1 계단들에는 제2 콘택 홀(355)이 형성될 수 있다. 예시적인 실시예들에 있어서, 상기 각 제1 계단들에는 하나의 제2 콘택 홀(355)뿐만 아니라, 복수 개의 제2 콘택 홀들(355)이 형성될 수도 있다. That is, the first step having a relatively large length may include not only the lowest step but also a middle layer step or a plurality of steps, and the second contact holes 355 are formed in the first steps . In the exemplary embodiments, a plurality of second contact holes 355 may be formed in each of the first steps as well as one second contact hole 355.

다만 이하에서는 설명의 편의를 위해서, 상기 제1 계단은 상기 최하층 계단이고, 상기 제1 계단에는 하나의 제2 콘택 홀(355)이 형성되는 경우만을 설명하기로 한다.Hereinafter, for convenience of explanation, only the case where the first step has the lowest step and the second step has a second contact hole 355 will be described.

도 33 및 34를 참조하면, 제1 및 제2 콘택 홀들(350, 355)을 각각 채우는 제1 및 제2 콘택 플러그들(380, 385)을 형성한다.Referring to FIGS. 33 and 34, first and second contact plugs 380 and 385 are formed to fill the first and second contact holes 350 and 355, respectively.

예시적인 실시예들에 있어서, 제1 및 제2 콘택 플러그들(380, 385)은 제1 및 제2 콘택 홀들(350, 355)에 의해 노출된 게이트 전극(310) 부분, 제1 및 제2 콘택 홀들(350, 355)의 내벽, 및 제4 층간 절연막(340) 상면에 제1 배리어막을 형성하고, 상기 제1 배리어막 상에 제1 및 제2 콘택 홀들(350, 355)의 나머지 부분을 채우는 제1 도전막을 형성한 후, 제4 층간 절연막(340) 상면이 노출될 때까지 상기 제1 도전막 및 상기 제1 배리어막을 평탄화함으로써 형성될 수 있다.In the exemplary embodiments, the first and second contact plugs 380 and 385 include portions of the gate electrode 310 exposed by the first and second contact holes 350 and 355, A first barrier film is formed on the inner walls of the contact holes 350 and 355 and on the fourth interlayer insulating film 340 and the remaining portions of the first and second contact holes 350 and 355 are formed on the first barrier film The first conductive film and the first barrier film may be planarized until the upper surface of the fourth interlayer insulating film 340 is exposed after the first conductive film is formed.

이때, 상기 제1 도전막은 예를 들어, 텅스텐, 티타늄, 탄탈륨 등의 금속을 포함하도록 형성될 수 있으며, 상기 제1 배리어막은 티타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물 등의 금속 질화물을 포함하도록 형성될 수 있다. 이와는 달리, 상기 제1 배리어막은 금속막 및 금속 질화막으로 구성된 다층막으로 형성될 수도 있다.The first conductive layer may be formed to include a metal such as tungsten, titanium, or tantalum, and the first barrier layer may be formed to include a metal nitride such as titanium nitride, tantalum nitride, tungsten nitride, or the like. have. Alternatively, the first barrier film may be formed of a multilayer film composed of a metal film and a metal nitride film.

각 제1 콘택 홀들(350)을 채우는 제1 콘택 플러그(380)는 제1 도전 패턴(370), 및 이의 저면 및 측벽을 감싸는 제1 배리어막 패턴(360)을 포함하도록 형성될 수 있으며, 제2 콘택 홀(355)을 채우는 제2 콘택 플러그(385)는 제2 도전 패턴(375), 및 이의 저면 및 측벽을 감싸는 제2 배리어막 패턴(365)을 포함하도록 형성될 수 있다.A first contact plug 380 filling each first contact hole 350 may be formed to include a first conductive pattern 370 and a first barrier layer pattern 360 surrounding the bottom and side walls of the first conductive pattern 370, A second contact plug 385 filling the second contact hole 355 may be formed to include a second conductive pattern 375 and a second barrier film pattern 365 surrounding the bottom and side walls thereof.

한편, 제1 및 제2 콘택 홀들(350, 355)의 배열 형상에 따라, 제1 및 제2 콘택 플러그들(380, 385)도 동일하게 배열될 수 있다. 예시적인 실시예들에 있어서, 제1 및 제2 콘택 플러그들(380, 385)은 그 저면의 높이는 다를 수 있으나, 그 상면의 높이는 실질적으로 동일할 수 있다.On the other hand, depending on the arrangement shape of the first and second contact holes 350 and 355, the first and second contact plugs 380 and 385 may be similarly arranged. In exemplary embodiments, the height of the bottom surface of the first and second contact plugs 380 and 385 may be different, but the height of the top surface thereof may be substantially the same.

도 35 및 36을 참조하면, 제4 층간 절연막(340), 및 제1 및 제2 콘택 플러그들(380, 385) 상면에 제5 층간 절연막(390)을 형성한 후, 제5 층간 절연막(390)을 관통하여 제1 및 제2 콘택 플러그들(380, 385) 상면에 접촉하는 제1 및 제2 배선들(420, 425)을 형성한다.35 and 36, after a fifth interlayer insulating film 390 is formed on the fourth interlayer insulating film 340 and the first and second contact plugs 380 and 385, a fifth interlayer insulating film 390 And the first and second wirings 420 and 425 are formed to contact the upper surfaces of the first and second contact plugs 380 and 385.

제5 층간 절연막(390)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성될 수 있으며, 이에 따라 제4 층간 절연막(340)에 병합될 수도 있다.The fifth interlayer insulating film 390 may be formed to include an oxide such as, for example, silicon oxide, and may be incorporated into the fourth interlayer insulating film 340. [

제1 및 제2 배선들(420, 425)은 제5 층간 절연막(390)을 관통하여 제1 및 제2 콘택 플러그들(380, 385) 상면을 노출시키는 제1 및 제2 개구들(도시되지 않음)을 형성하고, 상기 노출된 제1 및 제2 콘택 플러그들(380, 385) 상면, 상기 제1 및 제2 개구들의 내벽, 및 제5 층간 절연막(390) 상에 제3 배리어막을 형성한 후, 상기 제1 및 제2 개구들의 나머지 부분을 채우는 제3 도전막을 상기 제3 배리어막 상에 형성하고, 제5 층간 절연막(390) 상면이 노출될 때까지 상기 제3 도전막 및 상기 제3 배리어막을 평탄화함으로써 형성될 수 있다.The first and second wirings 420 and 425 may include first and second openings (not shown) that penetrate the fifth interlayer insulating film 390 to expose the upper surfaces of the first and second contact plugs 380 and 385 And forming a third barrier film on the exposed upper surfaces of the first and second contact plugs 380 and 385, the inner wall of the first and second openings, and the fifth interlayer insulating film 390 A third conductive film is formed on the third barrier film to fill the remaining portions of the first and second openings and the third conductive film and the third conductive film are formed on the third interlayer insulating film until the upper surface of the fifth interlayer insulating film 390 is exposed. And may be formed by planarizing the barrier film.

이때, 상기 제3 도전막은 예를 들어, 구리, 알루미늄, 텅스텐, 티타늄, 탄탈륨 등의 금속을 포함하도록 형성될 수 있으며, 상기 제3 배리어막은 티타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물 등의 금속 질화물을 포함하도록 형성될 수 있다. 이와는 달리, 상기 제3 배리어막은 금속막 및 금속 질화막으로 구성된 다층막으로 형성될 수도 있다.The third conductive layer may include a metal such as copper, aluminum, tungsten, titanium, or tantalum, and the third barrier layer may include a metal nitride such as titanium nitride, tantalum nitride, tungsten nitride, or the like. . Alternatively, the third barrier film may be formed of a multilayer film composed of a metal film and a metal nitride film.

상기 제1 개구를 채우는 제1 배선(420)은 제3 도전 패턴(410), 및 이의 저면 및 측벽을 감싸는 제3 배리어막 패턴(400)을 포함하도록 형성될 수 있으며, 상기 제2 개구를 채우는 제2 배선(425)은 제4 도전 패턴(415), 및 이의 저면 및 측벽을 감싸는 제4 배리어막 패턴(405)을 포함하도록 형성될 수 있다.The first wiring 420 filling the first opening may be formed to include a third conductive pattern 410 and a third barrier film pattern 400 surrounding the bottom and side walls of the third conductive pattern 410, The second wiring 425 may be formed to include a fourth conductive pattern 415 and a fourth barrier film pattern 405 surrounding the bottom and side walls of the fourth conductive pattern 415.

예시적인 실시예들에 있어서, 제1 배선(420)은 상기 제2 방향으로 연장될 수 있으며, 상기 제1 방향을 따라 복수 개로 형성될 수 있다. 또한, 제2 배선(425)은 상기 제1 방향으로 연장될 수 있다. 이때, 각 제1 배선들(420)은 제1 콘택 플러그(380) 상면에 접촉하도록 형성될 수 있으며, 제2 배선(425)은 상기 제1 계단에 형성된 제1 및 제2 콘택 플러그들(380, 385) 상면들에 접촉하도록 형성될 수 있다.In the exemplary embodiments, the first wirings 420 may extend in the second direction, and may be formed in plural along the first direction. Also, the second wiring 425 may extend in the first direction. At this time, the first wirings 420 may be formed to contact the upper surface of the first contact plug 380, and the second wirings 425 may be formed to contact the first and second contact plugs 380 And 385, respectively.

이와는 달리, 제2 배선(425)은 상기 제1 방향으로 연장되는 제1 부분, 및 상기 제2 방향으로 연장되는 제2 부분을 포함하도록 형성될 수도 있으며, 이 경우에 제2 배선(425)은 상기 제1 계단에 형성된 제1 콘택 플러그(380) 상면에만 접촉하고 제2 콘택 플러그(385) 상면에는 접촉하지 않도록 형성될 수 있다. 혹은, 제1 및 제2 콘택 플러그들(380, 385)이 상기 제1 방향을 따라 지그재그 형상으로 형성된 경우, 제2 배선(425)은 상기 제1 방향으로 연장되되, 상기 제1 계단에 형성된 제1 콘택 플러그(380) 상면에만 접촉하고 제2 콘택 플러그(385) 상면에는 접촉하지 않도록 형성될 수도 있다. 이와 같이, 제2 배선(425)에 연결되지 않는 제2 콘택 플러그(385)에는 아무런 전기적 신호가 인가되지 않을 수 있으며, 이에 따라 더미 콘택 플러그로 지칭될 수 있다.Alternatively, the second wiring 425 may be formed to include a first portion extending in the first direction and a second portion extending in the second direction, in which case the second wiring 425 And may be formed so as to contact only the upper surface of the first contact plug 380 formed at the first step and not contact the upper surface of the second contact plug 385. Alternatively, when the first and second contact plugs 380 and 385 are formed in a zigzag shape along the first direction, the second wiring 425 extends in the first direction, 1 contact plug 380 and not on the upper surface of the second contact plug 385. [ As such, no electrical signal may be applied to the second contact plug 385 that is not connected to the second wiring 425, and thus may be referred to as a dummy contact plug.

한편, 각 제1 배선들(420)은 상기 제2 방향을 따라 복수 개로 형성된 채널 블록들 중 일부에 형성된 제1 콘택 플러그들(380) 상면에 접촉하도록 상기 제2 방향으로 연장될 수 있다. 일 실시예에 있어서, 각 제1 배선들(420)은 상기 제2 방향으로 연장되어, 상기 제2 방향으로 서로 인접하는 4개의 채널 블록들에 형성된 제1 콘택 플러그들(380) 상면에 접촉할 수 있다. 제2 배선(425)은 상기 제1 방향으로 연장되어 제2 영역(II, 도 1 참조)에 형성된 배선(도시되지 않음)과 연결될 수 있으며, 이에 따라 전기적 신호가 인가될 수 있다.Each of the first wirings 420 may extend in the second direction so as to contact the upper surface of the first contact plugs 380 formed on a part of the plurality of channel blocks formed along the second direction. In one embodiment, each of the first wirings 420 extends in the second direction and contacts the upper surface of the first contact plugs 380 formed on the four channel blocks adjacent to each other in the second direction . The second wiring 425 may extend in the first direction and may be connected to a wiring (not shown) formed in the second region II (see FIG. 1), so that an electrical signal can be applied.

도 37 내지 도 41을 참조하면, 제5 층간 절연막(390), 및 제1 및 제2 배선들(420, 425) 상에 제6 층간 절연막(430)을 형성한 후, 제1 내지 제4 비아들(vias)(490, 495, 550, 555), 제3 및 제4 배선들(460, 465), 및 제1 및 제2 연결 배선들(520, 525)을 형성한다.37 to 41, a sixth interlayer insulating film 430 is formed on the fifth interlayer insulating film 390 and the first and second wirings 420 and 425, 495, 550 and 555, third and fourth wirings 460 and 465 and first and second connection wirings 520 and 525 are formed.

제6 층간 절연막(430)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성될 수 있으며, 이에 따라 제5 층간 절연막(390)에 병합될 수도 있다.The sixth interlayer insulating film 430 may be formed to include an oxide such as silicon oxide, for example, and may be incorporated into the fifth interlayer insulating film 390. [

제3 및 제4 배선들(460, 465), 및 제1 및 제2 비아들(490, 495)은 제6 층간 절연막(430) 상부를 제거하여 제1 및 제2 트렌치들(도시되지 않음)을 형성하고, 상기 제1 및 제2 트렌치들에 각각 연통하면서 제1 및 제2 배선들(420, 425) 상면을 각각 노출시키는 제1 및 제2 비아 홀들(도시되지 않음)을 형성한 후, 상기 노출된 제1 및 제2 배선들(420, 425) 상면, 상기 제1 및 제2 비아 홀들의 내벽, 상기 제1 및 제2 트렌치들의 내벽, 및 제6 층간 절연막(430) 상에 제5 배리어막을 형성하고, 상기 제1 및 제2 비아 홀들 및 상기 제1 및 제2 트렌치들의 나머지 부분을 채우는 제5 도전막을 상기 제5 배리어막 상에 형성한 후, 제6 층간 절연막(430) 상면이 노출될 때까지 상기 제5 도전막 및 상기 제5 배리어막을 평탄화함으로써 형성될 수 있다. 다만, 상기 제1 및 제2 트렌치들을 형성하기 이전에, 상기 제1 및 제2 비아 홀들을 먼저 형성할 수도 있다.The third and fourth wirings 460 and 465 and the first and second vias 490 and 495 are formed by removing the upper portion of the sixth interlayer insulating film 430 to form first and second trenches Holes (not shown) are formed to expose the upper surfaces of the first and second wirings 420 and 425 while respectively communicating with the first and second trenches. Then, A fifth interlayer insulating film 430 is formed on the upper surfaces of the exposed first and second wirings 420 and 425, the inner walls of the first and second via holes, the inner walls of the first and second trenches, A fifth conductive film is formed on the fifth barrier film to fill the first and second via-holes and the remaining portions of the first and second trenches, and then the upper surface of the sixth interlayer insulating film 430 And then planarizing the fifth conductive film and the fifth barrier film until exposed. However, before forming the first and second trenches, the first and second via-holes may be formed first.

이때, 상기 제5 도전막은 예를 들어, 구리, 알루미늄, 텅스텐, 티타늄, 탄탈륨 등의 금속을 포함하도록 형성될 수 있으며, 상기 제5 배리어막은 티타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물 등의 금속 질화물을 포함하도록 형성될 수 있다. 이와는 달리, 상기 제5 배리어막은 금속막 및 금속 질화막으로 구성된 다층막으로 형성될 수도 있다.The fifth conductive layer may include a metal such as copper, aluminum, tungsten, titanium, or tantalum, and the fifth barrier layer may include a metal nitride such as titanium nitride, tantalum nitride, tungsten nitride, or the like. . Alternatively, the fifth barrier film may be formed of a multilayer film composed of a metal film and a metal nitride film.

상기 제1 트렌치를 채우는 제3 배선(460)은 제5 도전 패턴(450), 및 이의 측벽 및 저면 일부를 감싸는 제5 배리어막 패턴(440)을 포함하도록 형성될 수 있으며, 상기 제2 트렌치를 채우는 제4 배선(465)은 제6 도전 패턴(455), 및 이의 측벽 및 저면 일부를 감싸는 제6 배리어막 패턴(445)을 포함하도록 형성될 수 있다. 또한, 상기 제1 비아 홀을 채우는 제1 비아(490)는 제7 도전 패턴(480), 및 이의 저면 및 측벽을 감싸는 제7 배리어막 패턴(470)을 포함하도록 형성될 수 있으며, 상기 제2 비아 홀을 채우는 제2 비아(495)는 제8 도전 패턴(485), 및 이의 저면 및 측벽을 감싸는 제8 배리어막 패턴(475)을 포함하도록 형성될 수 있다. 다만, 순차적으로 적층된 제1 비아(490) 및 제3 배선(460)은 일체적으로 형성될 수 있으며, 또한, 순차적으로 적층된 제2 비아(495) 및 제4 배선(465) 역시 일체적으로 형성될 수 있다. The third wiring 460 filling the first trench may be formed to include a fifth conductive pattern 450 and a fifth barrier film pattern 440 surrounding the side wall and a part of the bottom surface of the fifth conductive pattern 450, The filling fourth wiring 465 may be formed to include a sixth conductive pattern 455 and a sixth barrier film pattern 445 surrounding a part of the side wall and the bottom. The first via 490 filling the first via hole may be formed to include a seventh conductive pattern 480 and a seventh barrier film pattern 470 surrounding the bottom and side walls of the seventh conductive pattern 480, The second via 495 filling the via hole may be formed to include an eighth conductive pattern 485 and an eighth barrier film pattern 475 surrounding the bottom and sidewalls thereof. The sequentially stacked first vias 490 and the third wirings 460 may be integrally formed, and the second vias 495 and the fourth wirings 465 sequentially stacked may also be integrally formed As shown in FIG.

예시적인 실시예들에 있어서, 제3 배선(460)은 상기 제2 방향으로 연장될 수 있으며, 상기 제1 방향을 따라 복수 개로 형성될 수 있다. 또한, 제4 배선(465)은 상기 제1 방향으로 연장될 수 있다. 이때, 제3 배선들(460)은 제1 비아(490)를 통해 제1 배선들(420)에 각각 전기적으로 연결될 수 있으며, 제4 배선들(465)은 제2 비아(495)를 통해 제1 배선들(420)에 각각 전기적으로 연결될 수 있다.In the exemplary embodiments, the third wiring 460 may extend in the second direction, and may be formed along the first direction. Further, the fourth wiring 465 may extend in the first direction. The third wirings 460 may be electrically connected to the first wirings 420 through the first vias 490 and the fourth wirings 465 may be electrically connected to the first wirings 420 through the second vias 495. [ 1 wirings 420, respectively.

일 실시예에 있어서, 각 제3 배선들(460)은 상기 제2 방향으로 연장되어, 상기 제2 방향으로 서로 인접하는 4개의 채널 블록들 상에 형성될 수 있다. 제4 배선(465)은 상기 제1 방향으로 연장되어 제2 영역(II, 도 1 참조)에 형성된 배선(도시되지 않음)과 연결될 수 있으며, 이에 따라 전기적 신호가 인가될 수 있다.In one embodiment, each of the third wires 460 may extend in the second direction and may be formed on four adjacent channel blocks in the second direction. The fourth wiring 465 may extend in the first direction and may be connected to a wiring (not shown) formed in the second region II (see FIG. 1), so that an electrical signal can be applied.

제1 및 제2 연결 배선들(520, 525), 및 제3 및 제4 비아들(550, 555)은 제6 층간 절연막(430) 상부를 제거하여 제3 및 제4 트렌치들(도시되지 않음)을 형성하고, 상기 제3 및 제4 트렌치들에 각각 연통하면서 캐핑막 패턴들(230) 상면을 각각 노출시키는 제3 및 제4 비아 홀들(도시되지 않음)을 형성한 후, 상기 노출된 캐핑막 패턴들(230) 상면, 상기 제3 및 제4 비아 홀들의 내벽, 상기 제3 및 제4 트렌치들의 내벽, 및 제6 층간 절연막(430) 상에 제9 배리어막을 형성하고, 상기 제3 및 제4 비아 홀들 및 상기 제3 및 제4 트렌치들의 나머지 부분을 채우는 제9 도전막을 상기 제9 배리어막 상에 형성한 후, 제6 층간 절연막(430) 상면이 노출될 때까지 상기 제9 도전막 및 상기 제9 배리어막을 평탄화함으로써 형성될 수 있다. 다만, 상기 제3 및 제4 트렌치들을 형성하기 이전에, 상기 제3 및 제4 비아 홀들을 먼저 형성할 수도 있다.The first and second connection wirings 520 and 525 and the third and fourth vias 550 and 555 are formed by removing the upper portion of the sixth interlayer insulating film 430 to form third and fourth trenches And third and fourth via-holes (not shown) that respectively expose the top surfaces of the capping pattern patterns 230 while communicating with the third and fourth trenches, respectively, A ninth barrier film is formed on the upper surface of the fringe patterns 230, the inner wall of the third and fourth via-holes, the inner wall of the third and fourth trenches, and the sixth interlayer insulating film 430, The ninth conductive film is formed on the ninth conductive film to fill the fourth via holes and the remaining portions of the third and fourth trenches, and then the ninth conductive film is formed until the upper surface of the sixth interlayer insulating film 430 is exposed. And planarizing the ninth barrier film. However, before forming the third and fourth trenches, the third and fourth via-holes may be formed first.

이때, 상기 제9 도전막은 예를 들어, 구리, 알루미늄, 텅스텐, 티타늄, 탄탈륨 등의 금속을 포함하도록 형성될 수 있으며, 상기 제9 배리어막은 티타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물 등의 금속 질화물을 포함하도록 형성될 수 있다. 이와는 달리, 상기 제9 배리어막은 금속막 및 금속 질화막으로 구성된 다층막으로 형성될 수도 있다.The ninth conductive layer may include a metal nitride such as titanium nitride, tantalum nitride, or tungsten nitride. The ninth conductive layer may include a metal such as copper, aluminum, tungsten, titanium or tantalum. . Alternatively, the ninth barrier film may be formed of a multilayer film composed of a metal film and a metal nitride film.

상기 제3 트렌치를 채우는 제1 연결 배선(520)은 제9 도전 패턴(510), 및 이의 측벽 및 저면 일부를 감싸는 제9 배리어막 패턴(500)을 포함하도록 형성될 수 있으며, 상기 제4 트렌치를 채우는 제2 연결 배선(525)은 제10 도전 패턴(515), 및 이의 측벽 및 저면 일부를 감싸는 제10 배리어막 패턴(505)을 포함하도록 형성될 수 있다. 또한, 상기 제3 비아 홀을 채우는 제3 비아(550)는 제11 도전 패턴(540), 및 이의 저면 및 측벽을 감싸는 제11 배리어막 패턴(530)을 포함하도록 형성될 수 있으며, 상기 제4 비아 홀을 채우는 제4 비아(555)는 제12 도전 패턴(545), 및 이의 저면 및 측벽을 감싸는 제12 배리어막 패턴(535)을 포함하도록 형성될 수 있다. 다만, 순차적으로 적층된 제3 비아(550) 및 제1 연결 배선(520)은 일체적으로 형성될 수 있으며, 또한, 순차적으로 적층된 제4 비아(555) 및 제2 연결 배선(525) 역시 일체적으로 형성될 수 있다. The first connection wiring 520 filling the third trench may be formed to include a ninth conductive pattern 510 and a ninth barrier film pattern 500 surrounding a part of the side wall and the bottom of the ninth conductive pattern 510, The second connection wiring 525 filling the tenth conductive pattern 515 may include a tenth conductive pattern 515 and a tenth barrier film pattern 505 surrounding a part of the side wall and the bottom of the tenth conductive pattern 515. The third via 550 filling the third via hole may be formed to include an eleventh conductive pattern 540 and an eleventh barrier film pattern 530 surrounding the bottom and sidewalls thereof, The fourth via 555 filling the via hole may be formed to include a twelfth conductive pattern 545 and a twelfth barrier film pattern 535 surrounding the bottom and side walls thereof. However, the third vias 550 and the first connection wirings 520, which are sequentially stacked, may be integrally formed, and the fourth vias 555 and the second connection wirings 525 sequentially stacked may also be formed And can be integrally formed.

제3 및 제4 비아들(550, 555)은 채널들(210) 상에 형성된 캐핑막 패턴들(230) 상에 각각 형성될 수 있으며, 제1 및 제2 연결 배선들(520, 525)은 각각 상기 제2 방향으로 연장되어, 제3 및 제4 비아들(550, 555)에 전기적으로 연결될 수 있다. 이에 따라, 제1 및 제2 연결 배선들(520, 525)은 공통 소스 라인(CSL)(330)에 의해 상기 제2 방향으로 서로 이격된 양 채널 블록들에 각각 포함된 채널들(210)을 서로 전기적으로 연결할 수 있다. 예시적인 실시예들에 있어서, 제1 연결 배선(520)은 제1 채널 블록의 제3 및 제4 채널 열들에 포함된 채널들(210)과, 상기 제2 방향으로 상기 제1 채널 블록과 이격된 제2 채널 블록의 제1 및 제2 채널 열들에 포함된 채널들(210)을 서로 연결할 수 있다. 또한, 제2 연결 배선(525)은 상기 제2 채널 블록의 제3 및 제4 채널 열들에 포함된 채널들(210)과, 상기 제2 방향으로 상기 제2 채널 블록과 이격된 제3 채널 블록의 제1 및 제2 채널 열들에 포함된 채널들(210)을 서로 연결할 수 있다.The third and fourth vias 550 and 555 may be formed on the capping pattern patterns 230 formed on the channels 210 respectively and the first and second connection wirings 520 and 525 Extend in the second direction, and may be electrically connected to the third and fourth vias 550 and 555, respectively. Accordingly, the first and second connection wirings 520 and 525 are formed by the common source line (CSL) 330 and the channels 210, respectively, included in the two channel blocks spaced from each other in the second direction They can be electrically connected to each other. In the exemplary embodiments, the first connection wiring 520 includes channels 210 included in the third and fourth channel columns of the first channel block, And the channels 210 included in the first and second channel columns of the second channel block may be connected to each other. In addition, the second connection wiring 525 may include channels 210 included in the third and fourth channel columns of the second channel block, and a third channel block, which is spaced apart from the second channel block in the second direction, And the channels 210 included in the first and second channel columns of the first and second channel columns.

도 42 내지 도 44를 참조하면, 제6 층간 절연막(430), 제3 및 제4 배선들(460, 465), 및 제1 및 제2 연결 배선들(520, 525) 상에 제7 층간 절연막(560)을 형성한 후, 제5 및 제6 비아들(590, 620)을 형성한다.42 to 44, a seventh interlayer insulating film 430 is formed on the sixth interlayer insulating film 430, the third and fourth wirings 460 and 465, and the first and second connection wirings 520 and 525. [ (560), and then fifth and sixth vias 590 and 620 are formed.

제7 층간 절연막(560)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성될 수 있으며, 이에 따라 제6 층간 절연막(430)에 병합될 수도 있다.The seventh interlayer insulating film 560 may be formed to include an oxide such as, for example, silicon oxide, and may be incorporated into the sixth interlayer insulating film 430. [

제5 및 제6 비아들(590, 620)은 제7 층간 절연막(560)을 관통하여 제3 배선(460), 및 제1 및 제2 연결 배선들(520, 525) 상면을 각각 노출시키는 제5 및 제6 비아 홀들(도시되지 않음)을 형성한 후, 상기 노출된 제3 배선(460) 상면, 상기 노출된 제1 및 제2 연결 배선들(520, 525) 상면, 상기 제5 및 제6 비아 홀들의 내벽, 및 제7 층간 절연막(560) 상에 제13 배리어막을 형성하고, 상기 제5 및 제6 비아 홀들의 나머지 부분을 채우는 제13 도전막을 상기 제13 배리어막 상에 형성한 후, 제7 층간 절연막(560) 상면이 노출될 때까지 상기 제13 도전막 및 상기 제13 배리어막을 평탄화함으로써 형성될 수 있다. The fifth and sixth vias 590 and 620 pass through the seventh interlayer insulating film 560 to form the third wiring 460 and the first and second connection wirings 520 and 525, 5 and sixth via holes (not shown) are formed on the upper surface of the exposed third wiring 460, the upper surface of the exposed first and second connection wirings 520 and 525, A thirteenth barrier film is formed on the inner wall of the sixth via holes and the seventh interlayer insulating film 560 and a thirteenth conductive film filling the remaining portions of the fifth and sixth via holes is formed on the thirteenth barrier film , And the seventh conductive film and the thirteenth barrier film are planarized until the upper surface of the seventh interlayer insulating film 560 is exposed.

이때, 상기 제13 도전막은 예를 들어, 구리, 알루미늄, 텅스텐, 티타늄, 탄탈륨 등의 금속을 포함하도록 형성될 수 있으며, 상기 제13 배리어막은 티타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물 등의 금속 질화물을 포함하도록 형성될 수 있다. 이와는 달리, 상기 제13 배리어막은 금속막 및 금속 질화막으로 구성된 다층막으로 형성될 수도 있다.The thirteenth conductive layer may include a metal such as copper, aluminum, tungsten, titanium, or tantalum, and the thirteenth conductive layer may include a metal nitride such as titanium nitride, tantalum nitride, tungsten nitride, or the like. . Alternatively, the thirteenth barrier film may be formed of a multilayer film composed of a metal film and a metal nitride film.

상기 제5 비아 홀을 채우는 제5 비아(590)는 제13 도전 패턴(580), 및 이의 측벽 및 저면을 감싸는 제13 배리어막 패턴(570)을 포함하도록 형성될 수 있으며, 상기 제6 비아 홀을 채우는 제6 비아(620)는 제14 도전 패턴(610), 및 이의 측벽 및 저면을 감싸는 제14 배리어막 패턴(600)을 포함하도록 형성될 수 있다.The fifth via hole 590 filling the fifth via hole may be formed to include a thirteenth conductive pattern 580 and a thirteenth barrier film pattern 570 surrounding the side and bottom surfaces of the thirteenth conductive pattern 580, The sixth via 620 filling the first conductive pattern 620 may include the fourteenth conductive pattern 610 and the fourteenth barrier film pattern 600 surrounding the side and bottom surfaces thereof.

이때, 제5 비아(590)는 제3 배선(460)에 전기적으로 연결될 수 있으며, 제6 비아(620)는 제1 및 제2 연결 배선들(520, 525)에 전기적으로 연결될 수 있다.The fifth vias 590 may be electrically connected to the third wires 460 and the sixth vias 620 may be electrically connected to the first and second connection wires 520 and 525.

다시 도 2 내지 도 7을 참조하면, 제7 층간 절연막(560), 및 제5 및 제6 비아들(590, 620) 상에 제8 층간 절연막(630)을 형성한 후, 제5 및 제6 배선들(660, 690)을 형성한다.Referring again to FIGS. 2 to 7, after forming an eighth interlayer insulating film 630 on the seventh interlayer insulating film 560 and the fifth and sixth vias 590 and 620, the fifth and sixth Wiring lines 660 and 690 are formed.

제8 층간 절연막(630)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성될 수 있으며, 이에 따라 제7 층간 절연막(560)에 병합될 수도 있다.The eighth interlayer insulating film 630 may be formed to include an oxide such as, for example, silicon oxide, and thus may be incorporated into the seventh interlayer insulating film 560. [

제5 및 제6 배선들(660, 690)은 제8 층간 절연막(630)을 관통하여 제5 및 제6 비아들(590, 620) 상면을 각각 노출시키는 제3 및 제4 개구들(도시되지 않음)을 형성한 후, 상기 노출된 제5 및 제6 비아들(590, 620) 상면, 상기 제3 및 제4 개구들의 내벽, 및 제8 층간 절연막(630) 상에 제15 배리어막을 형성하고, 상기 제5 및 제6 비아 홀들의 나머지 부분을 채우는 제15 도전막을 상기 제15 배리어막 상에 형성한 후, 제8 층간 절연막(630) 상면이 노출될 때까지 상기 제15 도전막 및 상기 제15 배리어막을 평탄화함으로써 형성될 수 있다. The fifth and sixth wirings 660 and 690 are connected to third and fourth openings (not shown) through the eighth interlayer insulating film 630 to expose the upper surfaces of the fifth and sixth vias 590 and 620, respectively A fifteenth barrier film is formed on the exposed upper surfaces of the fifth and sixth vias 590 and 620, the inner walls of the third and fourth openings, and the eighth interlayer insulating film 630 , A fifteenth conductive film filling the remaining portions of the fifth and sixth via-holes is formed on the fifteenth barrier film, and the fifteenth conductive film and the ninth interlayer insulating film 15 < / RTI > barrier film.

이때, 상기 제15 도전막은 예를 들어, 구리, 알루미늄, 텅스텐, 티타늄, 탄탈륨 등의 금속을 포함하도록 형성될 수 있으며, 상기 제15 배리어막은 티타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물 등의 금속 질화물을 포함하도록 형성될 수 있다. 이와는 달리, 상기 제15 배리어막은 금속막 및 금속 질화막으로 구성된 다층막으로 형성될 수도 있다.The fifteenth conductive layer may include a metal such as copper, aluminum, tungsten, titanium, or tantalum, and the fifteenth conductive layer may include a metal nitride such as titanium nitride, tantalum nitride, tungsten nitride, or the like. . Alternatively, the fifteenth barrier film may be formed of a multilayer film composed of a metal film and a metal nitride film.

상기 제3 개구를 채우는 제5 배선(660)은 제15 도전 패턴(650), 및 이의 측벽 및 저면을 감싸는 제15 배리어막 패턴(640)을 포함하도록 형성될 수 있으며, 상기 제4 개구를 채우는 제6 배선(690)은 제16 도전 패턴(680), 및 이의 측벽 및 저면을 감싸는 제16 배리어막 패턴(670)을 포함하도록 형성될 수 있다.The fifth wiring 660 filling the third opening may be formed to include a fifteenth conductive pattern 650 and a fifteenth barrier film pattern 640 surrounding the side walls and the bottom surface thereof, The sixth wiring 690 may be formed to include a sixteenth conductive pattern 680 and a sixteenth barrier film pattern 670 surrounding the side walls and the bottom surface thereof.

예시적인 실시예들에 있어서, 제5 배선(660)은 상기 제1 방향으로 연장되어 제2 영역(II, 도 1 참조)에 형성된 배선(도시되지 않음)과 연결될 수 있으며, 이에 따라 전기적 신호가 인가될 수 있다. 즉, 제2 영역(II)의 상기 배선으로부터 인가된 전기적 신호는 제5 배선(660), 제5 비아(590), 제3 배선(460), 제1 비아(490) 및 제1 배선(420)을 통해 제1 콘택 플러그(380)로 전달될 수 있다.In the exemplary embodiments, the fifth wiring 660 may extend in the first direction and be connected to a wiring (not shown) formed in the second region II (see FIG. 1), so that an electrical signal . That is, an electrical signal applied from the wiring in the second region II is electrically connected to the fifth wiring 660, the fifth via 590, the third wiring 460, the first via 490, and the first wiring 420 To the first contact plug 380 through the first contact plug 380. [

예시적인 실시예들에 있어서, 제6 배선(690)은 상기 제2 방향으로 연장될 수 있으며, 제6 비아(620), 제1 및 제2 연결 배선들(520, 525), 제3 및 제4 비아들(550, 555), 및 캐핑막 패턴(230)을 통해 채널(210)에 전기적으로 연결될 수 있다. 이때, 제6 배선(690)은 비트 라인 기능을 수행할 수 있다.In the exemplary embodiments, the sixth wiring 690 may extend in the second direction and may include a sixth via 620, first and second connection interconnections 520 and 525, 4 vias 550, 555, and a capping pattern 230. In this embodiment, At this time, the sixth wiring 690 may perform a bit line function.

전술한 공정들을 통해 상기 수직형 메모리 장치가 완성될 수 있다.The vertical memory device can be completed through the above-described processes.

전술한 바와 같이, 상기 수직형 메모리 장치 제조 방법에서, 계단 구조물의 각 계단들에 형성된 게이트 전극들(310)에 각각 연결되도록 제1 콘택 플러그들(380)을 형성할 때, 일부 계단들에 제2 콘택 플러그(385)를 더 형성함으로써, 패턴 로딩 현상을 방지하여 제1 콘택 플러그들(380)이 게이트 전극들(310)에 잘 접촉하도록 형성할 수 있다.As described above, in the vertical memory device manufacturing method, when the first contact plugs 380 are formed to be connected to the gate electrodes 310 formed at the respective steps of the step structure, By further forming the second contact plug 385, the first contact plugs 380 can be formed so as to contact the gate electrodes 310 well by preventing the pattern loading phenomenon.

도 45 내지 도 70은 예시적인 실시예들에 따른 수직형 메모리 장치를 설명하기 위한 평면도들 및 단면도들이다. 구체적으로, 도 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67 및 69는 평면도들이고, 도 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68 및 70은 단면도들이다. 이때, 상기 각 단면도들은 대응하는 각 평면도들의 B-B'선을 따라 절단한 단면도들이다. 45-70 are plan views and cross-sectional views for illustrating a vertical memory device according to exemplary embodiments. 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67 and 69 are plan views and FIGS. 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68 and 70 are sectional views. Here, the cross-sectional views are cross-sectional views taken along line B-B 'of corresponding plan views.

상기 도면들에 도시된 수직형 메모리 장치들은 제2 콘택 플러그 및 이에 연결되는 상부 배선을 제외하고는, 도 1 내지 도 13에 도시된 수직형 메모리 장치와 실질적으로 동일하거나 유사하다. 이에 따라, 동일한 구성 요소에는 동일한 참조 부호를 부여하고, 이에 대한 자세한 설명은 생략한다. 한편 설명의 편의를 위해서, 도 8a 및 9a에 도시된 바와 같이, 상기 도면들은 기판으로부터 제5 층간 절연막까지 적층된 구조물에 대해서만 도시한다.The vertical memory devices shown in the figures are substantially the same as or similar to the vertical memory device shown in Figs. 1 to 13, except for the second contact plug and the upper wiring connected thereto. Accordingly, the same components are denoted by the same reference numerals, and a detailed description thereof will be omitted. For convenience of explanation, as shown in Figs. 8A and 9A, the drawings only show structures stacked from the substrate to the fifth interlayer insulating film.

도 45 및 46을 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 지그재그 형상으로 형성될 수 있다. 이에 따라, 최하층 계단에 형성된 제1 게이트 전극(310) 상에는 제1 및 제2 콘택 플러그들(380, 385)이 함께 형성될 수 있으며, 이들에 공통적으로 연결되어 전기적 신호를 인가하는 제2 배선(425)은 상기 제1 방향으로 연장되는 제1 부분, 및 상기 제2 방향으로 연장되는 제2 부분을 포함할 수 있다.45 and 46, the first and second contact plugs 380 and 385 may be formed in a zigzag shape along the first direction. Accordingly, the first and second contact plugs 380 and 385 may be formed on the first gate electrode 310 formed in the lowest step, and a second wiring (not shown) may be commonly connected to the first and second contact plugs 380 and 385, 425 may include a first portion extending in the first direction and a second portion extending in the second direction.

도 47 및 48을 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 동일 선상에 형성될 수 있다. 이때, 최상층 계단에 형성된 제1 게이트 전극(310) 상에는 제1 및 제2 콘택 플러그들(380, 385)이 함께 형성될 수 있으며, 이들에 공통적으로 연결되어 전기적 신호를 인가하는 제1 배선(420)은 상기 제2 방향으로 연장될 수 있다.47 and 48, the first and second contact plugs 380 and 385 may be formed on the same line along the first direction. At this time, the first and second contact plugs 380 and 385 may be formed on the first gate electrode 310 formed at the uppermost step, and the first wirings 420 May extend in the second direction.

도 49 및 50a를 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 동일 선상에 형성될 수 있다. 이때, 가운데 층 계단에 형성된 제1 게이트 전극(310) 상에는 제1 및 제2 콘택 플러그들(380, 385)이 함께 형성될 수 있으며, 이들에 공통적으로 연결되어 전기적 신호를 인가하는 제1 배선(420)은 상기 제2 방향으로 연장될 수 있다.Referring to FIGS. 49 and 50A, the first and second contact plugs 380 and 385 may be formed on the same line along the first direction. At this time, the first and second contact plugs 380 and 385 may be formed on the first gate electrode 310 formed at the middle layer step, and the first and second contact plugs 380 and 385, which are commonly connected to the first and second contact plugs 380 and 385, 420 may extend in the second direction.

한편, 도 50b를 참조하면, 제1 및 제2 콘택 플러그들(380, 385)이 상부와 하부로 나뉘어 배열될 수 있다. 즉, 하층 계단들에 형성된 제1 및 제2 콘택 플러그들(380, 385)이 연결되는 제1 및 제2 배선들(420, 425), 및 제5 층간 절연막(390) 상에는 제9 및 제10 층간 절연막들(700, 710)이 별도로 형성될 수 있다. 또한, 상층 계단들에 형성된 제1 및 제2 콘택 플러그들(380, 385)은 제1 내지 제5 층간 절연막들(130, 140, 240, 340, 390), 및 제9 층간 절연막(700)을 관통할 수 있으며, 이들 상면에는 제10 층간 절연막(710)을 관통하여 제1 및 제2 배선들(420, 425)이 형성될 수 있다.Referring to FIG. 50B, the first and second contact plugs 380 and 385 may be divided into an upper portion and a lower portion. That is, the first and second wirings 420 and 425 to which the first and second contact plugs 380 and 385 formed in the lower layer steps are connected, and the ninth and tenth Interlayer insulating films 700 and 710 may be separately formed. The first and second contact plugs 380 and 385 formed on the upper layer steps are electrically connected to the first through fifth interlayer insulating layers 130, 140, 240, 340, and 390, and the ninth interlayer insulating layer 700 And the first and second wirings 420 and 425 may be formed through the tenth interlayer insulating film 710 on the upper surfaces thereof.

도 51 및 52를 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 동일 선상에 형성될 수 있다. 한편, 최하층 계단에 형성된 제1 게이트 전극(310) 상에는 제1 및 제2 콘택 플러그들(380, 385)이 함께 형성될 수 있다. 제1 콘택 플러그(380)에 전기적으로 연결되는 제2 배선(425)은 상기 제1 방향으로 연장되는 제1 부분 및 상기 제2 방향으로 연장되는 제2 부분을 포함할 수 있으며, 제2 콘택 플러그(385) 상면에 접촉하지 않을 수 있다. 이에 따라, 제2 콘택 플러그(385)에는 아무런 전기적 신호가 인가되지 않을 수 있으며, 더미 콘택 플러그로 지칭될 수 있다.51 and 52, the first and second contact plugs 380 and 385 may be formed on the same line along the first direction. On the other hand, first and second contact plugs 380 and 385 may be formed on the first gate electrode 310 formed in the lowest step. The second wire 425 electrically connected to the first contact plug 380 may include a first portion extending in the first direction and a second portion extending in the second direction, It may not contact the upper surface of the substrate 385. Thereby, no electrical signal may be applied to the second contact plug 385, and may be referred to as a dummy contact plug.

도 53 및 54를 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 지그재그 형상으로 형성될 수 있다. 한편, 최하층 계단에 형성된 제1 게이트 전극(310) 상에는 제1 및 제2 콘택 플러그들(380, 385)이 함께 형성될 수 있다. 제1 콘택 플러그(380)에 전기적으로 연결되는 제2 배선(425)은 상기 제1 방향으로 연장되어 제2 콘택 플러그(385) 상면에 접촉하지 않을 수 있다. 이에 따라, 제2 콘택 플러그(385)는 더미 콘택 플러그일 수 있다.Referring to FIGS. 53 and 54, the first and second contact plugs 380 and 385 may be formed in a zigzag shape along the first direction. On the other hand, first and second contact plugs 380 and 385 may be formed on the first gate electrode 310 formed in the lowest step. The second wiring 425 electrically connected to the first contact plug 380 may extend in the first direction and may not contact the upper surface of the second contact plug 385. Accordingly, the second contact plug 385 may be a dummy contact plug.

도 55 및 56을 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 동일 선상에 형성될 수 있다. 다만, 제2 콘택 플러그(385)는 게이트 전극(310) 상에 형성되지 않으며, 최하층 계단에 상기 제1 방향으로 인접한 기판(100) 상면에 형성될 수 있다. 한편, 제1 콘택 플러그(380)에 전기적으로 연결되는 제2 배선(425)은 상기 제1 방향으로 연장되는 제1 부분 및 상기 제2 방향으로 연장되는 제2 부분을 포함할 수 있으며, 제2 콘택 플러그(385) 상면에 접촉하지 않을 수 있다. 이에 따라, 제2 콘택 플러그(385)는 더미 콘택 플러그일 수 있다.55 and 56, the first and second contact plugs 380 and 385 may be formed on the same line along the first direction. However, the second contact plug 385 is not formed on the gate electrode 310, but may be formed on the upper surface of the substrate 100 in the first direction at the lowest step. On the other hand, the second wiring 425 electrically connected to the first contact plug 380 may include a first portion extending in the first direction and a second portion extending in the second direction, It may not contact the upper surface of the contact plug 385. Accordingly, the second contact plug 385 may be a dummy contact plug.

도 57 및 58을 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 지그재그 형상으로 형성될 수 있다. 이때, 제2 콘택 플러그(385)는 게이트 전극(310) 상에 형성되지 않으며, 최하층 계단에 상기 제1 방향으로 인접한 기판(100) 상면에 형성될 수 있다. 한편, 제1 콘택 플러그(380)에 전기적으로 연결되는 제2 배선(425)은 상기 제1 방향으로 연장되어 제2 콘택 플러그(385) 상면에 접촉하지 않을 수 있다. 이에 따라, 제2 콘택 플러그(385)는 더미 콘택 플러그일 수 있다.Referring to FIGS. 57 and 58, the first and second contact plugs 380 and 385 may be formed in a zigzag shape along the first direction. At this time, the second contact plug 385 is not formed on the gate electrode 310, but may be formed on the top surface of the substrate 100 which is adjacent to the lowermost step in the first direction. On the other hand, the second wiring 425 electrically connected to the first contact plug 380 may extend in the first direction and may not contact the upper surface of the second contact plug 385. Accordingly, the second contact plug 385 may be a dummy contact plug.

도 59 및 60을 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 동일 선상에 형성될 수 있다. 한편, 최상층 계단에 형성된 제1 게이트 전극(310) 상에는 제1 및 제2 콘택 플러그들(380, 385)이 함께 형성될 수 있다. 다만, 제1 콘택 플러그(380)에 전기적으로 연결되는 제1 배선(420)은 상기 제1 방향으로 연장되며, 제2 콘택 플러그(385) 상면에는 접촉하지 않을 수 있다. 이에 따라, 제2 콘택 플러그(385)는 더미 콘택 플러그일 수 있다.59 and 60, the first and second contact plugs 380 and 385 may be formed on the same line along the first direction. On the other hand, first and second contact plugs 380 and 385 may be formed on the first gate electrode 310 formed in the uppermost step. However, the first wiring 420 electrically connected to the first contact plug 380 may extend in the first direction and may not contact the upper surface of the second contact plug 385. Accordingly, the second contact plug 385 may be a dummy contact plug.

도 61 및 62를 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 동일 선상에 형성될 수 있다. 한편, 가운데 층 계단에 형성된 제1 게이트 전극(310) 상에는 제1 및 제2 콘택 플러그들(380, 385)이 함께 형성될 수 있다. 다만, 제1 콘택 플러그(380)에 전기적으로 연결되는 제1 배선(420)은 상기 제1 방향으로 연장되며, 제2 콘택 플러그(385) 상면에는 접촉하지 않을 수 있다. 이에 따라, 제2 콘택 플러그(385)는 더미 콘택 플러그일 수 있다.Referring to FIGS. 61 and 62, the first and second contact plugs 380 and 385 may be formed on the same line along the first direction. On the other hand, first and second contact plugs 380 and 385 may be formed on the first gate electrode 310 formed in the middle layer step. However, the first wiring 420 electrically connected to the first contact plug 380 may extend in the first direction and may not contact the upper surface of the second contact plug 385. Accordingly, the second contact plug 385 may be a dummy contact plug.

도 63 및 64를 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 동일 선상에 형성될 수 있다. 한편, 최하층 계단에 형성된 제1 게이트 전극(310) 상에는 제1 및 제2 콘택 플러그들(380, 385)이 함께 형성될 수 있다. 또한, 제2 콘택 플러그(385)는 최하층 계단에 상기 제1 방향으로 인접한 기판(100) 상면에도 형성될 수 있다. 제1 콘택 플러그(380)에 전기적으로 연결되는 제2 배선(425)은 상기 제1 방향으로 연장되는 제1 부분 및 상기 제2 방향으로 연장되는 제2 부분을 포함할 수 있으며, 제1 게이트 전극(310) 상에 형성된 제2 콘택 플러그(385) 상면에는 접촉하되, 기판(100) 상면에 형성된 제2 콘택 플러그(385)에는 접촉하지 않을 수 있다. 이에 따라, 기판(100) 상면에 형성된 제2 콘택 플러그(385)는 더미 콘택 플러그일 수 있다.63 and 64, the first and second contact plugs 380 and 385 may be formed on the same line along the first direction. On the other hand, first and second contact plugs 380 and 385 may be formed on the first gate electrode 310 formed in the lowest step. Also, the second contact plug 385 may be formed on the uppermost step of the substrate 100 adjacent to the lowest step in the first direction. The second wiring 425 electrically connected to the first contact plug 380 may include a first portion extending in the first direction and a second portion extending in the second direction, Contact with the upper surface of the second contact plug 385 formed on the substrate 310 but not with the second contact plug 385 formed on the upper surface of the substrate 100. Accordingly, the second contact plug 385 formed on the upper surface of the substrate 100 may be a dummy contact plug.

도 65 및 66을 참조하면, 제1 콘택 플러그들(380) 및 게이트 전극(310) 상에 형성된 제2 콘택 플러그(385)는 상기 제1 방향을 따라 동일 선상에 형성될 수 있으나, 기판(100) 상면에 형성된 제2 콘택 플러그(385)는 상기 선상에서 벗어나도록 형성될 수 있다. 한편, 최하층 계단에 형성된 제1 게이트 전극(310) 상에는 제1 및 제2 콘택 플러그들(380, 385)이 함께 형성될 수 있다. 제1 콘택 플러그(380), 및 게이트 전극(310) 상에 형성된 제2 콘택 플러그(385)에 전기적으로 연결되는 제2 배선(425)은 상기 제1 방향으로 연장될 수 있으며, 이에 따라 기판(100) 상면에 형성된 제2 콘택 플러그(385) 상면에는 접촉하지 않을 수 있다. 이에 따라, 기판(100) 상면에 형성된 제2 콘택 플러그(385)는 더미 콘택 플러그일 수 있다.65 and 66, the first contact plugs 380 and the second contact plugs 385 formed on the gate electrode 310 may be formed on the same line along the first direction, but the substrate 100 The second contact plug 385 formed on the upper surface may be formed to deviate from the line. On the other hand, first and second contact plugs 380 and 385 may be formed on the first gate electrode 310 formed in the lowest step. A second wire 425 electrically connected to the first contact plug 380 and the second contact plug 385 formed on the gate electrode 310 may extend in the first direction, 100 may not contact the upper surface of the second contact plug 385 formed on the upper surface. Accordingly, the second contact plug 385 formed on the upper surface of the substrate 100 may be a dummy contact plug.

도 67 및 68을 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 동일 선상에 형성될 수 있다. 이때, 제2 콘택 플러그(385)는 최하층 계단에 형성된 제1 게이트 전극(310) 및 이에 인접하는 기판(100) 상에 각각 형성될 수 있다. 제1 콘택 플러그(380)에 전기적으로 연결되는 제2 배선(425)은 상기 제1 방향으로 연장되는 제1 부분 및 상기 제2 방향으로 연장되는 제2 부분을 포함할 수 있으며, 제2 콘택 플러그들(385) 상면에는 접촉하지 않을 수 있다. 이에 따라, 제2 콘택 플러그들(385)은 더미 콘택 플러그들일 수 있다.67 and 68, the first and second contact plugs 380 and 385 may be formed on the same line along the first direction. At this time, the second contact plug 385 may be formed on the first gate electrode 310 formed in the lowest step and the substrate 100 adjacent thereto. The second wire 425 electrically connected to the first contact plug 380 may include a first portion extending in the first direction and a second portion extending in the second direction, It may not contact the upper surface of the sphere 385. Accordingly, the second contact plugs 385 may be dummy contact plugs.

도 69 및 70을 참조하면, 제1 및 제2 콘택 플러그들(380, 385)은 상기 제1 방향을 따라 동일 선상에 형성될 수 있다. 이때, 제2 콘택 플러그(385)는 최하층 계단에 인접하는 기판(100) 상면에 형성될 수 있다. 제1 콘택 플러그(380)에 전기적으로 연결되는 제2 배선(425)은 상기 제1 방향으로 연장되는 제1 부분 및 상기 제2 방향으로 연장되는 제2 부분을 포함할 수 있으며, 제2 콘택 플러그들(385) 상면에는 접촉하지 않을 수 있다. 다만, 제2 콘택 플러그(385)는 별도의 제7 배선(427)에 연결될 수 있으며, 제3 배선(427)은 제2 영역(II)에 형성된 배선(도시되지 않음)으로부터 전기적 신호를 제2 콘택 플러그(385)에 전송할 수 있다.Referring to FIGS. 69 and 70, the first and second contact plugs 380 and 385 may be formed on the same line along the first direction. At this time, the second contact plug 385 may be formed on the upper surface of the substrate 100 adjacent to the lowest step. The second wire 425 electrically connected to the first contact plug 380 may include a first portion extending in the first direction and a second portion extending in the second direction, It may not contact the upper surface of the sphere 385. The second contact plug 385 may be connected to a separate seventh wiring 427 and the third wiring 427 may electrically connect an electrical signal from a wiring (not shown) formed in the second region II To the contact plug 385.

상술한 바와 같이 본 발명의 바람직한 실시예들을 참조하여 설명하였지만 해당 기술 분야에서 통상의 지식을 가진 자라면 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit or scope of the invention as defined in the appended claims. It will be understood that the present invention can be changed.

100: 기판 105: 불순물 영역
110: 절연막 115: 절연막 패턴
130, 140, 240, 340, 390, 430, 560, 630, 700, 710: 제1 내지 제10 층간 절연막
150: 채널 홀 160: 반도체 패턴
170, 270: 제1, 제2 블로킹막 패턴 180: 전하 저장막 패턴
190: 터널 절연막 패턴 200: 제1 전하 저장막 구조물
210: 채널 220: 충전막 패턴
230: 캐핑막 패턴 250: 개구
260: 갭 280: 제2 전하 저장막 구조물
290: 게이트 배리어막 패턴 300: 게이트 도전 패턴
310: 게이트 전극 320: 제2 스페이서
330: 공통 소스 라인 350, 355: 제1 및 제2 콘택 홀
360, 365, 400, 405, 440, 445, 470, 475, 500, 505, 530, 535, 570, 600, 640, 670: 제1 내지 제16 배리어막 패턴
370, 375, 410, 415, 450, 455, 480, 485, 510, 515, 540, 545, 580, 610, 650, 680: 제1 내지 제16 도전 패턴
380, 385: 제1, 제2 콘택 플러그
420, 425, 460, 465, 660, 690, 427: 제1 내지 제7 배선
490, 495, 550, 555, 590, 620: 제1 내지 제6 비아
100: substrate 105: impurity region
110: insulating film 115: insulating film pattern
130, 140, 240, 340, 390, 430, 560, 630, 700, 710: first to tenth interlayer insulating films
150: channel hole 160: semiconductor pattern
170, 270: first and second blocking film patterns 180: charge storage film pattern
190: tunnel insulating film pattern 200: first charge storage film structure
210: channel 220: filling film pattern
230: cap layer pattern 250: opening
260: gap 280: second charge storage film structure
290: gate barrier film pattern 300: gate conductive pattern
310: gate electrode 320: second spacer
330: common source line 350, 355: first and second contact holes
The first to the sixteenth barrier film patterns are formed by patterning the first to the sixteenth barrier film patterns 601, 602, 603, 604, 605, 604, 605,
370, 375, 410, 415, 450, 455, 480, 485, 510, 515, 540, 545, 580, 610, 650, 680:
380, 385: first and second contact plugs
420, 425, 460, 465, 660, 690, 427: first to seventh wiring
490, 495, 550, 555, 590, 620: first to sixth vias

Claims (20)

기판 상면에 수직한 수직 방향을 따라 서로 이격된 복수 개의 층들에 각각 형성된 복수 개의 게이트 전극들;
상기 기판 상에 상기 수직 방향으로 연장되어 상기 게이트 전극들을 관통하는 채널; 및
상기 게이트 전극들 상에 상기 수직 방향으로 연장되어 상기 게이트 전극들에 각각 접촉하는 복수 개의 제1 콘택 플러그들을 포함하며,
상기 게이트 전극들 중 제1 게이트 전극 상에는 상기 수직 방향으로 연장되는 하나 이상의 제2 콘택 플러그가 더 형성된 수직형 메모리 장치.
A plurality of gate electrodes formed in a plurality of layers spaced apart from each other along a vertical direction perpendicular to an upper surface of a substrate;
A channel extending in the vertical direction on the substrate and passing through the gate electrodes; And
And a plurality of first contact plugs extending in the vertical direction on the gate electrodes and contacting the gate electrodes, respectively,
And one or more second contact plugs extending in the vertical direction are further formed on the first gate electrode of the gate electrodes.
제1항에 있어서, 상기 제2 콘택 플러그의 상면은 상기 제1 콘택 플러그들의 상면과 실질적으로 동일한 높이에 형성된 수직형 메모리 장치.2. The vertical memory device of claim 1, wherein an upper surface of the second contact plug is formed at a substantially same height as an upper surface of the first contact plugs. 제1항에 있어서, 상기 제2 콘택 플러그는 상기 제1 게이트 전극에 접촉하는 수직형 메모리 장치.2. The vertical memory device of claim 1, wherein the second contact plug contacts the first gate electrode. 제1항에 있어서, 상기 제2 콘택 플러그는 상기 제1 게이트 전극에 접촉하지 않으며, 상기 제2 콘택 플러그의 저면은 상기 제1 게이트 전극의 상면보다는 높고 상기 제1 게이트 전극이 형성된 층의 바로 위층에 형성된 상기 게이트 전극의 저면보다는 낮은 수직형 메모리 장치.The semiconductor device of claim 1, wherein the second contact plug is not in contact with the first gate electrode, the bottom surface of the second contact plug is higher than the upper surface of the first gate electrode, Is lower than the underside of the gate electrode formed in the vertical memory device. 제1항에 있어서, 상기 각 게이트 전극들은 상기 기판 상면에 평행한 제1 방향을 따라 연장되며,
상기 제1 및 제2 콘택 플러그들은 상면에서 보았을 때 상기 제1 방향을 따라 일정한 간격으로 배치된 수직형 메모리 장치.
The method of claim 1, wherein each of the gate electrodes extends along a first direction parallel to an upper surface of the substrate,
Wherein the first and second contact plugs are arranged at regular intervals along the first direction when viewed from the top surface.
제5항에 있어서, 상기 제2 콘택 플러그는 상기 제1 및 제2 콘택 플러그들 중에서 상기 제1 방향을 따라 제일 처음 혹은 제일 마지막에 배치된 수직형 메모리 장치.6. The vertical memory device of claim 5, wherein the second contact plug is disposed at the earliest or last one of the first and second contact plugs along the first direction. 제5항에 있어서, 상기 제2 콘택 플러그는 상기 제1 및 제2 콘택 플러그들 중에서 상기 제1 방향을 따라 가운데에 배치된 수직형 메모리 장치.6. The vertical memory device of claim 5, wherein the second contact plug is centered along the first direction among the first and second contact plugs. 제5항에 있어서, 상기 제1 및 제2 콘택 플러그들은 상기 제1 방향을 따라 지그재그 형상으로 배치된 수직형 메모리 장치.6. The vertical memory device of claim 5, wherein the first and second contact plugs are arranged in a zigzag shape along the first direction. 제8항에 있어서, 상기 제2 콘택 플러그는 상기 제1 및 제2 콘택 플러그들 중에서 상기 제1 방향을 따라 제일 처음 혹은 제일 마지막에 배치된 수직형 메모리 장치.9. The vertical memory device of claim 8, wherein the second contact plug is disposed at the earliest or last one of the first and second contact plugs along the first direction. 제5항에 있어서, 상기 게이트 전극들은 하층으로부터 상층으로 갈수록 상기 제1 방향의 길이가 점차 줄어드는 계단 형상을 가지며,
상기 각 제1 및 제2 콘택 플러그들은 상층의 게이트 전극들에 의해 오버랩되지 않는 상기 각 게이트 전극들의 가장자리 부분 상에 형성된 수직형 메모리 장치.
The semiconductor device according to claim 5, wherein the gate electrodes have a stepped shape in which a length of the gate electrode gradually decreases from a lower layer to an upper layer,
Wherein each of the first and second contact plugs is formed on an edge portion of each of the gate electrodes that is not overlapped by upper gate electrodes.
제1항에 있어서, 상기 제1 게이트 전극은 상기 게이트 전극들 중에서 최하층에 형성된 수직형 메모리 장치.2. The vertical memory device of claim 1, wherein the first gate electrode is formed in the lowest layer among the gate electrodes. 제1항에 있어서, 상기 제1 게이트 전극은 상기 게이트 전극들 중에서 최상층에 형성된 수직형 메모리 장치.2. The vertical memory device of claim 1, wherein the first gate electrode is formed on the uppermost one of the gate electrodes. 제1항에 있어서, 상기 제1 게이트 전극은 상기 게이트 전극들 중에서 가운데층에 형성된 수직형 메모리 장치.The vertical memory device of claim 1, wherein the first gate electrode is formed in a middle layer of the gate electrodes. 제1항에 있어서, 복수 개의 상기 제1 게이트 전극들을 포함하는 수직형 메모리 장치.2. The vertical memory device of claim 1, comprising a plurality of said first gate electrodes. 제14항에 있어서, 상기 제1 게이트 전극들은 상기 게이트 전극들 중에서 최하층 및 최상층에 각각 형성된 수직형 메모리 장치.15. The vertical memory device according to claim 14, wherein the first gate electrodes are formed in the lowest layer and the uppermost layer, respectively, of the gate electrodes. 메모리 셀 영역 및 주변 영역을 포함하는 기판의 상기 메모리 셀 영역 내에서, 상기 기판 상면에 수직한 수직 방향을 따라 서로 이격된 복수 개의 층들에 각각 형성된 복수 개의 게이트 전극들;
상기 기판 상에 상기 수직 방향으로 연장되어 상기 게이트 전극들을 관통하는 채널; 및
상기 게이트 전극들 상에 상기 수직 방향으로 연장되어 상기 게이트 전극들에 각각 접촉하는 복수 개의 제1 콘택 플러그들을 포함하며,
상기 메모리 셀 영역 내에서, 상기 게이트 전극들 중 최하층에 형성된 게이트 전극에 인접하는 상기 기판 상에는 상기 수직 방향으로 연장되어 상기 제1 콘택 플러그들의 상면과 동일한 높이의 상면을 갖는 하나 이상의 제3 콘택 플러그가 더 형성된 수직형 메모리 장치.
A plurality of gate electrodes formed in a plurality of layers spaced apart from each other in a direction perpendicular to the upper surface of the substrate in the memory cell region of the substrate including the memory cell region and the peripheral region;
A channel extending in the vertical direction on the substrate and passing through the gate electrodes; And
And a plurality of first contact plugs extending in the vertical direction on the gate electrodes and contacting the gate electrodes, respectively,
In the memory cell region, at least one third contact plug extending in the vertical direction and having a top surface at the same height as the top surface of the first contact plugs is formed on the substrate adjacent to the gate electrode formed in the lowermost layer among the gate electrodes A further formed vertical memory device.
제16항에 있어서, 상기 각 게이트 전극들은 상기 기판 상면에 평행한 제1 방향을 따라 연장되며,
상기 제1 및 제3 콘택 플러그들은 상면에서 보았을 때 상기 제1 방향을 따라 일정한 간격으로 배치된 수직형 메모리 장치.
17. The method of claim 16, wherein each of the gate electrodes extends along a first direction parallel to an upper surface of the substrate,
Wherein the first and third contact plugs are arranged at regular intervals along the first direction when viewed from the top surface.
제16항에 있어서, 상기 게이트 전극들은 하층으로부터 상층으로 갈수록 상기 기판 상면에 평행한 제1 방향의 길이가 점차 줄어드는 계단 형상을 가지며,
상기 제3 콘택 플러그는 상기 최하층에 형성된 상기 게이트 전극에 상기 제1 방향으로 인접한 상기 기판 부분 상에 형성된 수직형 메모리 장치.
The semiconductor device according to claim 16, wherein the gate electrodes have a stepped shape in which a length in a first direction parallel to the upper surface of the substrate gradually decreases from a lower layer to an upper layer,
And the third contact plug is formed on the portion of the substrate adjacent to the gate electrode formed in the bottom layer in the first direction.
기판 상면에 수직한 수직 방향을 따라 연장된 채널;
상기 채널의 외측벽을 감싸는 전하 저장막 구조물;
각각이 상기 전하 저장막 구조물을 둘러싸면서 상기 기판 상면에 평행한 제1 방향을 따라 연장되고, 상기 수직 방향을 따라 서로 이격되며, 하층으로부터 상층으로 갈수록 상기 제1 방향의 길이가 점차 줄어드는 계단 형상을 갖는 복수 개의 게이트 전극들; 및
상층의 상기 게이트 전극들에 의해 오버랩되지 않는 상기 각 게이트 전극들의 가장자리 부분에 접촉하여 상기 수직 방향으로 연장된 복수 개의 제1 콘택 플러그들을 포함하며,
상기 수직 방향으로 서로 인접하는 상기 게이트 전극들의 상기 제1 방향으로의 길이들은 제1 폭으로 감소하되, 다만 상기 게이트 전극들 중에서 제1 게이트 전극의 상기 제1 방향으로의 길이와 상기 제1 게이트 전극 바로 상층에 배치된 제2 게이트 전극의 상기 제1 방향으로의 길이는 상기 제1 폭보다 큰 제2 폭으로 감소하는 수직형 메모리 장치.
A channel extending along a vertical direction perpendicular to the upper surface of the substrate;
A charge storage film structure surrounding an outer wall of the channel;
Each of which extends along a first direction parallel to the upper surface of the substrate and surrounds the charge storage film structure and is spaced apart from each other along the vertical direction and has a step shape in which the length of the first direction gradually decreases from the lower layer to the upper layer A plurality of gate electrodes; And
And a plurality of first contact plugs extending in the vertical direction in contact with edge portions of the respective gate electrodes which are not overlapped by the gate electrodes of the upper layer,
The lengths of the gate electrodes adjacent to each other in the vertical direction in the first direction are reduced to a first width, but a length in the first direction of the first gate electrode among the gate electrodes, Wherein a length of the second gate electrode disposed immediately above in the first direction is reduced to a second width larger than the first width.
제19항에 있어서, 상기 제2 폭은 상기 제1 폭의 2배 이상인 수직형 메모리 장치.20. The vertical memory device of claim 19, wherein the second width is at least two times the first width.
KR1020150070338A 2015-03-10 2015-05-20 Vertical memory devices KR102339740B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/001,877 US9899394B2 (en) 2015-03-10 2016-01-20 Vertical memory devices having contact plugs contacting stacked gate electrodes
CN201610133440.3A CN106409831B (en) 2015-03-10 2016-03-09 Vertical memory device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20150032969 2015-03-10
KR1020150032969 2015-03-10

Publications (2)

Publication Number Publication Date
KR20160109989A true KR20160109989A (en) 2016-09-21
KR102339740B1 KR102339740B1 (en) 2021-12-15

Family

ID=57080841

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150070338A KR102339740B1 (en) 2015-03-10 2015-05-20 Vertical memory devices

Country Status (2)

Country Link
KR (1) KR102339740B1 (en)
CN (1) CN106409831B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200038138A (en) * 2018-10-02 2020-04-10 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method thereof
KR20200132385A (en) * 2019-05-17 2020-11-25 삼성전자주식회사 Three dimensional flash memory for integrating and manufacturing method thereof

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180110797A (en) * 2017-03-30 2018-10-11 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method thereof
CN107818984B (en) * 2017-11-01 2018-11-30 长江存储科技有限责任公司 A kind of 3D nand memory part and its manufacturing method
KR102443047B1 (en) * 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
WO2021237489A1 (en) * 2020-05-27 2021-12-02 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110048719A (en) * 2009-11-03 2011-05-12 삼성전자주식회사 Three dimensional semiconductor memory device and method of fabricating the same
KR20120026881A (en) * 2010-09-10 2012-03-20 삼성전자주식회사 Therr dimensional semiconductor memory devices
JP2012186302A (en) * 2011-03-04 2012-09-27 Micronics Internatl Co Ltd Method of decreasing number of masks for integrated circuit device having laminated connection level
KR20120131115A (en) * 2011-05-24 2012-12-04 매크로닉스 인터내셔널 컴퍼니 리미티드 Multilayer connection structure and making method
KR20140093116A (en) * 2013-01-17 2014-07-25 삼성전자주식회사 Method of manufacturing a vertical type semiconductor device
KR20140093038A (en) * 2013-01-17 2014-07-25 삼성전자주식회사 Step shape pad structure and wiring structure in vertical type semiconductor device

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4635069B2 (en) * 2008-03-26 2011-02-16 株式会社東芝 Nonvolatile semiconductor memory device
KR101434588B1 (en) * 2008-06-11 2014-08-29 삼성전자주식회사 Semiconductor Device And Method Of Fabricating The Same
JP5305980B2 (en) * 2009-02-25 2013-10-02 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
KR20130141876A (en) * 2012-06-18 2013-12-27 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
KR102037840B1 (en) * 2013-04-11 2019-10-29 삼성전자주식회사 Interconnecting structures for semiconductor device and manufacturing method thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110048719A (en) * 2009-11-03 2011-05-12 삼성전자주식회사 Three dimensional semiconductor memory device and method of fabricating the same
KR20120026881A (en) * 2010-09-10 2012-03-20 삼성전자주식회사 Therr dimensional semiconductor memory devices
JP2012186302A (en) * 2011-03-04 2012-09-27 Micronics Internatl Co Ltd Method of decreasing number of masks for integrated circuit device having laminated connection level
KR20120131115A (en) * 2011-05-24 2012-12-04 매크로닉스 인터내셔널 컴퍼니 리미티드 Multilayer connection structure and making method
KR20140093116A (en) * 2013-01-17 2014-07-25 삼성전자주식회사 Method of manufacturing a vertical type semiconductor device
KR20140093038A (en) * 2013-01-17 2014-07-25 삼성전자주식회사 Step shape pad structure and wiring structure in vertical type semiconductor device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200038138A (en) * 2018-10-02 2020-04-10 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method thereof
US11925021B2 (en) 2018-10-02 2024-03-05 SK Hynix Inc. Semiconductor device and manufacturing method of the semiconductor device
KR20200132385A (en) * 2019-05-17 2020-11-25 삼성전자주식회사 Three dimensional flash memory for integrating and manufacturing method thereof

Also Published As

Publication number Publication date
KR102339740B1 (en) 2021-12-15
CN106409831B (en) 2021-10-29
CN106409831A (en) 2017-02-15

Similar Documents

Publication Publication Date Title
US12063781B2 (en) Vertical memory device having first contact plugs connected to plurality of staircase gate electrodes, respectively and second contact plugs extending through the staircase gate structure in the pad region
USRE50137E1 (en) Vertical memory devices and methods of manufacturing the same
US11696442B2 (en) Vertical memory devices and methods of manufacturing the same
US10854622B2 (en) Vertical memory devices and methods of manufacturing the same
US10361217B2 (en) Vertical memory devices
US9899394B2 (en) Vertical memory devices having contact plugs contacting stacked gate electrodes
US9905664B2 (en) Semiconductor devices and methods of manufacturing the same
US10700085B2 (en) Vertical memory devices
US10943922B2 (en) Vertical memory devices
KR20190057803A (en) Three-dimensional semiconductor devices and method for fabricating the same
KR20170090045A (en) Vertical memory devices and methods of manufacturing the same
US11557603B2 (en) Semiconductor devices
KR20140105954A (en) Vertical memory devices and methods of manufacturing the same
KR20140092015A (en) Vertical memory devices and methods of manufacturing the same
KR102339740B1 (en) Vertical memory devices

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right