KR20160079688A - Substrate processing apparatus - Google Patents

Substrate processing apparatus Download PDF

Info

Publication number
KR20160079688A
KR20160079688A KR1020150183925A KR20150183925A KR20160079688A KR 20160079688 A KR20160079688 A KR 20160079688A KR 1020150183925 A KR1020150183925 A KR 1020150183925A KR 20150183925 A KR20150183925 A KR 20150183925A KR 20160079688 A KR20160079688 A KR 20160079688A
Authority
KR
South Korea
Prior art keywords
processing
wall
partition
slit
wafer
Prior art date
Application number
KR1020150183925A
Other languages
Korean (ko)
Other versions
KR101772775B1 (en
Inventor
마나부 아미쿠라
도시키 히나타
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160079688A publication Critical patent/KR20160079688A/en
Application granted granted Critical
Publication of KR101772775B1 publication Critical patent/KR101772775B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/026Shields
    • H01J2237/0268Liner tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/16Vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

The present invention is to independently process a plurality of substrates within a processing container to have in-plane uniformity. A wafer processing apparatus comprises: a processing container configured to air-tightly accommodate wafers; a plurality of loading stands configured to load the wafers within the processing container; a process gas supply part configured to supply process gas toward the wafers from an upper part of the loading stand; an exhaust mechanism configured to carry the process gas out of the processing container; a partition wall disposed within the processing container and configured to individually surround the loading stands with a gap left between the partition wall and each of the loading stands; and cylindrical inner walls (15) disposed on the bottom of the processing container and configured to individually surround the loading stands with a gap left between each of the inner walls and each of the loading stands. Slits (15c) are formed in the inner walls (15). The process gas within processing spaces is exhausted via the slits. The inner walls (15) include partition plates (110) for bypassing the process gas of the processing space so that the process gas does not directly flow into the slits (15c).

Description

기판 처리 장치{SUBSTRATE PROCESSING APPARATUS}[0001] SUBSTRATE PROCESSING APPARATUS [0002]

본 발명은 소정의 처리 가스를 사용하여 기판 처리를 행하는 기판 처리 장치에 관한 것이다. The present invention relates to a substrate processing apparatus that performs substrate processing using a predetermined process gas.

최근, 반도체 디바이스의 미세화에 수반하여, 드라이 에칭이나 웨트 에칭과 같은 종래의 에칭 기술에 대신하여, 화학적 산화물 제거(Chemical Oxide Removal: COR) 처리라고 불리는, 보다 미세화 에칭이 가능한 방법이 사용되고 있다.2. Description of the Related Art In recent years, with the miniaturization of semiconductor devices, a method capable of finer etching, which is called a chemical oxide removal (COR) process, has been used instead of conventional etching techniques such as dry etching and wet etching.

COR 처리는, 진공으로 유지된 처리 용기 내에 있어서, 예를 들어 피처리체로서의 반도체 웨이퍼(이하, 「웨이퍼」라고 함)에 대해 처리 가스를 공급하고, 이들 가스와, 예를 들어 웨이퍼 위에 형성된 막을 반응시켜 생성물을 생성하는 처리이다. COR 처리에 의해 웨이퍼 표면에 생성된 생성물은 다음 공정에서 가열 처리를 행함으로써 승화하고, 이에 의해 웨이퍼 표면의 막이 제거된다.The COR process is a process in which a process gas is supplied to a semiconductor wafer (hereinafter referred to as a " wafer ") as an object to be processed, for example, in a process container kept in a vacuum, To produce a product. The product produced on the wafer surface by the COR treatment is sublimated by performing the heat treatment in the next step, whereby the film on the wafer surface is removed.

이와 같은 COR 처리는 웨이퍼를 1매씩 처리하는 낱장식의 처리 장치에서 행해지지만, 최근에는, 스루풋의 향상을 도모하기 위해, 복수매의 웨이퍼를 동시에 처리하는 처리 장치가 사용되는 경우가 있다(특허문헌 1).Such a COR process is performed in a single-wafer processing apparatus that processes wafers one wafer at a time. In recent years, however, a processing apparatus that simultaneously processes a plurality of wafers is used in order to improve the throughput One).

특허문헌 1의 처리 장치에서는, 복수매, 예를 들어 2매의 웨이퍼 표면에 있어서 처리 가스의 흐름이 불균일해지는 것을 방지하기 위해, 처리 용기 내를 처리 공간과 배기 공간으로 구획하는 배플판을 설치하는 것이 제안되어 있다.In the processing apparatus of Patent Document 1, in order to prevent the flow of the processing gas from becoming uneven on a plurality of, for example, two wafer surfaces, a baffle plate for partitioning the processing vessel into a processing space and an exhaust space is provided Has been proposed.

일본 특허 출원 공개 제2007-214513호 공보Japanese Patent Application Laid-Open No. 2007-214513

그러나, 최근, 웨이퍼 처리의 균일성의 요구가 엄격해지고 있고, 상술한 바와 같이 2매의 웨이퍼를 동시에 처리하는 처리 장치에서는, 각 웨이퍼 표면에서의 처리 가스의 균일성을 확보하는 것이 곤란했다.However, in recent years, there has been a strict demand for uniformity of wafer processing, and it has been difficult to ensure the uniformity of the processing gas on each wafer surface in a processing apparatus that simultaneously processes two wafers as described above.

또한, 2매의 웨이퍼를 동시에 처리하는 처리 장치에서는, 각 웨이퍼를 별개로 독립된 레시피로 병행하여 처리하고자 하는 요구도 있고, 각 웨이퍼에 대해 독립된 처리 공간을 형성하는 것도 요망되고 있다.Further, in a processing apparatus for simultaneously processing two wafers, there is also a demand for processing wafers in parallel as independent recipes, and it is also desired to form independent processing spaces for each wafer.

본 발명은, 처리 용기 내에서 복수의 기판을 독립적이면서 면 내 균일하게 처리한다.The present invention independently treats a plurality of substrates uniformly in a plane in a processing vessel.

본 발명은 기판을 처리하는 기판 처리 장치로서, 기판을 기밀하게 수용하는 처리 용기와, 상기 처리 용기 내에서 기판을 적재하는 복수의 적재대와, 상기 적재대의 상방으로부터 상기 적재대를 향해 처리 가스를 공급하는 처리 가스 공급부와, 상기 처리 용기 내를 배기하는 배기 기구와, 상기 처리 용기 내에 배치되고, 상기 각 적재대의 외주와 간격을 두고 상기 적재대를 개별로 둘러싸는 격벽과, 상기 격벽을 후퇴 위치와 기판 처리 위치 사이에서 승강시키는 승강 기구와, 상기 처리 용기의 저면에 배치되며, 상기 적재대의 외주와 간격을 두고 상기 적재대를 개별로 둘러싸는 원통 형상의 이너 월을 포함하고, 상기 격벽을 상기 기판 처리 위치로 이동시킴으로써, 상기 격벽과 상기 이너 월에 의해 기판의 처리 공간이 형성되고, 상기 이너 월에는 슬릿이 형성되고, 상기 처리 공간 내의 처리 가스의 배기는 상기 슬릿을 통해 행해지고, 상기 이너 월은 상기 처리 공간 내의 처리 가스가 상기 슬릿에 직접 유입되지 않도록 우회시키는 구획판을 포함하고 있다.The present invention relates to a substrate processing apparatus for processing a substrate, comprising: a processing vessel for airtightly accommodating a substrate; a plurality of loading tables for loading substrates in the processing vessel; An exhaust mechanism for exhausting the interior of the processing vessel; a partition wall disposed in the processing vessel and individually surrounding the loading table with an interval from the outer periphery of each of the loading tables; And a cylindrical inner wall which is disposed on a bottom surface of the processing container and which surrounds the loading table at an interval from the outer periphery of the loading table, The processing space of the substrate is formed by the partition wall and the inner wall by moving to the substrate processing position, And forming, carried out through the slit of the exhaust process gas in the processing space, the inner month includes a partition plate which bypass to prevent the process gas in the processing space directly flows to the slit.

본 발명에 따르면, 복수의 적재대를 개별로 둘러싸는 격벽과 이너 월을 갖고 있으므로, 각 적재대마다 개별로 처리 공간을 형성할 수 있다. 그리고, 이너 월에 형성된 슬릿으로부터 처리 공간 내의 처리 가스를 배기하므로, 각 기판마다 가스 흐름의 균일성을 확보하여, 면 내 균일한 기판 처리를 행할 수 있다. 또한, 본 발명자들에 의하면, 처리 공간 내를 각 적재대에 대해 공통으로 설치된 배기 기구로 배기하는 경우, 각 처리 공간 내를, 슬릿을 통해 배기한 경우라도, 각 처리 공간 내에서 처리 가스가 서로 간섭하는 경우가 있는 것이 확인되어 있다. 이 점, 본 발명에서는 처리 공간 내의 처리 가스가 슬릿에 직접 유입되지 않도록 우회시키는 구획판이 이너 월에 설치되어 있으므로, 구획판을 설치하고 있지 않은 경우와 비교하여, 이너 월 내에서의 처리 가스의 배기 경로가 길어진다. 그렇게 하면, 배기 경로의 컨덕턴스가 작아져, 이너 월 내에 외부로부터 가스가 진입하기 어려워진다. 그 결과, 각 처리 공간 내에서 처리 가스가 서로 간섭하는 것을 방지하여, 각 기판마다 면 내 균일한 기판 처리를 독립적으로 행할 수 있다.According to the present invention, since the partition wall and the inner wall that individually surround a plurality of stacking stages are provided, a processing space can be formed individually for each stacking stage. Since the processing gas in the processing space is exhausted from the slits formed in the inner wall, the uniformity of the gas flow can be ensured for each substrate, and uniform substrate processing in the surface can be performed. Further, according to the inventors of the present invention, in the case of exhausting the inside of the processing space by an exhaust mechanism provided in common with each loading table, even when exhausted through each slit, It is confirmed that there is a case where interference occurs. In this respect, in the present invention, since the partition wall for bypassing the processing gas in the processing space to prevent direct introduction of the processing gas into the slit is provided in the inner wall, as compared with the case where the partition plate is not provided, The path becomes longer. As a result, the conductance of the exhaust passage becomes small, and gas is difficult to enter from the outside into the inner wall. As a result, it is possible to prevent the process gases from interfering with each other in each processing space, and independently perform substrate processing in the surface for each substrate.

상기 이너 월은 상기 적재대의 기판 적재면보다도 하방에 배치되어 있어도 된다.The inner wall may be disposed below the mounting surface of the loading table.

상기 슬릿은 상기 이너 월의 측면이며 상기 적재대의 기판 적재면보다도 하방에 등간격으로 복수 형성되고, 상기 구획판은 상기 이너 월의 중심으로부터 상기 슬릿을 시인할 수 없게 되는 위치에 배치되어 있어도 된다.The slits may be formed on the side wall of the inner wall and at equal intervals below the mounting surface of the mounting table, and the partition plate may be disposed at a position where the slit can not be seen from the center of the inner wall.

상기 구획판은 상기 이너 월의 내측면에 평행한 원호 형상을 갖고, 상기 처리 공간 내의 처리 가스는 상기 구획판과 상기 이너 월의 내측면 사이를, 상기 이너 월의 둘레 방향을 따라 흘러도 된다.The partition plate has an arc shape parallel to the inner surface of the inner wall, and the processing gas in the processing space may flow between the inner surface of the partition plate and the inner wall along the circumferential direction of the inner wall.

본 발명에 따르면, 처리 용기 내에서 복수의 기판을 독립적이면서 면 내 균일하게 처리할 수 있다.According to the present invention, a plurality of substrates can be processed independently and uniformly in a plane in a processing vessel.

도 1은 본 실시 형태에 따른 기판 처리 장치의 구성의 개략을 도시하는 종단면도이다.
도 2는 격벽의 구성의 개략을 도시하는 사시도이다.
도 3은 격벽을 후퇴 위치에 하강시켰을 때, 도 1의 기판 처리 장치의 구성의 개략을 도시하는 종단면도이다.
도 4는 이너 월의 구성의 개략을 도시하는 사시도이다.
도 5는 이너 월의 구성의 개략을 도시하는 횡단면도이다.
1 is a longitudinal sectional view schematically showing a configuration of a substrate processing apparatus according to the present embodiment.
2 is a perspective view schematically showing the configuration of the partition wall.
Fig. 3 is a longitudinal sectional view schematically showing the configuration of the substrate processing apparatus of Fig. 1 when the partition is lowered to the retreat position. Fig.
4 is a perspective view schematically showing the configuration of the inner wall.
5 is a cross-sectional view showing an outline of the configuration of the inner wall.

이하에 첨부 도면을 참조하면서, 본 발명의 실시 형태에 대해 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능 구성을 갖는 구성 요소에 대해서는, 동일한 번호를 부여함으로써 중복 설명을 생략한다. 도 1은 본 실시 형태에 따른 기판 처리 장치로서의 웨이퍼 처리 장치(1)를 개략적으로 도시한 종단면도이다. 또한, 본 실시 형태에서는 웨이퍼 처리 장치(1)가, 예를 들어 웨이퍼(W)에 대해 COR 처리를 행하는 COR 처리 장치인 경우를 예로 들어 설명한다.Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings. In the present specification and drawings, elements having substantially the same functional configuration are denoted by the same reference numerals, and redundant description will be omitted. 1 is a longitudinal sectional view schematically showing a wafer processing apparatus 1 as a substrate processing apparatus according to the present embodiment. In the present embodiment, the case where the wafer processing apparatus 1 is a COR processing apparatus that performs COR processing on the wafer W will be described as an example.

웨이퍼 처리 장치(1)는, 예를 들어 도 1에 도시한 바와 같이, 기밀하게 구성된 처리 용기(10)와, 처리 용기(10) 내에서 웨이퍼(W)를 적재하는 복수, 본 실시 형태에서는 2대의 적재대(11, 12)와, 적재대(11, 12)의 상방으로부터 처리 가스를 적재대(11, 12)를 향해 공급하는 처리 가스 공급부로서의 샤워 헤드(13)와, 각 적재대(11, 12)의 외측을 둘러싸는 승강 가능한 격벽(14)과, 처리 용기(10)의 저부에 고정되며, 각 적재대(11, 12)의 외측을 각각 개별로 둘러싸는 이너 월(15, 15)과, 격벽(14)을 승강시키는 승강 기구(16)를 갖고 있다.1, the wafer processing apparatus 1 includes, for example, a hermetically sealed processing vessel 10, a plurality of wafers W stacked in the processing vessel 10, in this embodiment, two A shower head 13 serving as a process gas supply unit for supplying the process gases from the upper portions of the stacking units 11 and 12 toward the stacking units 11 and 12, And inner walls 15 and 15 which are fixed to the bottom of the processing vessel 10 and individually surround the outer sides of the stacking tables 11 and 12, And a lifting mechanism (16) for lifting and raising the partition (14).

처리 용기(10)는, 예를 들어 알루미늄, 스테인리스 등의 금속에 의해 형성되며, 전체적으로, 예를 들어 대략 직육면체 형상의 용기이다. 처리 용기(10)는 평면에서 본 형상이, 예를 들어 대략 사각형이고 상면 및 하면이 개방된 통형상의 측벽(20)과, 측벽(20)의 상면을 기밀하게 덮는 천장판(21)과, 측벽(20)의 하면을 덮는 저판(22)을 갖고 있다. 측벽(20)의 상단면과 천장판(21) 사이에는, 처리 용기(10) 내를 기밀하게 유지하는 도시하지 않은 시일 부재가 설치되어 있다.The processing vessel 10 is formed of, for example, metal such as aluminum or stainless steel, and is generally a substantially rectangular parallelepiped vessel. The processing vessel 10 has a tubular sidewall 20 having a substantially rectangular shape and having open top and bottom surfaces and a ceiling plate 21 airtightly covering the top surface of the sidewall 20, And a bottom plate 22 covering the lower surface of the base plate 20. Between the upper surface of the side wall 20 and the ceiling plate 21, a sealing member (not shown) for keeping the inside of the processing vessel 10 airtight is provided.

적재대(11, 12)는 대략 원통 형상으로 형성되어 있고, 웨이퍼(W)를 적재하는 적재면을 구비한 상부대(11a, 12a)와, 저판(22)에 고정되며, 상부대(11a, 12a)를 지지하는 하부대(11b, 12b)를 각각 갖고 있다. 상부대(11a, 12a)에는 웨이퍼(W)의 온도를 조정하는 온도 조정 기구(30)가 각각 내장되어 있다. 온도 조정 기구(30)는, 예를 들어 물 등의 냉매를 순환시킴으로써 적재대(11)의 온도를 조정하여, 적재대(11) 위의 웨이퍼(W)의 온도를 제어한다. 또한, 적재대(11)와 적재대(12)는 상기와 같이 동일한 구성을 갖고 있고, 이하, 특별히 언급이 없는 경우, 적재대(11)에 대한 기재는 적재대(12)에 대해서도 마찬가지이므로, 적재대(12)에 대한 기재는 생략한다.The stacking tables 11 and 12 are formed in a substantially cylindrical shape and include upper and lower plates 11a and 12a having a stacking surface for stacking the wafers W, 12a supporting the lower units 11b, 12b, respectively. A temperature adjusting mechanism 30 for adjusting the temperature of the wafer W is incorporated in each of the upper units 11a and 12a. The temperature adjusting mechanism 30 controls the temperature of the wafer W on the loading table 11 by adjusting the temperature of the loading table 11 by circulating a coolant such as water for example. The description of the loading table 11 is the same as that of the loading table 12, unless otherwise noted, and therefore, the loading table 11 and the loading table 12 have the same configuration as described above. The description of the loading table 12 is omitted.

또한, 저판(22)에 있어서의 적재대(11)의 하방의 위치에는 도시하지 않은 지지 핀 유닛이 설치되어 있고, 웨이퍼 처리 장치(1)의 외부에 설치된 반송 기구(도시하지 않음)와의 사이에서 웨이퍼(W)를 전달 가능하게 구성되어 있다.A support pin unit (not shown) is provided at a position below the loading table 11 in the bottom plate 22 and is supported by a transfer mechanism (not shown) provided outside the wafer processing apparatus 1 So that the wafer W can be transferred.

샤워 헤드(13)는 처리 용기(10)의 천장판(21)의 하면에, 적재대(11) 및 적재대(12)와 각각 대향하여 개별로 설치되어 있다. 샤워 헤드(13)는, 예를 들어 하면이 개방되고, 천장판(21)의 하면에 지지된 대략 원통형의 틀체(31)와, 당해 틀체(31)의 내측면에 끼워 넣어진 대략 원판 형상의 샤워 플레이트(32)를 갖고 있다. 샤워 플레이트(32)는 틀체(31)의 천장부와 소정의 거리를 두고 설치되어 있다. 이에 의해, 틀체(31)의 천장부와 샤워 플레이트(32)의 상면 사이에는 공간(13a)이 형성되어 있다. 또한, 샤워 플레이트(32)에는 당해 샤워 플레이트(32)를 두께 방향으로 관통하는 개구(32a)가 복수 형성되어 있다.The shower head 13 is provided separately on the lower surface of the ceiling plate 21 of the processing vessel 10 so as to face the loading table 11 and the loading table 12 respectively. The shower head 13 includes a substantially cylindrical frame body 31 which is opened on the lower surface and supported on the lower surface of the ceiling plate 21 and a substantially cylindrical shower body 31 sandwiched by the inner surface of the frame body 31. [ And a plate 32. The shower plate 32 is provided at a predetermined distance from the ceiling of the frame 31. Thereby, a space 13a is formed between the ceiling portion of the frame 31 and the upper surface of the shower plate 32. The shower plate 32 is provided with a plurality of openings 32a passing through the shower plate 32 in the thickness direction thereof.

틀체(31)의 천장부와 샤워 플레이트(32) 사이의 공간(13a)에는 가스 공급관(33)을 통해 가스 공급원(34)이 접속되어 있다. 가스 공급원(34)은 처리 가스로서, 예를 들어 불화수소(HF) 가스나 암모니아(NH3) 가스 등을 공급 가능하게 구성되어 있다. 그 때문에, 가스 공급원(34)으로부터 공급된 처리 가스는 공간(13a), 샤워 플레이트(32)를 통해, 각 적재대(11, 12) 위에 적재된 웨이퍼(W)를 향해 공급된다. 또한, 가스 공급관(33)에는 처리 가스의 공급량을 조절하는 유량 조절 기구(35)가 설치되어 있고, 각 웨이퍼(W)에 공급하는 처리 가스의 양을 개별로 제어할 수 있도록 구성되어 있다. 또한, 샤워 헤드(13)는, 예를 들어 복수 종류의 처리 가스를 혼합하지 않고 개별로 공급 가능한 포스트 믹스 타입이어도 된다.A gas supply source 34 is connected to a space 13a between the ceiling portion of the frame 31 and the shower plate 32 through a gas supply pipe 33. [ The gas supply source 34 is configured to be capable of supplying, for example, hydrogen fluoride (HF) gas, ammonia (NH 3 ) gas, or the like as a process gas. The process gas supplied from the gas supply source 34 is supplied to the wafers W stacked on the respective stacking tables 11 and 12 through the space 13a and the shower plate 32. [ The gas supply pipe 33 is provided with a flow rate regulating mechanism 35 for regulating the supply amount of the process gas so that the amount of the process gas supplied to each wafer W can be individually controlled. Further, the shower head 13 may be a post mix type which can be supplied individually without mixing a plurality of types of process gases, for example.

격벽(14)은, 예를 들어 도 2에 도시한 바와 같이, 2개의 적재대(11, 12)를 각각 개별로 둘러싸는 2개의 원통부(40, 40)와, 원통부(40, 40)의 상단에 설치된 플랜지부(41)를 갖고 있다. 원통부(40)의 내경은 적재대(11)의 외측면보다도 크게 설정되어 있고, 원통부(40)와 적재대(11) 사이에 간극이 형성되도록 되어 있다.As shown in Fig. 2, for example, the partition wall 14 includes two cylindrical portions 40 and 40 which individually surround the two stacking bases 11 and 12, cylindrical portions 40 and 40, And a flange portion 41 provided at an upper end of the flange portion 41. The inner diameter of the cylindrical portion 40 is set larger than the outer surface of the loading table 11 so that a gap is formed between the cylindrical portion 40 and the loading table 11. [

플랜지부(41)의 상면에는, 도 1에 도시한 바와 같이 승강 기구(16)에 의해 격벽(14)을 상승시킴으로써 당해 플랜지부(41)와 틀체(31)가 맞닿았을 때에, 틀체(31)와의 사이를 기밀하게 막는, 예를 들어 O링 등의 시일 부재(43)가 각 적재대(11, 12)에 대응하여 설치되어 있다. 그리고, 격벽(14)을 상승시켜, 틀체(31)와 시일 부재(43)를 맞닿게 함으로써, 적재대(11), 격벽(14) 및 샤워 헤드(13)로 둘러싸인 처리 공간(S)이 형성된다.1, when the flange portion 41 and the frame body 31 come into contact with each other by raising the partition wall 14 by the lifting mechanism 16, the frame body 31 A sealing member 43 such as an O-ring, for example, is provided corresponding to each of the stacking tables 11, 12. The processing space S surrounded by the loading table 11, the partition wall 14 and the shower head 13 is formed by raising the partition wall 14 and bringing the frame 31 and the sealing member 43 into contact with each other do.

격벽(14)의 높이는, 도 3에 도시한 바와 같이, 예를 들어 승강 기구(16)에 의해 격벽(14)을 하강시켰을 때에, 플랜지부(41)의 상면이, 예를 들어 적재대(11)의 상면보다도 하방에 위치하도록 설정되어 있다. 이에 의해, 격벽(14)을 하강시킴으로써, 처리 용기(10)의 외부로부터 웨이퍼(W)에 대해 액세스 가능해진다. 또한, 격벽(14)의 플랜지부(41)가 틀체(31)와 맞닿는[처리 공간(S)이 형성되는] 위치를 「웨이퍼 처리 위치」라고 하고, 격벽(14)을 저판(22) 근방 혹은 저판(22)에 맞닿을 때까지 하강시킨 위치를 「후퇴 위치」라고 하는 경우가 있다. 또한, 도 1에서는, 격벽(14)이 웨이퍼 처리 위치에, 도 3에서는, 격벽(14)이 후퇴 위치에 있는 상태를 각각 도시하고 있다.3, when the partition 14 is lowered by the lifting mechanism 16, for example, the upper surface of the flange portion 41 is raised to the height of the stage 11 In the present embodiment. This makes it possible to access the wafer W from the outside of the processing vessel 10 by lowering the partition 14. A position at which the flange portion 41 of the partition wall 14 contacts the frame 31 is defined as the wafer processing position and the partition wall 14 is located near the bottom plate 22 A position lowered until it abuts against the bottom plate 22 may be referred to as " retracted position ". 1 shows a state in which the partition 14 is in the wafer processing position and in Fig. 3 the partition 14 is in the retracted position.

이너 월(15)은 대략 원통 형상의 본체부(15a)와, 본체부(15a)의 상단부에 설치된, 당해 이너 월(15)의 반경 방향을 향해 수평으로 돌출되는 돌출 부재(15b)를 갖고 있다. 이너 월(15)은, 예를 들어 도 1에 도시한 바와 같이, 적재대(11, 12)의 하부대(11b, 12b)를 각각 개별로 둘러싸도록 배치되어 있다. 이너 월(15)의 본체부(15a)의 내경은 하부대(11b, 12b)의 외경보다도 크게 설정되어 있고, 이너 월(15)과 하부대(11b, 12b) 사이에 각각 배기 공간(V)이 형성된다. 그리고, 이너 월(15)의 높이는, 예를 들어 도 1에 도시한 바와 같이, 승강 기구(16)에 의해 격벽(14)을 웨이퍼 처리 위치까지 상승시켰을 때에, 격벽(14)의 원통부(40)의 내측면과, 이너 월(15)의 돌출 부재(15b)가 맞닿도록 설정되어 있다. 이에 의해, 이너 월(15)과 격벽(14)이 기밀하게 접촉한다.The inner wall 15 has a substantially cylindrical main body portion 15a and a protruding member 15b provided on the upper end of the main body portion 15a and projecting horizontally toward the radial direction of the inner wall 15 . The inner wall 15 is arranged so as to individually surround the lower units 11b and 12b of the stacking tables 11 and 12 as shown in Fig. The inner diameter of the main body portion 15a of the inner wall 15 is set to be larger than the outer diameter of the lower portions 11b and 12b and the exhaust space V is provided between the inner wall 15 and the lower portions 11b and 12b, . 1, when the partition 14 is raised to the wafer processing position by the lifting mechanism 16, the height of the inner wall 15 is set to be larger than the height of the cylindrical portion 40 of the partition 14 And the projecting members 15b of the inner wall 15 are in contact with each other. As a result, the inner wall 15 and the partition wall 14 are in airtight contact with each other.

또한, 각 이너 월(15)의 하방에는 복수의 슬릿(15c)이 형성되어 있다. 이 슬릿(15c)의 구성의 상세에 대해서는 후술한다.In addition, a plurality of slits 15c are formed under each inner wall 15. Details of the configuration of the slit 15c will be described later.

격벽(14)을 승강시키는 승강 기구(16)는 처리 용기(10)의 외부에 배치된 액추에이터(50)와, 액추에이터(50)에 접속되고, 처리 용기(10)의 저판(22)을 관통하여 처리 용기(10) 내를 연직 상방으로 연신하는 구동축(51)과, 선단이 격벽(14)에 접속되고, 다른 쪽의 단부가 처리 용기(10)의 외부까지 연신되는 복수의 가이드축(52)을 갖고 있다. 가이드축(52)은 구동축(51)에 의해 격벽(14)을 승강시킬 때 격벽(14)이 기울거나 하는 것을 방지하는 것이다.The lifting mechanism 16 for lifting the partition wall 14 includes an actuator 50 disposed outside the processing vessel 10 and an actuator 50 connected to the actuator 50 and penetrating the bottom plate 22 of the processing vessel 10 A plurality of guide shafts 52 whose tips are connected to the partition wall 14 and the other end is extended to the outside of the processing vessel 10; Lt; / RTI > The guide shaft 52 prevents the partition wall 14 from tilting when the partition wall 14 is moved up and down by the drive shaft 51.

구동축(51)에는 신축 가능한 벨로즈(60)의 하단부가 기밀하게 접속되어 있다. 벨로즈(60)의 상단부는 저판(22)의 하면과 기밀하게 접속되어 있다. 그 때문에, 구동축(51)이 승강했을 때에, 벨로즈(60)가 연직 방향을 따라 신축함으로써, 처리 용기(10) 내가 기밀하게 유지되도록 되어 있다. 또한, 구동축(51)과 벨로즈(60) 사이에는, 승강 동작 시의 가이드로서 기능하는, 예를 들어 저판(22)에 고정된 슬리브(도시하지 않음)가 설치되어 있다.A lower end portion of a bellows 60 capable of expanding and contracting is hermetically connected to the drive shaft 51. The upper end of the bellows (60) is hermetically connected to the lower surface of the bottom plate (22). Therefore, when the drive shaft 51 is moved up and down, the bellows 60 is expanded and contracted along the vertical direction, so that the processing vessel 10 is kept airtight. Between the drive shaft 51 and the bellows 60, a sleeve (not shown) fixed to the bottom plate 22, for example, which functions as a guide in the lifting operation, is provided.

가이드축(52)에는 구동축(51)과 마찬가지로 신축 가능한 벨로즈(61)가 접속되어 있다. 또한, 벨로즈(61)의 상단부는 저판(22)과 측벽(20)에 걸치어, 양쪽에 기밀하게 접속되어 있다. 그 때문에, 구동축(51)에 의한 격벽(14)의 승강 동작에 수반하여 가이드축(52)이 승강했을 때에, 벨로즈(61)가 연직 방향을 따라 신축함으로써, 처리 용기(10) 내가 기밀하게 유지되도록 되어 있다. 또한, 가이드축(52)과 벨로즈(61) 사이에도, 구동축(51)의 경우와 마찬가지로, 승강 동작 시의 가이드로서 기능하는 슬리브(도시하지 않음)가 설치되어 있다.A flexible bellows 61 is connected to the guide shaft 52 in the same manner as the drive shaft 51. The upper end portion of the bellows 61 is hung on the bottom plate 22 and the side wall 20, and is hermetically connected to both sides. Therefore, when the guide shaft 52 is lifted and lowered with the lifting operation of the partition wall 14 by the drive shaft 51, the bellows 61 is expanded and contracted along the vertical direction, Respectively. A sleeve (not shown) functioning as a guide in the lifting operation is also provided between the guide shaft 52 and the bellows 61 as in the case of the drive shaft 51.

또한, 벨로즈(61)의 상단부는 고정측의 단부이고, 가이드축(52)과 접속된 벨로즈(61)의 하단부는 자유측의 단부로 되어 있으므로, 처리 용기(10) 내가 부압으로 되면, 벨로즈(61)의 내외의 압력차에 의해 벨로즈(61)를 연직 방향으로 압축하는 힘이 작용한다. 그 때문에, 벨로즈(61)의 자유측의 단부에 접속된 가이드축(52)은 벨로즈(61)가 수축하는 것에 의해 연직 상방으로 상승한다. 이에 의해, 격벽(14)을 균등하게 상승시켜, 시일 부재(43)와 틀체(31)를 적절하게 접촉시킴으로써, 격벽(14)과 틀체(31) 사이의 시일성을 확보할 수 있다. 또한, 가이드축(52)에는 탄성 부재로서의 벨로즈(61)로부터의 반력이나, 가이드축(52) 그 자체의 자중 등에 의해 당해 가이드축(52)을 하방으로 밀어내리는 힘이 작용하지만, 벨로즈(61)의 직경을 적절히 설정함으로써 가이드축(52)에 작용하는 차압이 조정된다.Since the lower end of the bellows 61 connected to the guide shaft 52 is the free end, when the processing vessel 10 becomes negative in pressure, the upper end of the bellows 61 is at the fixed end, A force for compressing the bellows 61 in the vertical direction acts by the pressure difference between the inside and the outside of the bellows 61. [ Therefore, the guide shaft 52 connected to the free end of the bellows 61 ascends vertically upward as the bellows 61 contracts. This makes it possible to ensure the sealing property between the partition wall 14 and the frame body 31 by evenly raising the partition wall 14 and bringing the seal member 43 into proper contact with the frame body 31. [ A force is applied to the guide shaft 52 to push the guide shaft 52 downward by a reaction force from the bellows 61 as an elastic member and a weight of the guide shaft 52 itself. The differential pressure acting on the guide shaft 52 is adjusted by appropriately setting the diameter of the guide shaft 61.

처리 용기(10)의 저판(22)이며 이너 월(15)의 외측에는, 처리 용기(10) 내를 배기하는 배기 기구(100)가 배기관(101)을 통해 접속되어 있다. 배기관(101)에는 배기 기구(100)에 의한 배기량을 조절하는 조절 밸브(102)가 설치되어 있다. 또한, 저판(22)에는 적재대(11) 및 적재대(12)의 각각의 처리 공간(S)의 압력을 계측하기 위한, 압력 측정 기구(도시하지 않음)가 설치되어 있다. 조절 밸브(102)의 개방도는, 예를 들어 이 압력 측정 기구에 의한 측정값에 기초하여 제어된다.An exhaust mechanism 100 for exhausting the inside of the processing container 10 is connected to the outside of the inner wall 15 via a bottom pipe 22 of the processing container 10 through an exhaust pipe 101. The exhaust pipe (101) is provided with a control valve (102) for controlling the exhaust amount by the exhaust mechanism (100). The bottom plate 22 is provided with a pressure measuring mechanism (not shown) for measuring the pressure in the processing space S of the loading table 11 and the loading table 12, respectively. The opening degree of the regulating valve 102 is controlled based on, for example, a measured value by the pressure measuring mechanism.

웨이퍼 처리 장치(1)에는, 도 1에 도시한 바와 같이 제어 장치(200)가 설치되어 있다. 제어 장치(200)는, 예를 들어 컴퓨터이고, 프로그램 저장부(도시하지 않음)를 갖고 있다. 프로그램 저장부에는 웨이퍼 처리 장치(1)에 있어서의 웨이퍼(W)의 처리를 제어하는 프로그램이 저장되어 있다. 또한, 상기 프로그램은, 예를 들어 컴퓨터 판독 가능한 하드 디스크(HD), 플렉시블 디스크(FD), 콤팩트 디스크(CD), 마그네트 옵티컬 데스크(MO), 메모리 카드 등의 컴퓨터 판독 가능한 기억 매체에 기록되어 있던 것이며, 그 기억 매체로부터 제어 장치(200)에 인스톨된 것이어도 된다.The wafer processing apparatus 1 is provided with a control apparatus 200 as shown in Fig. The control device 200 is, for example, a computer and has a program storage unit (not shown). A program for controlling the processing of the wafer W in the wafer processing apparatus 1 is stored in the program storage unit. The program may be stored in a computer readable storage medium such as a computer readable hard disk (HD), a flexible disk (FD), a compact disk (CD), a magnet optical disk And may be installed in the control apparatus 200 from the storage medium.

다음에, 이너 월(15)의 슬릿(15c) 근방의 구성에 대해 도 4 및 도 5를 사용하여 설명한다. 도 4는 이너 월(15)의 구성의 개략에 대해 도시하는, 하방에서 본 사시도이고, 도 5는 이너 월(15)의 횡단면도이다.Next, a structure in the vicinity of the slit 15c of the inner wall 15 will be described with reference to Figs. 4 and 5. Fig. Fig. 4 is a perspective view of the inner wall 15 as viewed from below, and Fig. 5 is a cross-sectional view of the inner wall 15. Fig.

도 4, 도 5에 도시한 바와 같이, 각 슬릿(15c)은 이너 월(15)의 둘레 방향을 따라 등간격으로 배치되어 있고, 각 슬릿(15c) 근방에는, 예를 들어 대략 원호 형상의 구획판(110)이 본체부(15a)의 내측에 설치되어 있다. 또한, 도 4, 도 5에서는 둘레 방향을 따라 슬릿(15c)과 구획판(110)이 각각 3개소에 형성된 상태를 도시하고 있다.4 and 5, the slits 15c are arranged at regular intervals along the circumferential direction of the inner wall 15. In the vicinity of each slit 15c, for example, a substantially arc- The plate 110 is provided inside the main body portion 15a. 4 and 5 show a state in which the slit 15c and the partition plate 110 are formed at three positions along the circumferential direction.

구획판(110)과 본체부(15a) 사이에는 소정의 폭(L)의 간극(G)이 형성되도록, 구획판(110)은 본체부(15a)와 대략 동심원 형상으로 배치되어 있다. 간극(G)의 폭(L)은 구획판(110)의 길이 방향에 걸쳐 대략 균일하게 되어 있고, 본 실시 형태에서는, 간극(G)의 폭(L)은 대략 13.5㎜로 설정되어 있다. 또한, 슬릿(15c)의 높이는 대략 60㎜로 설정되어 있다.The partition plate 110 is arranged substantially concentrically with the main body portion 15a so that a gap G of a predetermined width L is formed between the partition plate 110 and the main body portion 15a. The width L of the gap G is substantially uniform over the longitudinal direction of the partition plate 110. In this embodiment, the width L of the gap G is set to approximately 13.5 mm. The height of the slit 15c is set to about 60 mm.

또한, 구획판(110)은, 예를 들어 이너 월(15)의 중심 위치로부터 수평으로 슬릿(15c)의 방향을 보았을 때에, 구획판(110)에 의해 슬릿(15c)이 덮여 시인할 수 없도록 배치되어 있다. 그 때문에, 샤워 헤드(13)로부터 공급되고, 처리 공간(S)을 통해 이너 월(15) 내의 배기 공간(V)에 진입한 처리 가스는, 최단 거리에서 직접 슬릿(15c)으로 유입되는 것은 아니고, 예를 들어 도 5에 파선의 화살표로 나타낸 바와 같이, 구획판(110)과 본체부(15a) 사이의 간극(G)을 통해 슬릿(15c)으로부터 배기된다. 즉, 구획판(110)에 의해, 배기 공간(V)의 처리 가스는 우회하여 간극(G)을 지나 슬릿(15c)으로부터 배기된다. 또한, 본 실시 형태에서는, 도 5에 도시하는, 구획판(110)의 둘레 방향의 길이 M은 대략 164㎜로 설정되어 있다. 따라서, 배기 공간(V)에 진입한 처리 가스는 구획판(110)에 의해 대략 164㎜만큼 간극(G)을 지나 슬릿(15c)으로부터 우회하여 배기된다.When the direction of the slit 15c is horizontally viewed from the center position of the inner wall 15, the partition plate 110 is formed so that the slit 15c can not be seen by the partition plate 110 and can not be seen Respectively. Therefore, the processing gas supplied from the showerhead 13 and entering the exhaust space V in the inner wall 15 through the processing space S is not directly introduced into the slit 15c at the shortest distance And is exhausted from the slit 15c through the gap G between the partition plate 110 and the main body portion 15a as indicated by the broken arrow in Fig. That is, by the partition plate 110, the process gas in the exhaust space V is bypassed and exhausted from the slit 15c through the gap G. [ In the present embodiment, the length M in the circumferential direction of the partition plate 110 shown in Fig. 5 is set to about 164 mm. Therefore, the process gas entering the exhaust space V is bypassed from the slit 15c through the gap G by about 164 mm by the partition plate 110 and is exhausted.

여기서, 슬릿(15c)을 형성하는 목적에 대해 설명한다. 예를 들어, 본 실시 형태의 웨이퍼 처리 장치(1)와 같이, 복수의 처리 공간(S)에 대해 공통으로 배기 기구(100)가 설치되어 있는 경우, 각 처리 공간(S) 사이에서 처리 가스가 간섭하지 않도록 하기 위해서는, 슬릿(15c)을 최대한 작게 하여, 처리 공간(S)의 외부로부터 처리 공간(S) 내로 처리 가스가 유입되지 않도록 하는 것이 바람직하다. 따라서, 본 발명자들은 슬릿(15c)을 갖는 이너 월(15)에 있어서 최적의 슬릿(15c)의 치수를 설정하기 위해, 한쪽의 처리 공간(S)과 다른 쪽의 처리 공간(S)에 각각 다른 처리 가스를 공급함과 함께, 슬릿(15c)의 치수를 변화시켜, 처리 공간(S) 압력과 각 처리 공간에 있어서의 처리 가스의 농도가 어떻게 변화되는지를 확인하는 시험을 행하였다. 또한, 시험에 있어서의 이너 월(15)에는 구획판(110)은 설치되어 있지 않다.Here, the purpose of forming the slit 15c will be described. For example, in the case where the exhaust mechanism 100 is provided commonly to a plurality of processing spaces S as in the wafer processing apparatus 1 of the present embodiment, It is preferable that the slit 15c is made as small as possible so that the process gas does not flow into the process space S from outside the process space S. [ The inventors of the present invention have found that in order to set the optimum slit 15c in the inner wall 15 having the slit 15c, A test was performed to supply the process gas and change the dimensions of the slit 15c to check how the process space S pressure and the concentration of the process gas in each process space change. Further, the partition wall 110 is not provided in the inner wall 15 in the test.

시험의 결과, 슬릿(15c)의 개구 면적을 작게 할수록 처리 가스의 농도는 높아지는, 즉, 다른 쪽의 처리 공간(S)으로부터의 처리 가스의 순환량은 적어지지만, 처리 공간(S)의 압력이 상승하고, 처리 가스의 순환량을 원하는 값으로 억제하면, 처리 공간(S)의 압력이 웨이퍼 처리의 요구값을 만족시킬 수 없게 되는 것을 알 수 있었다. 즉, 슬릿(15c)의 개구 면적을 작게 하면, 슬릿(15c)의 압력 손실이 상승할 뿐이며, 처리 가스의 순환량과 처리 공간(S)의 압력은 트레이드 오프의 관계에 있다.As a result of the test, as the opening area of the slit 15c is made smaller, the concentration of the processing gas becomes higher, that is, the amount of circulation of the processing gas from the other processing space S becomes smaller. However, And the amount of circulation of the processing gas is controlled to a desired value, the pressure of the processing space S can not satisfy the required value of the wafer processing. That is, if the opening area of the slit 15c is made small, the pressure loss of the slit 15c is increased only, and the circulation amount of the processing gas and the pressure of the processing space S are in a trade-off relationship.

따라서, 본 발명자들은 슬릿(15c)에 의한 압력 손실을 억제하면서, 처리 가스의 순환을 저감하는 방법에 대해 예의 검토하여, 이너 월(15) 내의 배기 경로의 길이를 길게 함으로써, 압력 손실의 증가를 최소한으로 억제하면서, 처리 가스의 순환량을 저감할 수 있다는 지견을 얻었다. 본 발명은 이 지견에 기초하는 것이다. 그 때문에 본 실시 형태에서는, 이너 월(15)의 내측면에 구획판(110)을 설치함으로써, 본체부(15a)와 구획판(110) 사이에 간극(G)을 형성하고, 배기 공간(V) 내의 처리 가스를, 이 간극(G)을 통해 슬릿(15c)으로부터 배기함으로써, 간극(G)의 둘레 방향을 따른 길이 분만큼 배기 경로를 길게 하고 있다.Therefore, the inventors of the present invention have studied the method of reducing the circulation of the processing gas while suppressing the pressure loss by the slit 15c, and by increasing the length of the exhaust path in the inner wall 15, The amount of circulation of the process gas can be reduced while suppressing it to a minimum. The present invention is based on this finding. A gap G is formed between the main body portion 15a and the partition plate 110 so that the exhaust gas is discharged into the exhaust space V Is exhausted from the slit 15c through the gap G to lengthen the exhaust path by the length along the circumferential direction of the gap G. [

본 실시 형태에 따른 웨이퍼 처리 장치(1)는 이상과 같이 구성되어 있고, 다음에, 웨이퍼 처리 장치(1)에 있어서의 웨이퍼(W) 처리에 대해 설명한다.The wafer processing apparatus 1 according to the present embodiment is configured as described above. Next, processing of the wafer W in the wafer processing apparatus 1 will be described.

웨이퍼 처리에 있어서는, 도 3에 도시한 바와 같이, 우선 격벽(14)이 후퇴 위치까지 강하한 상태에서, 웨이퍼 처리 장치(1)의 외부에 설치된 반송 기구(도시하지 않음)에 의해 처리 용기(10) 내에 웨이퍼(W)가 반송되어, 각 적재대(11, 12) 위에 적재된다.3, the processing vessel 10 is moved by a transport mechanism (not shown) provided outside the wafer processing apparatus 1 in a state where the partition wall 14 is lowered to the retreating position, And the wafer W is stacked on each of the stacking tables 11 and 12.

그 후, 도 1에 도시한 바와 같이, 격벽(14)을 웨이퍼 처리 위치까지 상승시킨다. 이에 의해, 격벽(14)에 의해 처리 공간(S)이 형성된다.Thereafter, as shown in Fig. 1, the partition wall 14 is raised to the wafer processing position. Thereby, the processing space S is formed by the partition wall 14. [

그리고, 소정의 시간에, 배기 기구(100)에 의해 처리 용기(10)의 내부를 소정의 압력까지 배기함과 함께, 가스 공급원(34)으로부터 각각 처리 가스가 처리 용기(10) 내에 공급되어, 웨이퍼(W)에 대해 소정의 처리, 본 실시 형태에서는, 예를 들어 COR 처리가 행해진다.The exhaust mechanism 100 exhausts the interior of the processing vessel 10 to a predetermined pressure and the processing gas is supplied from the gas supply source 34 into the processing vessel 10 at predetermined times, The wafer W is subjected to predetermined processing, for example, COR processing in the present embodiment.

COR 처리에 있어서는, 가스 공급원(34)으로부터 공급된 처리 가스는 샤워 플레이트(32)를 통해 웨이퍼(W)에 공급된다. 이때, 적재대(11, 12)를 둘러싸도록 격벽(14)이 설치되어 있으므로, 샤워 플레이트(32)로부터 공급된 처리 가스는 웨이퍼 면 내에 균일하게 공급된다.In the COR process, the process gas supplied from the gas supply source 34 is supplied to the wafer W through the shower plate 32. At this time, since the partition wall 14 is provided so as to surround the mounts 11 and 12, the process gas supplied from the shower plate 32 is uniformly supplied into the wafer surface.

처리 공간(S) 내의 처리 가스는 배기 공간(V), 각 이너 월(15)의 슬릿(15c)을 통해, 배기 기구(100)로부터 배출된다. 이때, 처리 가스는 구획판(110)에 의해 우회하고, 배기 공간(V)에 있어서 구획판(110)과 이너 월(15) 사이의 간극(G)을 지나 우회하여 배기되므로, 처리 공간(S) 내의 압력은 원하는 진공도까지 감압한 상태를 유지하면서, 각 이너 월(15)로부터의 슬릿(15c)을 통한 처리 가스의 순환이 최소한으로 억제되므로, 각 처리 공간(S) 내에서 처리 가스가 간섭하는 일이 없다.The processing gas in the processing space S is discharged from the exhaust mechanism 100 through the exhaust space V and the slit 15c of each inner wall 15. [ At this time, the process gas is bypassed by the partition plate 110 and is exhausted while being bypassed through the gap G between the partition plate 110 and the inner wall 15 in the exhaust space V, Is kept to a desired degree of vacuum while the circulation of the processing gas through the slit 15c from each inner wall 15 is minimized so that the processing gas in each processing space S is prevented from interfering There is nothing to do.

COR 처리가 행해지면, 격벽(14)이 후퇴 위치로 강하하고, 웨이퍼 반송 기구(도시하지 않음)에 의해 각 적재대(11, 12) 위의 웨이퍼(W)가 웨이퍼 처리 장치(1)의 외부로 반출된다. 그 후, 웨이퍼 처리 장치(1)의 외부에 설치된 가열 장치에 의해 웨이퍼(W)가 가열되고, COR 처리에 의해 발생한 반응 생성물이 기화하여 제거된다. 이에 의해, 일련의 웨이퍼 처리가 종료된다.When the COR process is performed, the partition wall 14 is lowered to the retreat position and the wafer W on each of the stacking tables 11 and 12 is moved to the outside of the wafer processing apparatus 1 by a wafer transfer mechanism (not shown) . Thereafter, the wafer W is heated by the heating device provided outside the wafer processing apparatus 1, and the reaction products generated by the COR processing are vaporized and removed. Thereby, a series of wafer processing ends.

이상의 실시 형태에 의하면, 복수의 적재대(11, 12)를 개별로 둘러싸는 격벽(14)과 이너 월(15)을 갖고 있으므로, 각 적재대(11, 12)에 개별로 처리 공간(S)을 형성할 수 있다. 그리고, 이너 월(15)에 형성된 슬릿(15c)으로부터 처리 공간(S) 내의 처리 가스를 배기하므로, 각 웨이퍼(W)마다 가스 흐름의 균일성을 확보하여, 면 내 균일한 웨이퍼 처리를 행할 수 있다.According to the above embodiment, since the partition wall 14 and the inner wall 15 that individually surround the plurality of stacking tables 11 and 12 and the inner walls 15 are provided, Can be formed. Since the process gas in the process space S is exhausted from the slit 15c formed in the inner wall 15, uniformity of gas flow can be ensured for each wafer W, and uniform wafer processing in the surface can be performed have.

또한, 이너 월(15)에는 처리 공간(S) 내의 처리 가스가 슬릿(15c)에 직접 유입되지 않도록 우회시키는 구획판(110)이 설치되어 있으므로, 구획판(110)을 설치하고 있지 않은 경우와 비교하여, 이너 월(15) 내에서의 처리 가스의 배기 경로가 길어진다. 그렇게 하면, 이너 월(15) 내에 외부로부터 처리 가스가 진입하기 어려워짐과 함께, 배기 경로에 있어서의 압력 손실의 상승은 최소한으로 억제할 수 있다. 그 결과, 각 처리 공간(S) 내에서 처리 가스가 서로 간섭하는 것을 방지하여, 각 웨이퍼(W)마다 면 내 균일한 웨이퍼 처리를 독립적으로 행할 수 있다.Since the inner wall 15 is provided with the partition plate 110 for bypassing the processing gas in the processing space S so that the processing gas does not directly flow into the slit 15c, the case where the partition plate 110 is not provided In comparison, the exhaust path of the process gas in the inner wall 15 becomes longer. This makes it difficult for the process gas to enter the inner wall 15 from the outside, and the increase in the pressure loss in the exhaust passage can be minimized. As a result, it is possible to prevent the process gases from interfering with each other in the process space S, and independently perform the wafer process in the surface for each wafer W independently.

또한, 이상의 실시 형태에서는 구획판(110)을 이너 월(15)의 본체부(15a)를 따른 원호 형상으로 형성하고 있었지만, 구획판(110)의 형상은 본 실시 형태의 내용에 한정되는 것은 아니고, 배기 공간(V)에 있어서의 배기 경로를 길게 할 수 있는 것이면, 임의로 설정할 수 있다. 즉, 구획판(110)은 원호 형상일 필요는 없고, 예를 들어 직선 형상이어도 된다.In the above embodiment, the partition plate 110 is formed in an arc shape along the main body portion 15a of the inner wall 15. However, the shape of the partition plate 110 is not limited to the contents of the present embodiment So long as the exhaust path in the exhaust space V can be made longer. That is, the partition plate 110 is not necessarily circular, but may be linear, for example.

이상의 실시 형태에서는, 이너 월(15)은 적재대(11)의 적재면보다 하방에 설치되어 있었지만, 격벽(14) 및 이너 월(15)의 연직 방향의 길이에 대해서는 임의로 설정이 가능하다. 즉, 격벽(14)을 웨이퍼 처리 위치 및 후퇴 위치로 이동시켰을 때에, 적절히 처리 공간(S)을 형성함과 함께, 웨이퍼(W)에 액세스 가능해지도록 구성되어 있으면, 예를 들어 이너 월(15)의 상단이 적재대(11)의 적재면보다 상방에 위치하고 있어도 된다. 단, 처리 공간(S) 내의 기류의 균일성을 유지한다는 관점에서는, 적재대(11)의 적재면보다도 상방에 기류에 영향을 미치는 구조물은 최대한 설치하지 않는 것이 바람직하다. 그 때문에, 슬릿(15c) 및 구획판(110)은 적재대(11)의 적재면보다도 하방에 배치하는 것이 바람직하다.In the above embodiment, the inner wall 15 is provided below the loading surface of the loading table 11, but the length in the vertical direction of the partition 14 and the inner wall 15 can be arbitrarily set. That is, when the partition wall 14 is moved to the wafer processing position and the retreat position, if the processing space S is appropriately formed and the wafer W is made accessible, for example, the inner wall 15, May be located above the loading surface of the loading table 11. However, from the viewpoint of maintaining the uniformity of the airflow in the processing space S, it is preferable that the structure that affects the airflow above the loading surface of the loading table 11 is not installed as much as possible. Therefore, it is preferable that the slit 15c and the partition plate 110 are disposed below the loading surface of the loading table 11 as well.

또한, 이상의 실시 형태에서는 복수의 적재대로서 2대의 적재대(11, 12)를 설치하였지만 적재대의 설치수는 본 실시 형태의 내용에 한정되는 것은 아니다. 또한, 복수의 적재대란, 적재면을 복수 갖는 것을 의미하고 있고, 예를 들어 1대의 적재대 위에, 복수매의 웨이퍼(W)를 적재할 수 있도록 구성되어 있는 경우도, 복수의 적재대의 범위에 속하는 것으로 이해하길 바란다.In the above embodiment, two stacking tables 11 and 12 are provided as a plurality of stacking tables, but the number of stacking tables is not limited to that of the present embodiment. In addition, a plurality of stacking conditions means that a plurality of stacking surfaces are provided. For example, even when a plurality of wafers W are configured to be stacked on one stacking table, Please understand that you belong.

또한, 이상의 실시 형태에서는 복수의 적재대(11, 12)에 대해 1개의 격벽(14)을 설치하였지만, 격벽(14)의 구성에 대해서도 본 실시 형태의 내용에 한정되는 것은 아니고, 각 적재대(11, 12)에 대해 독립된 처리 공간(S)을 형성할 수 있는 것이면, 그 형상은 임의로 설정할 수 있다. 예를 들어 원통부(40)를 1개만 갖는 격벽을 각 적재대(11, 12)에 대해, 각각 별개로 설치하도록 해도 된다.Although one partition wall 14 is provided for each of the plurality of stacking tables 11 and 12 in the above embodiment, the structure of the partition wall 14 is not limited to the contents of the present embodiment, 11 and 12, the shape thereof can be arbitrarily set. For example, a partition wall having only one cylindrical portion 40 may be provided separately for each of the stacking bases 11, 12.

이상의 실시 형태에서는, 격벽(14)과 틀체(31)가 맞닿음으로써 처리 공간(S)을 형성하였지만, 처리 공간(S)을 형성하는 데 있어서, 격벽(14)을 접촉시키는 부재는 틀체(31)에 한정되는 것은 아니고, 예를 들어 천장판(21)과 맞닿게 함으로써, 처리 공간(S)을 형성하도록 해도 된다.In the above embodiment, the processing space S is formed by abutting the partition wall 14 and the frame body 31. However, in forming the processing space S, the member for contacting the partition wall 14 may be a frame body 31 However, the present invention is not limited to this. For example, the processing space S may be formed by abutting the ceiling plate 21.

이상, 첨부 도면을 참조하면서 본 발명의 바람직한 실시 형태에 대해 상세하게 설명하였지만, 본 발명은 이러한 예에 한정되지 않는다. 본 발명이 속하는 기술의 분야에 있어서의 통상의 지식을 갖는 사람이면, 특허 청구의 범위에 기재된 기술적 사상의 범주에 있어서, 각종 변경예 또는 수정예에 상도할 수 있는 것은 명확하고, 이들에 대해서도, 당연히 본 발명의 기술적 범위에 속하는 것이라고 이해된다. 상술한 실시 형태는, 웨이퍼에 COR 처리를 행하는 경우를 예로 들어 설명하였지만, 본 발명은 처리 가스를 사용하는 다른 웨이퍼 처리 장치, 예를 들어 플라즈마 처리 장치 등에도 적용할 수 있다.While the preferred embodiments of the present invention have been described in detail with reference to the accompanying drawings, the present invention is not limited to these examples. It will be apparent to those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the invention as defined in the appended claims. But it is understood that it falls within the technical scope of the present invention. In the above-described embodiments, COR processing is performed on the wafer as an example. However, the present invention can also be applied to other wafer processing apparatuses using a processing gas, such as a plasma processing apparatus.

1 : 웨이퍼 처리 장치
10 : 처리 용기
11, 12 : 적재대
13 : 샤워 헤드
14 : 격벽
15 : 이너 월
20 : 측벽
21 : 천장판
22 : 저판
32 : 샤워 플레이트
110 : 구획판
W : 웨이퍼
G : 간극
S : 처리 공간
V : 배기 공간
1: Wafer processing device
10: Processing vessel
11, 12:
13: Shower head
14:
15: Inner month
20: side wall
21: Ceiling board
22: bottom plate
32: Shower plate
110: partition plate
W: Wafer
G: Clearance
S: Processing space
V: Exhaust space

Claims (4)

기판을 처리하는 기판 처리 장치로서,
기판을 기밀하게 수용하는 처리 용기와,
상기 처리 용기 내에서 기판을 적재하는 복수의 적재대와,
상기 적재대의 상방으로부터 상기 적재대를 향해 처리 가스를 공급하는 처리 가스 공급부와,
상기 처리 용기 내를 배기하는 배기 기구와,
상기 처리 용기 내에 배치되고, 상기 각 적재대의 외주와 간격을 두고 상기 적재대를 개별로 둘러싸는 격벽과,
상기 격벽을 후퇴 위치와 기판 처리 위치 사이에서 승강시키는 승강 기구와,
상기 처리 용기의 저면에 배치되며, 상기 적재대의 외주와 간격을 두고 상기 적재대를 개별로 둘러싸는 원통 형상의 이너 월을 포함하고,
상기 격벽을 상기 기판 처리 위치로 이동시킴으로써, 상기 격벽과 상기 이너 월에 의해 기판의 처리 공간이 형성되고,
상기 이너 월에는 슬릿이 형성되고,
상기 처리 공간 내의 처리 가스의 배기는 상기 슬릿을 통해 행해지고,
상기 이너 월은 상기 처리 공간 내의 처리 가스가 상기 슬릿에 직접 유입되지 않도록 우회시키는 구획판을 포함하는, 기판 처리 장치.
A substrate processing apparatus for processing a substrate,
A processing container for airtightly accommodating the substrate,
A plurality of stacking tables for stacking the substrates in the processing container,
A processing gas supply unit for supplying a processing gas from above the loading table to the loading table,
An exhaust mechanism for exhausting the interior of the processing vessel,
A partition wall which is disposed in the processing vessel and separately surrounds the stacking unit with an interval from the outer periphery of each of the stacking units;
A lifting mechanism for lifting the partition wall between the retreat position and the substrate processing position,
And a cylindrical inner wall disposed on the bottom surface of the processing container and individually surrounding the loading table with an interval from the outer periphery of the loading table,
The processing space of the substrate is formed by the partition and the inner wall by moving the partition to the substrate processing position,
A slit is formed in the inner wall,
Exhausting of the processing gas in the processing space is performed through the slit,
Wherein the inner wall includes a partition plate for bypassing the processing gas in the processing space so that the processing gas does not directly flow into the slit.
제1항에 있어서,
상기 이너 월은 상기 적재대의 기판 적재면보다도 하방에 배치되어 있는, 기판 처리 장치.
The method according to claim 1,
Wherein the inner wall is disposed below the mounting surface of the mounting table.
제1항 또는 제2항에 있어서,
상기 슬릿은 상기 이너 월의 측면이며 상기 적재대의 기판 적재면보다도 하방에 등간격으로 복수 형성되고,
상기 구획판은 상기 이너 월의 중심으로부터 상기 슬릿을 시인할 수 없게 되는 위치에 배치되어 있는, 기판 처리 장치.
3. The method according to claim 1 or 2,
Wherein the slits are formed on the side surface of the inner wall and at equal intervals below the mounting surface of the table,
Wherein the partition plate is disposed at a position where the slit can not be seen from the center of the inner wall.
제3항에 있어서,
상기 구획판은 상기 이너 월의 내측면에 평행한 원호 형상을 갖고,
상기 처리 공간 내의 처리 가스는 상기 구획판과 상기 이너 월의 내측면 사이를, 상기 이너 월의 둘레 방향을 따라 흐르는, 기판 처리 장치.
The method of claim 3,
The partition plate has an arc shape parallel to the inner surface of the inner wall,
Wherein the processing gas in the processing space flows between the partition plate and the inner surface of the inner wall along the circumferential direction of the inner wall.
KR1020150183925A 2014-12-26 2015-12-22 Substrate processing apparatus KR101772775B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2014-264550 2014-12-26
JP2014264550 2014-12-26
JP2015183561A JP6574656B2 (en) 2014-12-26 2015-09-17 Substrate processing equipment
JPJP-P-2015-183561 2015-09-17

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170105677A Division KR101922811B1 (en) 2014-12-26 2017-08-21 Substrate processing apparatus

Publications (2)

Publication Number Publication Date
KR20160079688A true KR20160079688A (en) 2016-07-06
KR101772775B1 KR101772775B1 (en) 2017-08-29

Family

ID=56359840

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150183925A KR101772775B1 (en) 2014-12-26 2015-12-22 Substrate processing apparatus
KR1020170105677A KR101922811B1 (en) 2014-12-26 2017-08-21 Substrate processing apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170105677A KR101922811B1 (en) 2014-12-26 2017-08-21 Substrate processing apparatus

Country Status (4)

Country Link
JP (2) JP6574656B2 (en)
KR (2) KR101772775B1 (en)
CN (1) CN108878324B (en)
TW (2) TWI681484B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200038524A (en) * 2017-08-25 2020-04-13 도쿄엘렉트론가부시키가이샤 Inner wall and substrate processing equipment
KR20200115163A (en) * 2019-03-26 2020-10-07 도쿄엘렉트론가부시키가이샤 Apparatus and method for etching substrate

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113437000B (en) * 2021-05-26 2023-11-21 鄂尔多斯市骁龙半导体有限公司 Wafer bearing disc with high safety performance

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007214513A (en) 2006-02-13 2007-08-23 Tokyo Electron Ltd Substrate processing apparatus, substrate processing method, and storage medium

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4265839B2 (en) 1999-06-24 2009-05-20 大日本スクリーン製造株式会社 Heat treatment equipment
JP4286576B2 (en) * 2003-04-25 2009-07-01 東京エレクトロン株式会社 Plasma processing equipment
CN100452945C (en) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 Decoupling reactive ion etching chamber containing multiple processing platforms
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
JP4985183B2 (en) * 2007-07-26 2012-07-25 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
JP5347294B2 (en) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
JP5171969B2 (en) * 2011-01-13 2013-03-27 東京エレクトロン株式会社 Substrate processing equipment

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007214513A (en) 2006-02-13 2007-08-23 Tokyo Electron Ltd Substrate processing apparatus, substrate processing method, and storage medium

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200038524A (en) * 2017-08-25 2020-04-13 도쿄엘렉트론가부시키가이샤 Inner wall and substrate processing equipment
CN111033695A (en) * 2017-08-25 2020-04-17 东京毅力科创株式会社 Inner wall and substrate processing apparatus
KR20200115163A (en) * 2019-03-26 2020-10-07 도쿄엘렉트론가부시키가이샤 Apparatus and method for etching substrate
US11424128B2 (en) 2019-03-26 2022-08-23 Tokyo Electron Limited Apparatus and method for etching substrate

Also Published As

Publication number Publication date
JP2016127260A (en) 2016-07-11
KR101772775B1 (en) 2017-08-29
JP2019212923A (en) 2019-12-12
TW202004890A (en) 2020-01-16
KR20170099401A (en) 2017-08-31
JP6574656B2 (en) 2019-09-11
CN108878324A (en) 2018-11-23
TW201635410A (en) 2016-10-01
CN108878324B (en) 2022-04-12
TWI681484B (en) 2020-01-01
TWI712083B (en) 2020-12-01
KR101922811B1 (en) 2018-11-27
JP6796692B2 (en) 2020-12-09

Similar Documents

Publication Publication Date Title
JP5567392B2 (en) Plasma processing equipment
US10096495B2 (en) Substrate processing apparatus
KR101922811B1 (en) Substrate processing apparatus
KR102414566B1 (en) Apparatus and method for etching substrate
KR102418315B1 (en) substrate processing equipment
KR102554732B1 (en) Inner wall and substrate treatment device
JP2018085392A (en) Substrate processing device
KR102378336B1 (en) Bake apparatus and bake method
JP6684943B2 (en) Substrate processing apparatus and substrate processing method
WO2022255215A1 (en) Substrate processing apparatus
JP2023006660A (en) Substrate processing apparatus and substrate processing method
JP2022186265A (en) Substrate processing apparatus and substrate processing method

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant