KR20160070093A - Wet process ceria compositions for polishing substrates, and methods related thereto - Google Patents

Wet process ceria compositions for polishing substrates, and methods related thereto Download PDF

Info

Publication number
KR20160070093A
KR20160070093A KR1020167011917A KR20167011917A KR20160070093A KR 20160070093 A KR20160070093 A KR 20160070093A KR 1020167011917 A KR1020167011917 A KR 1020167011917A KR 20167011917 A KR20167011917 A KR 20167011917A KR 20160070093 A KR20160070093 A KR 20160070093A
Authority
KR
South Korea
Prior art keywords
polishing composition
polishing
composition
less
substrate
Prior art date
Application number
KR1020167011917A
Other languages
Korean (ko)
Other versions
KR102283152B1 (en
Inventor
브라이언 라이쓰
제프리 다이사드
사이람 세카르
Original Assignee
캐보트 마이크로일렉트로닉스 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 캐보트 마이크로일렉트로닉스 코포레이션 filed Critical 캐보트 마이크로일렉트로닉스 코포레이션
Publication of KR20160070093A publication Critical patent/KR20160070093A/en
Application granted granted Critical
Publication of KR102283152B1 publication Critical patent/KR102283152B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Abstract

화학-기계적 연마 조성물 및 기판의 연마 방법이 개시된다. 연마 조성물은 낮은 평균 입자 크기 (예를 들어, 30 ㎚ 이하)의 습식-공정 세리아 연마 입자, 1종 이상의 알콜 아민, 및 물을 포함하며, 여기서 상기 연마 조성물은 6의 pH를 갖는다. 연마 조성물은, 예를 들어 임의의 적합한 기판, 예컨대 반도체 산업에서 사용되는 폴리규소 웨이퍼를 연마하는데 사용될 수 있다.A chemical-mechanical polishing composition and a polishing method for the substrate are disclosed. The polishing composition comprises a wet-process ceria abrasive particle, at least one alcohol amine, and water having a low average particle size (e.g., 30 nm or less), wherein the polishing composition has a pH of 6. Abrasive composition can be used, for example, to polish a polysilicon wafer used in any suitable substrate, such as the semiconductor industry.

Description

기판을 연마하기 위한 습식 공정 세리아 조성물, 및 이와 관련된 방법 {WET PROCESS CERIA COMPOSITIONS FOR POLISHING SUBSTRATES, AND METHODS RELATED THERETO}WET PROCESS CERIA COMPOSITIONS FOR POLISHING SUBSTRATES AND METHODS RELATED THERETO BACKGROUND OF THE INVENTION < RTI ID = 0.0 > [0001] <

기판의 표면을 평탄화 또는 연마하기 위한 조성물 및 방법은 관련 기술분야에 잘 알려져 있다. 연마 조성물 (또한 연마 슬러리로도 알려져 있음)은 전형적으로 액체 담체 중에 연마 물질을 함유하며 표면을 연마 조성물로 포화된 연마 패드와 접촉시킴으로써 표면에 적용된다. 전형적인 연마 물질은 이산화규소, 산화세륨, 산화알루미늄, 산화지르코늄, 및 산화주석을 포함한다. 연마 조성물은 전형적으로 연마 패드 (예를 들어, 연마포 또는 디스크)와 함께 사용된다. 연마 조성물 중에 현탁되는 대신, 또는 그에 부가적으로, 연마 물질이 연마 패드에 혼입될 수 있다.Compositions and methods for planarizing or polishing the surface of a substrate are well known in the art. A polishing composition (also known as a polishing slurry) is typically applied to a surface by containing the polishing material in a liquid carrier and contacting the surface with a polishing pad saturated with a polishing composition. Typical abrasive materials include silicon dioxide, cerium oxide, aluminum oxide, zirconium oxide, and tin oxide. The polishing composition is typically used in conjunction with a polishing pad (e.g., polishing pad or disk). Instead of, or in addition to being suspended in the polishing composition, an abrasive material may be incorporated into the polishing pad.

얕은 트렌치 격리 (STI) 공정은 반도체 장치의 소자를 격리하는 방법이다. STI 공정에서, 폴리규소 층이 규소 기판 상에 형성되고, 얕은 트렌치가 에칭 또는 포토리소그래피를 통해 형성되고, 유전체 층 (예를 들어, 산화물)이 침착되어 트렌치를 충전시킨다. 이 방식으로 형성된, 트렌치, 또는 라인 깊이의 변화로 인해, 전형적으로 모든 트렌치의 완전한 충전을 보장하기 위해서는 기판의 상부 상에 과량의 유전체 물질을 침착시키는 것이 필요하다.The shallow trench isolation (STI) process is a method of isolating elements of a semiconductor device. In the STI process, a polysilicon layer is formed on the silicon substrate, a shallow trench is formed through etching or photolithography, and a dielectric layer (e.g., oxide) is deposited to fill the trench. Due to the change in trench or line depth formed in this manner, it is typically necessary to deposit an excess of dielectric material on top of the substrate to ensure complete filling of all the trenches.

이어서 과량의 유전체 물질은 전형적으로 화학-기계적 평탄화 공정에 의해 제거되어 폴리규소 층을 노출시킨다. 폴리규소 층이 노출될 경우, 화학-기계적 연마 조성물에 노출된 기판의 최대 영역은 폴리규소를 포함하며, 이것은 이어서 매우 평탄하고 균일한 표면을 달성하도록 연마되어야 한다. 따라서, 폴리규소 층은 화학-기계적 평탄화 공정 동안 중단 층으로서 기능을 하였는데, 전체 연마 속도가 폴리규소 층의 노출시 감소하기 때문이다.Excess dielectric material is then typically removed by a chemical-mechanical planarization process to expose the polysilicon layer. When the polysilicon layer is exposed, the maximum area of the substrate exposed to the chemical-mechanical polishing composition comprises polysilicon, which must then be polished to achieve a very smooth and uniform surface. Thus, the polysilicon layer functions as a stop layer during the chemical-mechanical planarization process because the overall polishing rate decreases upon exposure of the polysilicon layer.

STI 기판은 전형적으로 종래의 연마 조성물을 사용하여 연마된다. 그러나, STI 기판을 종래의 연마 조성물로 연마하는 것은 기판 표면의 과도한 연마 또는 STI 지형부에서의 리세스 및 기판 표면 상의 마이크로스크래치와 같은 다른 지형학적 결함의 형성을 야기하는 것으로 관찰되었다. 기판의 과도한 연마는 또한 산화물 손실 및 밑에 있는 산화물을 연마 또는 화학 활성으로부터의 손상에 노출시키는 것을 야기할 수 있고, 이것은 장치의 품질 및 성능에 불리하게 영향을 미친다.The STI substrate is typically polished using conventional polishing compositions. However, it has been observed that polishing the STI substrate with conventional polishing compositions causes excessive polishing of the substrate surface or recesses in the STI topography and the formation of other topographical defects such as micro-scratches on the substrate surface. Excessive polishing of the substrate may also cause loss of oxides and exposure of the underlying oxide to abrasion or damage from chemical activity, which adversely affects the quality and performance of the device.

연마 및 평탄화 동안 결함성, 예컨대 표면 결점 및 밑에 있는 구조에 대한 손상 및 지형학을 최소화하면서, 반도체와 같은 기판, 특히 폴리규소 기판의 연마 및 평탄화 동안 바람직한 평탄화 효율, 균일성, 및 제거율을 나타낼 연마 조성물 및 연마 방법에 대한 필요성이 여전히 있다. 본 발명은 그러한 연마 조성물 및 방법을 제공한다. 본 발명의 이러한 및 다른 장점 뿐만 아니라, 부가적인 본 발명의 특징은, 본원에 제공된 본 발명의 설명으로부터 자명해질 것이다.A polishing composition that exhibits desirable planarization efficiency, uniformity, and removal rate during polishing and planarization of substrates such as semiconductors, particularly polysilicon substrates, while minimizing defects such as surface defects and damage to underlying structures and topography during polishing and planarization And a polishing method. The present invention provides such polishing compositions and methods. These and other advantages of the invention, as well as additional features of the invention, will become apparent from the description of the invention provided herein.

<발명의 간단한 개요>BRIEF SUMMARY OF THE INVENTION [

한 측면에서, 본 발명은 (a) 30 ㎚ 이하의 평균 입자 크기를 갖는 습식-공정 세리아 연마 입자, (b) 1종 이상의 알콜 아민, 및 (c) 물을 포함하거나, 이들로 본질적으로 이루어지거나, 또는 이들로 이루어지며, 6 이상의 pH를 갖는 화학-기계적 연마 조성물을 제공한다.In one aspect, the present invention relates to a process for the preparation of (a) wet-process ceria abrasive particles having an average particle size of 30 nm or less, (b) at least one alcohol amine, and (c) water, , Or a chemical-mechanical polishing composition comprising the same and having a pH of 6 or more.

또 다른 측면에서, 본 발명은 기판의 연마 방법을 제공한다. 상기 방법은 기판을 연마 패드, 및 (a) 30 ㎚ 이하의 평균 입자 크기를 갖는 습식-공정 세리아 연마 입자, (b) 1종 이상의 알콜 아민, 및 (c) 물을 포함하며, 6 이상의 pH를 갖는 연마 조성물과 접촉시키는 것을 포함한다. 상기 방법은 연마 패드 및 연마 조성물을 기판에 대해 이동시켜 기판의 적어도 일부를 마모시켜서 기판을 연마하는 것을 추가로 포함한다.In yet another aspect, the present invention provides a method of polishing a substrate. (A) a wet-process ceria abrasive particle having an average particle size of 30 nm or less, (b) at least one alcohol amine, and (c) water, RTI ID = 0.0 &gt; polishing &lt; / RTI &gt; The method further comprises moving the polishing pad and the polishing composition relative to the substrate to abrade at least a portion of the substrate to polish the substrate.

도 1은 pH (X-축), 2-디메틸아미노-2-메틸프로판올 (DMAMP) 농도 (Y-축), 및 폴리소르베이트 20 농도 (Z-축)에 대한 본원의 실시예 1의 연마 조성물의 고밀도 플라즈마 (HDP) 제거율의 큐브 플롯(cube plot)이다.
도 2는 폴리소르베이트 20 농도 (X-축) 및 트리에탄올아민 농도 (Y-축)에 대한 본원의 실시예 2의 연마 조성물의 테트라에틸 오르토실리케이트 (TEOS) 제거율의 스퀘어 플롯(square plot)이다.
도 3은 본원의 실시예 2의 연마 조성물의 50% 밀도에서의 피치 길이 (X-축) 대 디싱 (Y-축)의 선 그래프이다.
도 4는 본원의 실시예 3의 연마 조성물 (X-축)의 TEOS, HDP, 및 폴리규소 제거율 (Y-축)의 막대 그래프이다.
도 5는 본원의 실시예 3의 연마 조성물의 50% 밀도에서의 피치 길이 (X-축) 대 디싱 (Y-축)의 선 그래프이다.
도 6은 본원의 실시예 4의 연마 조성물 (X-축)의 TEOS, HDP, 및 폴리규소 제거율 (Y-축)의 막대 그래프이다.
도 7은 본원의 실시예 6의 다양한 연마 조성물 (X-축)의 TEOS, HDP, 및 폴리규소 제거율 (Y-축)의 막대 그래프이다.
도 8은 상이한 역치 (X-축)에서의 본원의 실시예 6의 연마 조성물의 랜덤 결함 카운트 (DCN) (Y-축)의 박스 플롯(box plot)이다.
Figure 1 shows the polishing composition of Example 1 of the present invention for pH (X-axis), 2-dimethylamino-2-methylpropanol (DMAMP) concentration (Y-axis), and polysorbate 20 concentration Is a cube plot of high density plasma (HDP) removal rate.
Figure 2 is a square plot of the tetraethylorthosilicate (TEOS) removal rate of the polishing composition of Example 2 herein for polysorbate 20 concentration (X-axis) and triethanolamine concentration (Y-axis).
3 is a line graph of pitch length (X-axis) versus dishing (Y-axis) at 50% density of the polishing composition of Example 2 of the present application.
4 is a bar graph of the TEOS, HDP, and polysilicon removal rates (Y-axis) of the polishing composition (X-axis) of Example 3 of the present application.
5 is a line graph of pitch length (X-axis) vs. dishing (Y-axis) at 50% density of the polishing composition of Example 3 of the present application.
6 is a bar graph of TEOS, HDP, and polysilicon removal rates (Y-axis) of the polishing composition (X-axis) of Example 4 of the present application.
7 is a bar graph of the TEOS, HDP, and polysilicon removal rates (Y-axis) of various polishing compositions (X-axis) of Example 6 herein.
8 is a box plot of the random defect count (DCN) (Y-axis) of the polishing composition of Example 6 herein at different threshold values (X-axis).

<발명의 상세한 설명>DETAILED DESCRIPTION OF THE INVENTION [

본 발명의 실시양태는 (a) 30 ㎚ 이하의 평균 입자 크기의 습식-공정 세리아 연마 입자, (b) 1종 이상의 알콜 아민, 및 (c) 물을 포함하거나, 이들로 본질적으로 이루어지거나, 또는 이들로 이루어진 화학-기계적 연마 조성물을 제공하며, 여기서 상기 화학-기계적 연마 조성물의 pH는 6 이상이다. 이러한 연마 조성물은 슬러리의 형태로 존재하고 임의의 적합한 기판, 예컨대 기계적으로 약한 표면 (예를 들어, 폴리규소)를, 본원에 기재된 바와 같은 연마 패드를 비롯한 적합한 화학-기계적 연마 (CMP) 장치로 연마하는데 사용될 수 있다.Embodiments of the present invention comprise (a) wet-process ceria abrasive particles having an average particle size of 30 nm or less, (b) at least one alcohol amine, and (c) water, And a chemical-mechanical polishing composition comprising these, wherein the pH of the chemical-mechanical polishing composition is at least 6. Such a polishing composition may be present in the form of a slurry and may be polished with any suitable substrate, such as a mechanically weak surface (e.g., a polysilicon), with a suitable chemical-mechanical polishing (CMP) device including a polishing pad as described herein .

일부 실시양태에서, 연마 조성물은 유전체 층 (예를 들어, 산화물)의 높은 제거율을 달성한다. 추가로, 일부 실시양태에서, 연마 조성물은 폴리규소 및/또는 질화규소의 낮은 제거율을 달성한다. 추가로, 일부 실시양태에서, 연마 조성물은 폴리규소 및/또는 질화규소의 낮은 제거율을 달성하면서 동시에 유전체 층의 높은 제거율을 달성한다. 추가로, 일부 실시양태에서, 연마 조성물은 기판 상에 존재하는 물질의 손실에서의 균일성을 나타낸다. 본원에서 사용된 바와 같이, 용어 "균일성"은 기판의 특정 영역 (예를 들어, 기판의 가장자리, 중간, 중심)으로부터 기판 상의 물질의 총 손실을 측정한 것을 나타내고, 각 영역으로부터 측정한 것을 비교한 것이며, 여기서 더 근접한 값은 더 큰 균일성에 상응한다. 추가로, 일부 실시양태에서, 연마 조성물은 유전체 층 트렌치 내에서 낮은 디싱을 나타낸다. 본원에서 사용된 바와 같이, 용어 "디싱"은 유전체 층으로 충전된 트렌치에서 리세스가 형성되는 정도를 나타낸다. 디싱은 유전체-충전된 트렌치와 인접한 지형부 (예를 들어, 폴리규소 지형부) 사이의 높이의 차를 잼으로써 측정된다. 디싱은 통상의 기술자에게 공지된 타원편광반사법에 의해 측정될 수 있다. 또한, 일부 실시양태에서, 연마 조성물은 연마될 기판 상에서의 낮은 결함성을 달성한다. 본원에서 사용된 바와 같이, 용어 "결함성"은 기판을 연마 조성물로 연마한 후 기판 상에 존재하는 결함 (예를 들어, 스크래치)을 카운팅한 것을 나타낸다. 결함성은 통상의 기술자에게 공지된 주사 전자 현미경법에 의해 측정될 수 있다.In some embodiments, the polishing composition achieves a high removal rate of the dielectric layer (e.g., oxide). Additionally, in some embodiments, the polishing composition achieves a low removal rate of polysilicon and / or silicon nitride. Additionally, in some embodiments, the polishing composition achieves a high removal rate of the dielectric layer while simultaneously achieving a low removal rate of polysilicon and / or silicon nitride. Additionally, in some embodiments, the polishing composition exhibits uniformity in the loss of material present on the substrate. As used herein, the term "uniformity " refers to a measure of the total loss of material on a substrate from a particular area of the substrate (e.g., edge, middle, center of the substrate) Where closer values correspond to greater uniformity. Additionally, in some embodiments, the polishing composition exhibits a low dishing within the dielectric layer trenches. As used herein, the term "dishing" refers to the degree to which a recess is formed in a trench filled with a dielectric layer. Dishing is measured by measuring the difference in height between the dielectric-filled trench and the adjacent topography (e.g., the polysilicon topography). Dishing can be measured by an elliptically polarized reflection method known to a person skilled in the art. Further, in some embodiments, the polishing composition achieves a low defectivity on the substrate to be polished. As used herein, the term "defective" refers to the number of defects (e.g., scratches) present on the substrate after polishing the substrate with the polishing composition. The defectiveness can be measured by a scanning electron microscope method known to a person skilled in the art.

화학-기계적 연마 조성물은 세리아 연마제를 포함한다. 통상의 기술자에게 공지된 바와 같이, 세리아는 희토류 금속 세륨의 산화물이고, 산화제2세륨, 산화세륨 (예를 들어, 산화세륨(IV)), 또는 이산화세륨으로도 공지되어 있다. 산화세륨(IV) (CeO2)은 옥살산세륨 또는 수산화세륨을 하소시킴으로써 형성될 수 있다. 세륨은 또한 산화세륨(III), 예컨대, 예를 들어 Ce2O3을 형성한다. 세리아 연마제는 세리아의 이들 또는 다른 산화물 중 임의의 1종 이상일 수 있다.The chemical-mechanical polishing composition comprises a ceria abrasive. As is known to those of ordinary skill in the art, ceria is an oxide of rare earth metal cerium and is also known as cerium oxide, cerium oxide (e.g., cerium (IV) oxide), or cerium dioxide. Cerium oxide (IV) (CeO 2) it may be formed by calcining a cerium oxalate or cerium hydroxide. Cerium also forms the cerium oxide (III), for example, such as Ce 2 O 3. The ceria abrasive may be any one or more of these or other oxides of ceria.

세리아 연마제는 임의의 적합한 유형을 가질 수 있다. 바람직하게는, 세리아 연마제는 습식-공정 세리아이다. 본원에서 사용된 바와 같이, "습식-공정" 세리아는 (예를 들어, 흄드 또는 발열 세리아와는 대조적으로) 침전, 축합-중합, 또는 유사 공정에 의해 제조된 세리아를 지칭한다. 예를 들어, 일부 실시양태에서, 습식-공정 세리아는 pH 및 압력을 조정하여 제어된 입자 크기를 달성하도록 침전을 제어하여 세륨-함유 전구체를 침전시킴으로써 형성된다. 따라서, 습식-공정 기술은, 목적하는 결정화도를 달성하기 위해 세리아-함유 전구체로부터 산화세륨을 시도하고 어닐링하는 데에 하소 공정이 전형적으로 사용되는 건조 공정 기술과는 대조적으로, 이 방식으로 입자 성장을 제어함으로써 더 작은 입자를 생성할 수 있다.The ceria abrasive may have any suitable type. Preferably, the ceria abrasive is a wet-process ceria. As used herein, a "wet-process" ceria refers to a ceria prepared by precipitation, condensation-polymerization, or similar processes (as opposed to, for example, fumed or exothermic ceria). For example, in some embodiments, the wet-process ceria is formed by precipitating a cerium-containing precursor by controlling the precipitation to adjust the pH and pressure to achieve a controlled particle size. Thus, wet-process techniques can be used to improve particle growth in this manner, as opposed to the drying process techniques in which the calcining process is typically used to try and anneal cerium oxide from a ceria-containing precursor to achieve the desired degree of crystallinity By controlling, smaller particles can be generated.

습식-공정 세리아 연마제를 포함하는 본 발명의 연마 조성물은 전형적으로 본 발명의 방법에 따라 기판을 연마하는데 사용될 경우 더 적은 결함을 나타내는 것으로 밝혀졌다. 특정 이론에 의해 얽매이는 것을 원하지는 않지만, 습식-공정 세리아는 실질적으로 구형 세리아 입자 및/또는 더 작은 응집체 세리아 입자를 포함하며, 이로써 본 발명의 방법에 사용될 경우 더 낮은 기판 결함성을 야기하는 것으로 여겨진다. 추가로 본원에 기재된 같은 습식-공정 세리아 입자는, 더 적은 운동량 및 에너지로 기판, 예컨대 웨이퍼와 접촉할 것이며, 이로써 스크래치와 같은 결함의 크기 및 빈도를 줄일 것으로 여겨진다. 예시적인 습식-공정 세리아는 뉴저지주 크랜베리 소재 로디아(Rhodia)로부터 상업적으로 입수가능한 HC-60™ 세리아이다.It has been found that the polishing compositions of the present invention, including wet-process ceria abrasives, typically exhibit fewer defects when used to polish a substrate according to the method of the present invention. While not wishing to be bound by any particular theory, it is believed that the wet-process ceria comprises substantially spherical ceria particles and / or smaller aggregate ceria particles, thereby causing lower substrate defects when used in the method of the present invention . In addition, the same wet-process ceria particles described herein will contact the substrate, e.g., the wafer, with less momentum and energy, thereby reducing the size and frequency of defects such as scratches. An exemplary wet-process ceria is HC-60 (TM) ceria, commercially available from Rhodia, Cranbury, NJ.

비-구형 입자의 경우, 입자의 크기는 입자를 포함하는 최소 구의 직경이다. 비수식 어구 "입자 크기"는, 본원에서 사용된 바와 같이, 일차 및 이차 입자 중 하나 또는 모두를 지칭할 수 있다. 일차 입자는 수성 담체 (예를 들어, 물) 중에 분산된 그러한 각각의 세리아 입자를 지칭하고, 한편 이차 입자는 물 중에 함께 융합된 각각의 세리아 입자의 응집체를 지칭한다. 입자 크기는 임의의 적합한 기술을 사용하여, 예를 들어 통상의 기술자에게 공지된 레이저 회절 기술을 사용하여 측정될 수 있다.For non-spherical particles, the size of the particles is the diameter of the smallest sphere containing the particles. The non-numerical phrase "particle size ", as used herein, may refer to one or both of primary and secondary particles. The primary particles refer to each such ceria particle dispersed in an aqueous carrier (e.g., water), while the secondary particles refer to aggregates of each ceria particle fused together in water. Particle size can be measured using any suitable technique, for example, using laser diffraction techniques known to those of ordinary skill in the art.

세리아 연마 입자는 40 ㎚ 이하, 예를 들어, 35 ㎚ 이하, 약 30 ㎚ 이하, 29 ㎚ 이하, 28 ㎚ 이하, 27 ㎚ 이하, 26 ㎚ 이하, 25 ㎚ 이하, 24 ㎚ 이하, 23 ㎚ 이하, 22 ㎚ 이하, 21 ㎚ 이하, 20 ㎚ 이하, 18 ㎚ 이하, 15 ㎚ 이하, 12 ㎚ 이하, 10 ㎚ 이하, 7 ㎚ 이하, 5 ㎚ 이하, 3 ㎚ 이하, 1 ㎚ 이하 또는 0.1 ㎚ 이하의 임의의 적합한 평균 입자 크기 (즉 평균 입자 직경)를 가질 수 있다. 각각의 상기 언급한 종점은, 예를 들어, 0.1 ㎚ 내지 40 ㎚ 범위의 하한, 수치상 적절하게, 예컨대 0.1 ㎚, 1 ㎚, 2 ㎚, 3 ㎚, 4 ㎚, 5 ㎚, 6 ㎚, 7 ㎚, 10 ㎚, 12 ㎚, 15 ㎚, 18 ㎚, 20 ㎚, 22 ㎚, 25 ㎚, 30 ㎚, 35 ㎚, 또는 39 ㎚의 하한을 가질 수 있다. 예를 들어, 세리아 연마 입자는 0.1 ㎚ 내지 40 ㎚, 예를 들어, 14 ㎚ 내지 24 ㎚, 18 ㎚ 내지 23 ㎚, 17 ㎚ 내지 21 ㎚, 3 ㎚ 내지 27 ㎚, 5 ㎚ 내지 26 ㎚, 7 ㎚ 내지 30 ㎚, 또는 15 ㎚ 내지 25 ㎚ 등의 평균 입자 크기를 가질 수 있다. 바람직하게는, 세리아 연마 입자는 30 ㎚ 이하의 평균 입자 크기를 갖는다.The ceria abrasive grains have a diameter of 40 nm or less, for example, 35 nm or less, about 30 nm or less, 29 nm or less, 28 nm or less, 27 nm or less, 26 nm or less, 25 nm or less, 24 nm or less, Any suitable suitable material having a thickness of 10 nm or less, 20 nm or less, 18 nm or less, 15 nm or less, 12 nm or less, 10 nm or less, 7 nm or less, 5 nm or less, 3 nm or less, 1 nm or less or 0.1 nm or less And may have an average particle size (i.e., an average particle size). Each of the above-mentioned end points may be, for example, a lower limit in the range of 0.1 nm to 40 nm, numerically suitably such as 0.1 nm, 1 nm, 2 nm, 3 nm, 4 nm, 5 nm, 6 nm, It may have a lower limit of 10 nm, 12 nm, 15 nm, 18 nm, 20 nm, 22 nm, 25 nm, 30 nm, 35 nm or 39 nm. For example, the ceria abrasive grains may have a mean particle size of 0.1 nm to 40 nm, such as 14 nm to 24 nm, 18 nm to 23 nm, 17 nm to 21 nm, 3 nm to 27 nm, 5 nm to 26 nm, Or 30 nm, or 15 nm to 25 nm, and the like. Preferably, the ceria abrasive particles have an average particle size of 30 nm or less.

일부 실시양태에서, 연마 조성물은 16 ㎚ 이하의 평균 일차 입자 크기를 갖는 세리아 연마 입자를 포함할 수 있다. 세리아 연마 입자는 16 ㎚ 이하, 예를 들어, 15 ㎚ 이하, 14 ㎚ 이하, 12 ㎚ 이하, 11 ㎚ 이하, 10 ㎚ 이하, 9 ㎚ 이하, 8 ㎚ 이하, 7 ㎚ 이하, 6 ㎚ 이하, 5 ㎚ 이하, 3 ㎚ 이하, 또는 1 ㎚ 이하의 임의의 적합한 평균 일차 입자 크기를 가질 수 있다. 각각의 상기 언급한 종점은, 예를 들어, 0.1 ㎚ 내지 16 ㎚ 범위의 하한, 수치상 적절하게, 예컨대 0.1 ㎚, 1 ㎚, 2 ㎚, 3 ㎚, 4 ㎚, 5 ㎚, 6 ㎚, 7 ㎚, 10 ㎚, 12 ㎚, 14 ㎚, 또는 16 ㎚의 하한을 가질 수 있다. 예를 들어, 세리아 연마 입자는 0.1 ㎚ 내지 16 ㎚, 예를 들어, 1 ㎚ 내지 11 ㎚, 4 ㎚ 내지 7 ㎚, 2 ㎚ 내지 10 ㎚, 3 ㎚ 내지 8 ㎚, 또는 5 ㎚ 내지 9 ㎚의 평균 일차 입자 크기를 가질 수 있다. 바람직하게는, 세리아 연마 입자는 12 ㎚ 이하의 평균 일차 입자 크기를 갖는다.In some embodiments, the polishing composition may comprise ceria abrasive particles having an average primary particle size of 16 nm or less. The ceria abrasive particles may have a particle diameter of 16 nm or less, for example, 15 nm or less, 14 nm or less, 12 nm or less, 11 nm or less, 10 nm or less, 9 nm or less, 8 nm or less, 7 nm or less, 6 nm or less, Or less, 3 nm or less, or 1 nm or less. Each of the above-mentioned end points has a lower limit in the range of, for example, 0.1 nm to 16 nm, numerically suitably such as 0.1 nm, 1 nm, 2 nm, 3 nm, 4 nm, 5 nm, 6 nm, 10 nm, 12 nm, 14 nm, or 16 nm. For example, the ceria abrasive grains may have an average of 0.1 nm to 16 nm, such as 1 nm to 11 nm, 4 nm to 7 nm, 2 nm to 10 nm, 3 nm to 8 nm, or 5 nm to 9 nm It can have a primary particle size. Preferably, the ceria abrasive grains have an average primary particle size of 12 nm or less.

세리아 연마 입자는 40 ㎚ 이하, 예를 들어, 35 ㎚ 이하, 약 30 ㎚ 이하, 29 ㎚ 이하, 28 ㎚ 이하, 27 ㎚ 이하, 26 ㎚ 이하, 또는 25 ㎚ 이하의 임의의 적합한 평균 이차 입자 크기 (즉 평균 입자 직경)를 가질 수 있다. 각각의 상기 언급한 종점은, 예를 들어, 25 ㎚ 내지 40 ㎚ 범위의 하한, 수치상 적절하게, 예컨대 25 ㎚, 26 ㎚, 27 ㎚, 28 ㎚, 29 ㎚, 30 ㎚, 35 ㎚, 39 ㎚, 또는 40 ㎚의 하한을 가질 수 있다. 예를 들어, 세리아 연마 입자는 25 ㎚ 내지 40 ㎚, 예를 들어, 26 ㎚ 내지 35 ㎚, 27 ㎚ 내지 30 ㎚, 또는 28 ㎚ 내지 29 ㎚의 평균 이차 입자 크기를 가질 수 있다. 바람직하게는, 세리아 연마 입자는 30 ㎚ 이하의 평균 이차 입자 크기를 갖는다.The ceria abrasive particles may be of any suitable average secondary particle size (for example, less than 40 nm, such as less than 35 nm, less than 30 nm, less than 29 nm, less than 28 nm, less than 27 nm, less than 26 nm, That is, an average particle diameter). Each of the above-mentioned end points may be, for example, a lower limit in the range of 25 nm to 40 nm, numerically suitably such as 25 nm, 26 nm, 27 nm, 28 nm, 29 nm, 30 nm, 35 nm, 39 nm, Or a lower limit of 40 nm. For example, the ceria abrasive grains may have an average secondary particle size of 25 nm to 40 nm, e.g., 26 nm to 35 nm, 27 nm to 30 nm, or 28 nm to 29 nm. Preferably, the ceria abrasive grains have an average secondary particle size of 30 nm or less.

일부 실시양태에 따르면, 세리아 연마 입자는 연마 조성물 중에서 응집이 실질적으로 없다. 응집은 연마 조성물 중에 더 큰 입자 크기를 발생시키고, 따라서 연마 조성물로 연마될 기판 표면에 대해 더 높은 충격 충돌을 초래한다. 따라서, 응집은 기판 표면 상에 더 높은 결함성을 야기할 수 있다. 본원에서 사용된 바와 같은 어구 "실질적으로 없는"은, 무 응집 또는 비주목할 만한 양의 응집을 의미한다. 비주목할 만한 양의 응집은, 예를 들어, 1 중량% 이하, 예컨대, 예를 들어 0.5 중량% 이하, 0.1 중량% 이하, 0.01 중량% 이하 또는 0.001 중량% 이하의 연마 조성물 중 모든 세리아 입자일 수 있다.According to some embodiments, the ceria abrasive particles are substantially free of agglomeration in the polishing composition. Aggregation will result in larger particle sizes in the polishing composition and therefore higher impact impacts on the substrate surface to be polished with the polishing composition. Thus, agglomeration can cause higher defectiveness on the substrate surface. As used herein, the phrase "substantially free" means aggregation without aggregation or a non-noticeable amount. A non-notable amount of aggregation can be, for example, less than or equal to 1 wt%, such as, for example, less than or equal to 0.5 wt%, less than or equal to 0.1 wt%, less than or equal to 0.01 wt%, or less than or equal to 0.001 wt% have.

세리아 입자는 바람직하게는 본 발명의 연마 조성물에서 콜로이드적으로 안정적이다. 용어 콜로이드는 액체 담체 중 연마 입자의 현탁액을 지칭한다. 콜로이드적 안정성은 시간에 걸친 상기 현탁액의 유지를 지칭한다. 본 발명의 맥락에서, 세리아 연마제를 100 ㎖ 눈금 실린더에 넣고, 2 시간의 시간 동안 교반하지 않으면서 정치되도록 할 때, 눈금 실린더의 저부 50 ㎖에서의 입자의 농도 (g/㎖ 단위의 [B])와 눈금 실린더의 상부 50 ㎖에서의 입자의 농도 (g/㎖ 단위의 [T]) 간의 차를, 연마제 조성물 중 입자의 초기 농도 (g/㎖ 단위의 [C])로 나눈 값이 0.5 이하 (즉, {[B]-[T]}/[C] ≤ 0.5)인 경우에, 연마제는 콜로이드적으로 안정적인 것으로 간주된다. 보다 바람직하게는, [B]-[T]/[C]의 값은 0.3 이하이고, 가장 바람직하게는 0.1 이하이다.The ceria particles are preferably colloidally stable in the polishing composition of the present invention. The term colloid refers to a suspension of abrasive particles in a liquid carrier. The colloidal stability refers to the retention of the suspension over time. In the context of the present invention, when the ceria abrasive is placed in a 100 ml graduated cylinder and allowed to stand without agitation for 2 hours, the concentration of the particles in the bottom 50 ml of the graduation cylinder (g / ml unit [B] ) Divided by the initial concentration of particles in the abrasive composition ([C] in g / ml), the difference between the concentration of the particles in the upper 50 ml of the calibration cylinder ([T] in g / ml) (I.e., {[B] - [T]} / [C] 0.5), the abrasive is considered to be colloidally stable. More preferably, the value of [B] - [T] / [C] is 0.3 or less, and most preferably 0.1 or less.

세리아 연마 입자는 임의의 적합한 양으로 연마 조성물 중에 존재할 수 있다. 본 발명의 연마 조성물이 너무 적은 세리아 연마제를 포함하는 경우, 조성물은 충분한 제거율을 나타내지 않을 수 있다. 그에 반해, 연마 조성물이 너무 많은 세리아 연마제를 포함하는 경우, 연마 조성물은 바람직하지 않은 연마 성능을 나타낼 수 있고/있거나 비용 효율적이지 않을 수 있고/있거나 안정성이 부족할 수 있다.The ceria abrasive particles can be present in the polishing composition in any suitable amount. If the polishing composition of the present invention comprises too little ceria abrasive, the composition may not exhibit a sufficient removal rate. On the other hand, if the polishing composition comprises too much ceria abrasive, the polishing composition may exhibit undesirable polishing performance and / or may not be cost-effective and / or may lack stability.

유리하게는, 일부 실시양태에서 세리아 연마 입자는 종래의 시스템에 비해 더 낮은 고체 농도로 존재하고, 이것은 흔히 10-12 중량% 고체를 초과한다. 본 발명의 실시양태에 따라, 더 적은 양의 세리아 연마 입자를 사용하는 것은, 더 낮은 결함성 및 상당한 비용 절감을 초래할 수 있다.Advantageously, in some embodiments, the ceria abrasive particles are present at a lower solids concentration than conventional systems, and this often exceeds 10-12 wt% solids. In accordance with embodiments of the present invention, the use of smaller amounts of ceria abrasive particles can result in lower defectiveness and significant cost savings.

예를 들어, 세리아 연마 입자는 0.0005 중량% 이상, 예를 들어, 0.001 중량% 이상, 0.005 중량% 이상, 0.01 중량% 이상, 0.05 중량% 이상, 0.1 중량% 이상, 또는 0.5 중량% 이상의 농도로 존재할 수 있다. 대안적으로, 또는 추가로, 세리아 연마 입자는 10 중량% 이하, 예를 들어, 9 중량% 이하, 8 중량% 이하, 7 중량% 이하, 5 중량% 이하, 3 중량% 이하, 2 중량% 이하, 또는 1 중량% 이하의 농도로 연마 조성물 중에 존재할 수 있다. 따라서, 연마 조성물은 세리아 연마 입자를 상기 언급한 종점 중 임의의 2개에 의해 제한된 범위 내의 농도로 포함할 수 있다. 예를 들어, 세리아 연마 입자는 0.0005 중량% 내지 10 중량%, 예를 들어, 0.1 중량% 내지 1.0 중량%, 0.01 중량% 내지 3.0 중량%, 0.005 중량% 내지 7.0 중량%, 0.05 중량% 내지 9.0 중량%, 0.5 중량% 내지 8.0 중량%, 또는 0.001 중량% 내지 5.0 중량%의 농도로 존재할 수 있다. 바람직하게는, 세리아 연마 입자는 0.001 중량% 내지 2.0 중량%의 농도로 연마 조성물 중에 존재한다. 일부 실시양태에서, 세리아 연마 입자는 1 중량% 이하, 예컨대 0.1 중량% 내지 1 중량%, 예를 들어, 0.1 중량% 내지 0.7 중량%, 0.1 중량% 내지 0.5 중량%, 0.1 중량% 내지 0.3 중량% (예를 들어, 0.2 중량%)의 양으로 존재한다.For example, the ceria abrasive particles may be present at a concentration of at least 0.0005%, such as at least 0.001%, at least 0.005%, at least 0.01%, at least 0.05%, at least 0.1%, or at least 0.5% . Alternatively, or additionally, the ceria abrasive grains may comprise up to 10 wt%, such as up to 9 wt%, up to 8 wt%, up to 7 wt%, up to 5 wt%, up to 3 wt%, up to 2 wt% , Or 1% or less by weight of the polishing composition. Thus, the polishing composition may comprise ceria abrasive particles at a concentration within a limited range by any two of the above-mentioned end points. For example, the ceria abrasive may be present in an amount of from 0.0005% to 10%, such as from 0.1% to 1.0%, from 0.01% to 3.0%, from 0.005% to 7.0%, from 0.05% to 9.0% %, 0.5 wt% to 8.0 wt%, or 0.001 wt% to 5.0 wt%. Preferably, the ceria abrasive particles are present in the polishing composition at a concentration of from 0.001% to 2.0% by weight. In some embodiments, the ceria abrasive particles comprise less than or equal to 1 wt%, such as from 0.1 wt% to 1 wt%, such as from 0.1 wt% to 0.7 wt%, 0.1 wt% to 0.5 wt%, 0.1 wt% to 0.3 wt% (E. G. 0.2% by weight).

일부 실시양태에서는, 기판 표면을 연마 입자와의 상호작용에 대해 더 수용적이게 만들기 위해 알콜 아민을 연마 조성물 중에 포함시켜 연마될 기판의 표면 특성을 개질시킨다. 연마 조성물의 pH는 연마 조성물과 연마될 기판의 표면 사이의 상호작용을 결정하는데 중요한 역할을 한다. 일부 실시양태에서는 알콜 아민을 포함시켜, 세리아 연마 입자를 탈안정화시키는 것 없이, 연마 조성물의 pH를 적어도 약 6, 7 이상의 pH (예를 들어, 6 내지 11의 pH)로 높이는 것을 용이하게 한다. 이와 관련해서, 네이티브 세리아 입자는 더 낮은 pH (예를 들어, 4)를 가질 수 있고, 알콜 아민은 연마 조성물에서 일차 pH 조정제로서 작용하여, 6의 pH에서, 용액 밖으로 나오게 될, 응집된 입자 형태로의 입자 성장을 막을 수 있다. 따라서, 알콜 아민의 존재는 그렇지 않으면 6의 pH에서 일어날 수 있는 세리아 연마 입자의 응집의 시작 및 그의 침전을 감소시킬 수 있다.In some embodiments, an alcohol amine is included in the polishing composition to modify the surface properties of the substrate to be polished to make the substrate surface more receptive to interaction with the abrasive particles. The pH of the polishing composition plays an important role in determining the interaction between the polishing composition and the surface of the substrate to be polished. In some embodiments, alcohol amine is included to facilitate raising the pH of the polishing composition to a pH of at least about 6, 7 or more (e.g., a pH of 6 to 11) without destabilizing the ceria abrasive particles. In this regard, the native ceria particles can have a lower pH (e. G., 4), and the alcohol amine acts as a primary pH adjusting agent in the polishing composition to form a coagulated particle form It is possible to prevent the growth of particles. Thus, the presence of alcohol amine can reduce the onset of agglomeration of the ceria abrasive grains, which may otherwise occur at a pH of 6, and its precipitation.

연마 조성물의 pH는 적어도 6 이상, 예를 들어, 6.5 이상, 7 이상, 7.5 이상, 8 이상, 8.5 이상, 9 이상, 9.5 이상, 또는 10 이상의 임의의 적합한 pH일 수 있다. 추가로, 연마 조성물의 pH는 14 이하, 예를 들어, 13.5 이하, 13 이하, 12.5 이하, 12 이하, 11.5 이하, 11 이하, 10.5 이하, 10 이하, 9.5 이하, 9 이하, 8.5 이하, 또는 8 이하일 수 있다. 따라서, 연마 조성물의 pH는 임의의 상기 언급한 종점에 의해 제한된 범위 내에 있을 수 있다. 예를 들어, 연마 조성물의 pH는 6 내지 14, 예를 들어, 6 내지 10, 6 내지 8, 6 내지 7, 7 내지 14, 7 내지 10, 또는 8 내지 12일 수 있다.The pH of the polishing composition may be any suitable pH of at least 6, such as at least 6.5, at least 7, at least 7.5, at least 8, at least 8.5, at least 9, at least 9.5, Further, the pH of the polishing composition may be up to 14, such as 13.5 or less, 13 or less, 12.5 or less, 12 or less, 11.5 or less, 11 or less, 10.5 or less, 10 or less, 9.5 or less, &Lt; / RTI &gt; Thus, the pH of the polishing composition may be within a limited range by any of the above-mentioned endpoints. For example, the pH of the polishing composition can be from 6 to 14, such as 6 to 10, 6 to 8, 6 to 7, 7 to 14, 7 to 10, or 8 to 12.

알콜 아민이 이러한 pH 범위 내에서 기판과 상호작용하기 위해, 일부 실시양태에서, 알콜 아민은 바람직하게는 7 내지 11, 예컨대 7.5 내지 10, 예를 들어 8 내지 9의 pKa (물 중)를 갖는 관능기를 가져, 예를 들어, 알콜 아민은 물에서 염기로서 작용하게 된다. 일부 실시양태에서, 알콜 아민은 6 내지 10, 예컨대 7.5 내지 9, 예를 들어, 6.5 내지 7의 등전점 (pKi, 또한 pI로도 지칭됨)을 갖는다.In order for the alcohol amine to interact with the substrate within this pH range, in some embodiments, the alcohol amine is preferably a functional group having a pKa (in water) of from 7 to 11, such as from 7.5 to 10, for example from 8 to 9 For example, an alcohol amine will act as a base in water. In some embodiments, the alcohol amine has an isoelectric point (pKi, also referred to as pI) of 6 to 10, such as 7.5 to 9, for example, 6.5 to 7.

알콜 아민은 임의의 적합한 알콜 아민일 수 있다. 바람직하게는, 알콜 아민은 2-디메틸아미노-2-메틸프로판올 (DMAMP), 트리에탄올아민, 디에탄올아민, 에탄올아민, 2-아미노-2-2메틸-1,3프로판디올, 비스-트리스, 트리스, 그의 공-형성된 생성물, 또는 그의 조합이다.The alcohol amine may be any suitable alcohol amine. Preferably, the alcohol amine is selected from the group consisting of 2-dimethylamino-2-methylpropanol (DMAMP), triethanolamine, diethanolamine, ethanolamine, 2-amino- , A co-formed product thereof, or a combination thereof.

알콜 아민은 임의의 적합한 농도로 존재할 수 있다. 예를 들어, 알콜 아민은 0.0005 중량% 이상, 예를 들어, 0.005 중량% 이상, 0.01 중량% 이상, 0.05 중량% 이상, 0.1 중량% 이상, 또는 0.5 중량% 이상의 농도로 존재할 수 있다. 대안적으로, 또는 추가로, 알콜 아민은 5 중량% 이하, 예를 들어, 4 중량% 이하, 3 중량% 이하, 2 중량% 이하, 또는 1 중량% 이하의 농도로 연마 조성물 중에 존재할 수 있다. 따라서, 알콜 아민은 상기 언급한 종점 중 임의의 2개에 의해 제한된 범위 내의 농도로 연마 조성물 중에 존재할 수 있다. 예를 들어, 알콜 아민은 0.005 중량% 내지 5 중량%, 예를 들어, 0.01 중량% 내지 3 중량%, 0.1 중량% 내지 2 중량%, 0.005 중량% 내지 4 중량%, 또는 0.05 중량% 내지 1 중량%의 농도로 존재할 수 있다. 바람직하게는, 알콜 아민은 0.001 중량% 내지 1 중량%의 농도로 연마 조성물 중에 존재한다.Alcoholic amines may be present in any suitable concentration. For example, the alcohol amine can be present in a concentration of 0.0005 wt% or more, for example, 0.005 wt% or more, 0.01 wt% or more, 0.05 wt% or more, 0.1 wt% or more, or 0.5 wt% or more. Alternatively, or additionally, the alcoholamine may be present in the polishing composition at a concentration of up to 5% by weight, for example up to 4% by weight, up to 3% by weight, up to 2% by weight, or up to 1% by weight. Thus, the alcohol amine may be present in the polishing composition at a concentration within a limited range by any two of the above-mentioned end points. For example, the alcohol amine may be present in an amount of from 0.005 wt% to 5 wt%, such as from 0.01 wt% to 3 wt%, from 0.1 wt% to 2 wt%, from 0.005 wt% to 4 wt%, or from 0.05 wt% to 1 wt% %. &Lt; / RTI &gt; Preferably, the alcohol amine is present in the polishing composition at a concentration of from 0.001% to 1% by weight.

연마 조성물의 pH는 임의의 적합한 수단에 의해 달성 및/또는 유지될 수 있다. 보다 구체적으로, 연마 조성물은 단독으로 사용되거나 알콜 아민, pH 완충제, 또는 그의 조합과 함께 사용되는 이차 pH 조정제를 추가로 포함할 수 있다. 이차 pH 조정제는 임의의 적합한 pH-조정 화합물, 예를 들어 임의의 적합한 산일 수 있다. 전형적으로, 산은 아세트산, 질산, 인산, 옥살산, 및 그의 조합이다. 바람직하게는, 산은 질산이다. 이차 pH 조정제는 대안적으로 염기일 수 있다. 염기는 임의의 적합한 염기일 수 있다. 전형적으로, 염기는 수산화칼륨, 수산화암모늄, 및 그의 조합이다. pH 완충제는 임의의 적합한 완충제일 수 있다. 예를 들어, pH 완충제는 인산염, 황산염, 아세트산염, 붕산염, 암모늄 염 등일 수 있다. 연마 조성물은 임의의 적합한 양의 pH 조정제 및/또는 pH 완충제를 포함할 수 있되, 단 적합한 양이 사용되어 연마 조성물의 pH를 본원에 기재된 pH 범위 내로 달성 및/또는 유지한다.The pH of the polishing composition can be achieved and / or maintained by any suitable means. More specifically, the polishing composition may further comprise a secondary pH adjusting agent used alone or in combination with an alcohol amine, a pH buffer, or a combination thereof. The secondary pH adjusting agent may be any suitable pH-adjusting compound, for example, any suitable acid. Typically, the acid is acetic acid, nitric acid, phosphoric acid, oxalic acid, and combinations thereof. Preferably, the acid is nitric acid. The secondary pH adjuster may alternatively be a base. The base may be any suitable base. Typically, the base is potassium hydroxide, ammonium hydroxide, and combinations thereof. The pH buffer may be any suitable buffer. For example, the pH buffering agent may be a phosphate, a sulfate, an acetate, a borate, an ammonium salt, or the like. The polishing composition may comprise any suitable amount of a pH adjusting agent and / or a pH buffer, with suitable amounts being used to achieve and / or maintain the pH of the polishing composition within the pH ranges described herein.

임의로, 일부 실시양태에서, 연마 조성물은 1종 이상의 비이온성 계면활성제를 포함할 수 있다. 비이온성 계면활성제는 임의의 적합한 비이온성 계면활성제일 수 있다. 바람직하게는, 비이온성 계면활성제는 폴리소르베이트, 폴리소르베이트 20, 폴리소르베이트 60, 폴리소르베이트 65, 폴리소르베이트 80, 폴리소르베이트 85, 소르비탄, 폴리옥시에틸렌 에테르, 에톡실레이트, 아크릴, 폴리에테르 폴리올, 히드로팔라트 3233, 소르비탄 모노라우레이트, 폴리옥시에틸렌 (40) 노닐페닐 에테르, 펜타에리트리톨 에톡실레이트, 글리세롤 프로폭실레이트-블록-에톡실레이트, 아크릴 공중합체, 폴리프로필렌 옥시드-기재 트리올, 그의 공-형성된 생성물, 또는 그의 조합이다. 일부 실시양태에서, 비이온성 중합체는 계면활성제 및/또는 습윤제로서 기능한다. 비이온성 계면활성제의 존재는 유리하게는 폴리규소에 대한 제거율을 감소시키면서 유전체 층 (예를 들어, 산화물)에 대해 유용한 제거율을 허용한다. 추가로, 비이온성 계면활성제의 존재는, 본 발명의 일부 실시양태에서, 낮은 디싱을 허용한다. 또한, 본 발명의 일부 실시양태에서, 비이온성 계면활성제의 존재는 연마될 기판 상에 낮은 결함성을 허용한다.Optionally, in some embodiments, the polishing composition may comprise at least one nonionic surfactant. The nonionic surfactant may be any suitable nonionic surfactant. Preferably, the nonionic surfactant is selected from the group consisting of polysorbate 20, polysorbate 60, polysorbate 65, polysorbate 80, polysorbate 85, sorbitan, polyoxyethylene ether, ethoxylate, Block copolymers such as acrylic, polyetherpolyol, hydroformate 3233, sorbitan monolaurate, polyoxyethylene (40) nonylphenyl ether, pentaerythritol ethoxylate, glycerol propoxylate-block-ethoxylate, Propylene oxide-based triols, co-formed products thereof, or combinations thereof. In some embodiments, the nonionic polymer functions as a surfactant and / or a wetting agent. The presence of a non-ionic surfactant advantageously allows a useful removal rate for the dielectric layer (e.g., oxide) while reducing the removal rate for polysilicon. Additionally, the presence of a nonionic surfactant allows low dishing in some embodiments of the present invention. Further, in some embodiments of the present invention, the presence of a nonionic surfactant allows low defectivity on the substrate to be polished.

연마 조성물 중에 존재할 경우, 비이온성 계면활성제는 임의의 적합한 농도로 연마 조성물 중에 존재할 수 있다. 예를 들어, 비이온성 계면활성제는 0.0005 중량% 이상, 예를 들어, 0.005 중량% 이상, 0.01 중량% 이상, 0.05 중량% 이상, 0.1 중량% 이상, 또는 0.5 중량% 이상의 농도로 존재할 수 있다. 대안적으로, 또는 추가로, 비이온성 계면활성제는 5 중량% 이하, 예를 들어, 4 중량% 이하, 3 중량% 이하, 2 중량% 이하, 또는 1 중량% 이하의 농도로 연마 조성물 중에 존재할 수 있다. 따라서, 비이온성 계면활성제는 상기 언급한 종점 중 임의의 2개에 의해 제한된 범위의 농도로 연마 조성물 중에 존재할 수 있다. 예를 들어, 비이온성 계면활성제는 0.005 중량% 내지 5 중량%, 예를 들어, 0.01 중량% 내지 3 중량%, 0.1 중량% 내지 2 중량%, 0.005 중량% 내지 4 중량%, 또는 0.05 중량% 내지 1 중량%의 농도로 존재할 수 있다. 바람직하게는, 비이온성 계면활성제는 0.001 중량% 내지 1 중량%의 농도로 연마 조성물 중에 존재한다.When present in the polishing composition, the nonionic surfactant may be present in the polishing composition at any suitable concentration. For example, the nonionic surfactant may be present at a concentration of 0.0005 wt% or more, for example, 0.005 wt% or more, 0.01 wt% or more, 0.05 wt% or more, 0.1 wt% or more, or 0.5 wt% or more. Alternatively, or additionally, the nonionic surfactant may be present in the polishing composition at a concentration of up to 5% by weight, for example up to 4% by weight, up to 3% by weight, up to 2% by weight, or up to 1% have. Thus, the non-ionic surfactant may be present in the polishing composition at a concentration in a limited range by any two of the above-mentioned endpoints. For example, the nonionic surfactant may be present in an amount of from 0.005 wt% to 5 wt%, such as from 0.01 wt% to 3 wt%, from 0.1 wt% to 2 wt%, from 0.005 wt% to 4 wt%, or from 0.05 wt% 1% &lt; / RTI &gt; by weight. Preferably, the nonionic surfactant is present in the polishing composition at a concentration of from 0.001% to 1% by weight.

비이온성 계면활성제는 임의의 적합한 소수성 친유성 밸런스 (HLB)를 가질 수 있다. 예를 들어, 비이온성 계면활성제는 3 이상, 예를 들어, 4 이상, 5 이상, 6 이상, 7 이상, 8 이상, 9 이상, 10 이상, 또는 11 이상의 HLB를 가질 수 있다. 대안적으로, 또는 추가로, 비이온성 계면활성제는 22 이하, 예를 들어, 21 이하, 20 이하, 19 이하, 18 이하, 17 이하, 16 이하, 15 이하, 14 이하, 13 이하, 또는 12 이하의 HLB를 가질 수 있다. 따라서, 비이온성 계면활성제는 상기 언급한 종점 중 임의의 2개에 의해 제한된 범위의 HLB를 가질 수 있다. 예를 들어, 비이온성 계면활성제는 3 내지 22, 4 내지 21, 5 내지 20, 6 내지 19, 10 내지 13, 또는 8 내지 15의 HLB를 가질 수 있다. 바람직하게는, 비이온성 계면활성제는 7 내지 18의 HLB를 갖는다.The nonionic surfactant may have any suitable hydrophobic lipophilic balance (HLB). For example, the nonionic surfactant may have an HLB of 3 or more, such as 4 or more, 5 or more, 6 or more, 7 or more, 8 or more, 9 or more, 10 or more, or 11 or more. Alternatively, or additionally, the nonionic surfactant may be present in an amount of 22 or less, such as 21 or less, 20 or less, 19 or less, 18 or less, 17 or less, 16 or less, 15 or less, 14 or less, 13 or less, Of the HLB. Thus, the nonionic surfactant may have a limited range of HLBs by any two of the above-mentioned endpoints. For example, the nonionic surfactant may have an HLB of 3 to 22, 4 to 21, 5 to 20, 6 to 19, 10 to 13, or 8 to 15. Preferably, the nonionic surfactant has an HLB of 7 to 18.

임의로, 일부 실시양태에서, 연마 조성물은 1종 이상의 증점제를 포함할 수 있다. 증점제는 포함되어, 예를 들어, 디싱 감소제로서의 역할을 할 수 있다. 증점제는 임의의 적합한 증점제일 수 있다. 바람직하게는, 증점제는 셀룰로스성 화합물, 덱스트란, 폴리비닐 알콜, 카라기난, 키토산, 히드록시에틸셀룰로스, 카르복시에틸셀룰로스, 히드록시메틸셀룰로스, 메틸셀룰로스, 히드록시프로필셀룰로스, 그의 공-형성된 생성물, 또는 그의 조합이다.Optionally, in some embodiments, the polishing composition may comprise one or more thickeners. Thickening agents may be included and serve, for example, as a dishing reducing agent. The thickener may be any suitable thickener. Preferably, the thickening agent is selected from the group consisting of a cellulosic compound, dextran, polyvinyl alcohol, carrageenan, chitosan, hydroxyethylcellulose, carboxyethylcellulose, hydroxymethylcellulose, methylcellulose, hydroxypropylcellulose, It is his combination.

연마 조성물 중에 존재할 경우, 증점제는 임의의 적합한 농도로 연마 조성물 중에 존재할 수 있다. 예를 들어, 증점제는 0.0005 중량% 이상, 예를 들어, 0.005 중량% 이상, 0.01 중량% 이상, 0.05 중량% 이상, 0.1 중량% 이상, 또는 0.5 중량% 이상의 농도로 존재할 수 있다. 대안적으로, 또는 추가로, 증점제는 5 중량% 이하, 예를 들어, 4 중량% 이하, 3 중량% 이하, 2 중량% 이하, 또는 1 중량% 이하의 농도로 연마 조성물 중에 존재할 수 있다. 따라서, 증점제는 상기 언급한 종점 중 임의의 2개에 의해 제한된 범위의 농도로 연마 조성물 중에 존재할 수 있다. 예를 들어, 증점제는 0.005 중량% 내지 5 중량%, 예를 들어, 0.01 중량% 내지 3 중량%, 0.1 중량% 내지 2 중량%, 0.005 중량% 내지 4 중량%, 또는 0.05 중량% 내지 1 중량%의 농도로 존재할 수 있다. 바람직하게는, 증점제는 0.001 중량% 내지 1 중량%의 농도로 연마 조성물 중에 존재한다.When present in the polishing composition, the thickener may be present in the polishing composition at any suitable concentration. For example, the thickener may be present in a concentration of 0.0005% by weight or more, such as 0.005% by weight or more, 0.01% by weight or more, 0.05% by weight or more, 0.1% by weight or more, or 0.5% by weight or more. Alternatively, or additionally, the thickener may be present in the polishing composition at a concentration of up to 5% by weight, for example up to 4% by weight, up to 3% by weight, up to 2% by weight, or up to 1% by weight. Thus, the thickening agent may be present in the polishing composition at a concentration range limited by any two of the above-mentioned endpoints. For example, the thickener may be present in an amount of from 0.005% to 5%, such as from 0.01% to 3%, from 0.1% to 2%, from 0.005% to 4%, or from 0.05% to 1% &Lt; / RTI &gt; Preferably, the thickener is present in the polishing composition at a concentration of from 0.001% to 1% by weight.

임의로, 일부 실시양태에서, 연마 조성물은, 예를 들어, 제거율 촉진제, 결함성 감소제, 또는 둘 다로서 역할을 하는 1종 이상의 양이온성 중합체를 포함할 수 있다. 양이온성 중합체는 임의의 적합한 양이온성 중합체일 수 있다. 바람직하게는, 양이온성 중합체는 폴리(메타크릴옥시에틸트리메틸암모늄) 클로라이드 (폴리MADQUAT), 폴리(디알릴디메틸암모늄) 클로라이드 (폴리DADMAC), 폴리(아크릴아미드), 폴리(알릴아민), 폴리(비닐이미다졸륨), 폴리(비닐피리듐), 그의 공-형성된 생성물, 또는 그의 조합이다.Optionally, in some embodiments, the polishing composition may comprise one or more cationic polymers that serve, for example, as removal rate promoters, defective reducing agents, or both. The cationic polymer may be any suitable cationic polymer. Preferably, the cationic polymer is selected from the group consisting of poly (methacryloxyethyltrimethylammonium) chloride (poly MADQUAT), poly (diallyldimethylammonium) chloride (poly DADMAC), poly (acrylamide) Vinyl imidazolium), poly (vinyl pyridinium), a co-formed product thereof, or a combination thereof.

연마 조성물 중에 존재할 경우, 양이온성 중합체는 임의의 적합한 농도로 연마 조성물 중에 존재할 수 있다. 예를 들어, 양이온성 중합체는 0.0005 중량% 이상, 예를 들어, 0.005 중량% 이상, 0.01 중량% 이상, 0.05 중량% 이상, 0.1 중량% 이상, 또는 0.5 중량% 이상의 농도로 존재할 수 있다. 대안적으로, 또는 추가로, 양이온성 중합체는 5 중량% 이하, 예를 들어, 4 중량% 이하, 3 중량% 이하, 2 중량% 이하, 또는 1 중량% 이하의 농도로 연마 조성물 중에 존재할 수 있다. 따라서, 양이온성 중합체는 상기 언급한 종점 중 임의의 2개에 의해 제한된 범위의 농도로 연마 조성물 중에 존재할 수 있다. 예를 들어, 양이온성 중합체는 0.005 중량% 내지 5 중량%, 예를 들어, 0.01 중량% 내지 3 중량%, 0.1 중량% 내지 2 중량%, 0.005 중량% 내지 4 중량%, 또는 0.05 중량% 내지 1 중량%의 농도로 존재할 수 있다. 바람직하게는, 양이온성 중합체는 0.001 중량% 내지 1 중량%의 농도로 연마 조성물 중에 존재한다.When present in the polishing composition, the cationic polymer can be present in the polishing composition at any suitable concentration. For example, the cationic polymer may be present at a concentration of at least 0.0005 wt%, such as at least 0.005 wt%, at least 0.01 wt%, at least 0.05 wt%, at least 0.1 wt%, or at least 0.5 wt%. Alternatively, or additionally, the cationic polymer can be present in the polishing composition at a concentration of up to 5% by weight, for example up to 4% by weight, up to 3% by weight, up to 2% by weight, or up to 1% by weight . Thus, the cationic polymer may be present in the polishing composition at a concentration range limited by any two of the above-mentioned end points. For example, the cationic polymer may comprise from 0.005% to 5%, such as from 0.01% to 3%, from 0.1% to 2%, from 0.005% to 4%, or from 0.05% to 1% % &Lt; / RTI &gt; by weight. Preferably, the cationic polymer is present in the polishing composition at a concentration of from 0.001% to 1% by weight.

임의로, 연마 조성물은 1종 이상의 첨가제를 추가로 포함한다. 예시적 첨가제는 컨디셔너, 산 (예를 들어, 술폰산), 착화제 (예를 들어, 음이온성 중합체성 착화제), 킬레이트제, 살생물제, 스케일 억제제, 분산제 등을 포함한다.Optionally, the polishing composition further comprises at least one additive. Exemplary additives include conditioners, acids (e.g., sulfonic acids), complexing agents (e.g., anionic polymeric complexing agents), chelating agents, biocides, scale inhibitors, dispersants and the like.

살생물제는 임의의 적합한 살생물제일 수 있고, 연마 조성물 중에 임의의 적합한 양으로 존재할 수 있다. 적합한 살생물제는 이소티아졸리논 살생물제이다. 연마 조성물에 사용되는 살생물제의 양은 전형적으로 1 내지 50 ppm, 바람직하게는 10 내지 20 ppm이다.The biocide can be any suitable biocidal agent and can be present in any suitable amount in the polishing composition. A suitable biocide is an isothiazolinone nonbiocide. The amount of biocide used in the polishing composition is typically from 1 to 50 ppm, preferably from 10 to 20 ppm.

연마 조성물은 임의의 적합한 기술에 의해 제조될 수 있으며, 이들 중 다수는 통상의 기술자에게 공지되어 있다. 연마 조성물은 배치식 또는 연속식 공정에 의해 제조될 수 있다. 일반적으로, 연마 조성물은 본원에 기재된 성분을 임의의 순서로 조합함으로써 제조될 수 있다. 본원에서 사용된 바와 같은 용어 "성분"은 개별 구성성분 (예를 들어, 세리아 연마제, 알콜 아민, 물, 임의적인 비이온성 계면활성제, 임의적인 증점제, 임의적인 양이온성 중합체, 및/또는 임의의 임의적인 첨가제) 뿐만 아니라 구성성분들 (예를 들어, 세리아 연마제, 알콜 아민, 물, 임의적인 비이온성 계면활성제, 임의적인 증점제, 임의적인 양이온성 중합체 등)의 임의의 조합을 포함한다.The polishing composition may be prepared by any suitable technique, many of which are known to those of ordinary skill in the art. The polishing composition may be prepared by a batch or continuous process. In general, polishing compositions can be prepared by combining the components described herein in any order. The term "component" as used herein is intended to encompass individual components (e.g., ceria abrasive, alcohol amine, water, optional nonionic surfactant, optional thickener, optional cationic polymer, and / (Such as a ceria abrasive, an alcohol amine, water, an optional nonionic surfactant, an optional thickener, an optional cationic polymer, etc.) as well as other additives (e.g., phosphorus additives).

예를 들어, 연마 조성물은 (i) 액체 담체의 전부 또는 일부를 제공하고, (ii) 세리아 연마제, 알콜 아민, 물, 임의적인 비이온성 계면활성제, 임의적인 증점제, 임의적인 양이온성 중합체, 및/또는 임의의 임의적인 첨가제를, 이러한 분산액을 제조하기 위한 임의의 적합한 수단을 사용하여 액체 담체 중에 분산시키고, (iii) 분산액의 pH를 적절하게 조정하고, (iv) 임의로 적합한 양의 임의의 다른 임의적인 성분 및/또는 첨가제를 혼합물에 첨가하는 것에 의해 제조될 수 있다.For example, the polishing composition may comprise (i) providing all or part of a liquid carrier, and (ii) a ceria abrasive, an alcoholamine, water, an optional nonionic surfactant, an optional thickener, an optional cationic polymer, and / Or any optional additives in a liquid carrier using any suitable means for making such a dispersion, (iii) adjusting the pH of the dispersion appropriately, and (iv) optionally, Can be prepared by adding the phosphorus component and / or the additive to the mixture.

대안적으로, 연마 조성물은 (i) 1종 이상의 성분 (예를 들어, 물, 임의적인 비이온성 계면활성제, 임의적인 증점제, 임의적인 양이온성 중합체, 및/또는 임의의 임의적인 첨가제)을 산화세륨 슬러리 중에 제공하고, (ii) 1종 이상의 성분 (예를 들어, 물, 임의적인 비이온성 계면활성제, 임의적인 증점제, 임의적인 양이온성 중합체, 및/또는 임의의 임의적인 첨가제)을 첨가제 용액 중에 제공하고, (iii) 산화세륨 슬러리 및 첨가제 용액을 조합하여 혼합물을 형성하고, (iv) 임의로 적합한 양의 임의의 다른 임의적인 첨가제를 혼합물에 첨가하고, (v) 혼합물의 pH를 적절하게 조정하는 것에 의해 제조될 수 있다.Alternatively, the polishing composition may comprise (i) one or more components (e.g., water, optional nonionic surfactant, optional thickener, optional cationic polymer, and / or optional optional additives) (Ii) providing in the additive solution one or more components (e.g., water, optional nonionic surfactant, optional thickener, optional cationic polymer, and / or any optional additives) (Iii) combining the cerium oxide slurry and the additive solution to form a mixture, (iv) optionally adding a suitable amount of any other optional additives to the mixture, and (v) adjusting the pH of the mixture appropriately . &Lt; / RTI &gt;

연마 조성물은 세리아 연마제, 알콜 아민, 임의적인 비이온성 계면활성제, 임의적인 증점제, 임의적인 양이온성 중합체, 및/또는 임의의 임의적인 첨가제, 및 물을 포함하는 1-패키지 시스템으로서 공급될 수 있다. 대안적으로, 연마 조성물은 산화세륨 슬러리 및 첨가제 용액을 포함하며, 여기서 산화세리아 슬러리는 세리아 연마제, 임의적인 비이온성 계면활성제, 임의적인 증점제, 임의적인 양이온성 중합체, 및/또는 임의의 임의적인 첨가제로 본질적으로 이루어지거나, 또는 이들로 이루어진 것인, 2-패키지 시스템으로서 공급될 수 있다. 2-패키지 시스템은 2개의 패키지, 즉 산화세륨 슬러리 및 첨가제 용액의 블렌딩 비를 변화시킴으로써 기판의 전반적 플래트닝 특징 및 연마 속도의 조정을 가능하게 한다.The polishing composition may be supplied as a one-packaged system comprising a ceria abrasive, an alcohol amine, an optional nonionic surfactant, an optional thickener, an optional cationic polymer, and / or any optional additives, and water. Alternatively, the polishing composition comprises a cerium oxide slurry and an additive solution, wherein the ceria oxide slurry comprises a ceria abrasive, an optional nonionic surfactant, an optional thickener, an optional cationic polymer, and / Package system, which is essentially comprised of, or consists of, a two-package system. The two-package system allows adjustment of the overall flattening characteristics of the substrate and the polishing rate by changing the blending ratio of the two packages, cerium oxide slurry and additive solution.

이러한 2-패키지 연마 시스템을 이용하기 위해 다양한 방법이 사용될 수 있다. 예를 들어, 공급 파이핑의 유출구에서 결합 및 연결된 상이한 파이프에 의해 산화세륨 슬러리 및 첨가제 용액을 연마 테이블에 전달할 수 있다. 연마 바로 전에 또는 직전에 산화세륨 슬러리 및 첨가제 용액을 혼합할 수 있거나, 또는 연마 테이블 상에 동시에 공급할 수 있다. 또한, 2개의 패키지를 혼합할 경우, 필요에 따라 탈이온수를 첨가하여 연마 조성물 및 생성된 기판 연마 특징을 조정할 수 있다.Various methods can be used to utilize this two-package polishing system. For example, the cerium oxide slurry and additive solution can be delivered to the polishing table by different pipes coupled and connected at the outlet of the feed piping. The cerium oxide slurry and the additive solution may be mixed just before or just before the polishing, or may be supplied simultaneously on the polishing table. In addition, when mixing two packages, deionized water may be added as needed to adjust the polishing composition and the resulting substrate polishing characteristics.

유사하게, 3-패키지, 4-패키지, 또는 그 초과의 패키지 시스템이 본 발명과 함께 이용될 수 있고, 여기서 다수의 용기의 각각은 본 발명의 화학-기계적 연마 조성물의 상이한 성분, 1종 이상의 임의적인 성분, 및/또는 상이한 농도의 동일한 성분 중 1종 이상을 함유한다.Likewise, a three-package, four-package, or more, package system may be used with the present invention, wherein each of the plurality of containers includes different components of the chemo-mechanical polishing composition of the present invention, Phosphorous, and / or different concentrations of the same component.

2개 이상의 저장 장치에 함유된 성분을 혼합하여 사용-지점에서 또는 그 근처에서 연마 조성물을 제조하기 위해, 저장 장치에는 전형적으로 각각의 저장 장치로부터 연마 조성물의 사용-지점 (예를 들어, 압반, 연마 패드 또는 기판 표면 자체)으로 이어진 1개 이상의 유동 라인이 제공된다. 본원에서 사용된 바와 같이 용어 "사용-지점"은 연마 조성물이 기판 표면에 적용되는 지점 (예를 들어, 연마 패드 또는 기판 표면 자체)을 지칭한다. 용어 "유동 라인"이란 개별 저장 용기로부터 그 내에 저장된 성분의 사용-지점으로의 유동 경로를 의미한다. 유동 라인은 각각 사용-지점으로 직접 이어질 수 있거나, 또는 유동 라인 중 2개 이상이 임의의 지점에서 단일 유동 라인으로 합해져 사용-지점으로 이어질 수 있다. 또한, 유동 라인 중 임의의 것 (예를 들어, 개별 유동 라인 또는 합해진 유동 라인)은 성분(들)의 사용-지점에 도달하기 전에 먼저 1개 이상의 다른 장치 (예를 들어, 펌핑 장치, 측정 장치, 혼합 장치 등)에 이어질 수 있다.To mix the components contained in two or more storage devices and to produce a polishing composition at or near the point of use, the storage device typically contains a use-point of the polishing composition (e.g., platen, The polishing pad or the substrate surface itself). As used herein, the term "use-point" refers to the point (e.g., the polishing pad or substrate surface itself) where the polishing composition is applied to the substrate surface. The term "flow line" means a flow path from an individual storage vessel to the point of use of the components stored therein. The flow lines may each lead directly to a use-point, or two or more of the flow lines may be combined into a single flow line at any point leading to a use-point. In addition, any of the flow lines (e. G., Separate flow lines or combined flow lines) may be connected to one or more other devices (e. G., A pumping device, , Mixing device, etc.).

연마 조성물의 성분은 사용-지점에 독립적으로 전달될 수 있거나 (예를 들어, 성분이 기판 표면에 전달되고, 그 결과 성분이 연마 공정 동안 혼합됨), 또는 성분 중 1종 이상은 사용-지점에 전달되기 전에, 예를 들어 사용-지점에 전달되기 바로 전에 또는 직전에 조합될 수 있다. 성분은, 성분이 압반 상에 혼합된 형태로 첨가되기 5 분 이하 전에, 예를 들어 압반 상에 혼합된 형태로 첨가되기 4 분 이하, 3 분 이하, 2 분 이하, 1 분 이하, 45 초 이하, 30 초 이하, 10 초 이하 전에 조합되는 경우에 "사용-지점에 전달되기 직전에", 또는 사용-지점에서 성분의 전달과 동시에 조합된다 (예를 들어, 성분이 분배기에서 조합됨). 성분이 사용-지점의 5 m 내, 예컨대 사용-지점의 1 m 내 또는 심지어 사용-지점의 10 ㎝ 내 (예를 들어, 사용-지점의 1 ㎝ 내)에서 조합되는 경우에, 성분은 또한 "사용-지점에 전달되기 직전에" 조합된다.The components of the polishing composition can be delivered independently at the point of use (e.g., the component is delivered to the substrate surface and the resulting component is mixed during the polishing process), or one or more of the components Can be combined before delivery, for example, immediately before or immediately before delivery to a use-point. The components may be added in a mixed form on the platen, for example, in less than 4 minutes, less than 3 minutes, less than 2 minutes, less than 1 minute, less than 45 seconds (For example, the components are combined in a dispenser) at the same time as the delivery of the components at the "use-to-point" If the components are combined within 5 m of the use-point, for example within 1 m of the use-point or even within 10 cm of the use-point (for example within 1 cm of the use-point) Use - just before being delivered to the point ".

연마 조성물의 성분 중 2종 이상이 사용-지점에 도달하기 전에 조합될 경우에, 성분은 혼합 장치의 사용 없이 유동 라인에서 조합되어 사용-지점에 전달될 수 있다. 대안적으로, 유동 라인 중 1개 이상은 성분 중 2종 이상의 조합을 용이하게 하기 위한 혼합 장치로 이어질 수 있다. 임의의 적합한 혼합 장치가 사용될 수 있다. 예를 들어, 혼합 장치는 노즐 또는 제트 (예를 들어, 고압 노즐 또는 제트)일 수 있고, 이를 통해 성분 중 2종 이상이 유동한다. 대안적으로, 혼합 장치는, 연마 슬러리의 2종 이상의 성분이 혼합기에 도입되게 하는 1개 이상의 유입구, 및 혼합된 성분이 혼합기를 빠져나가서 직접 또는 장치의 다른 부재를 통해 (예를 들어, 1개 이상의 유동 라인을 통해) 사용-지점에 전달되게 하는 적어도 1개의 유출구를 포함하는 용기-유형 혼합 장치일 수 있다. 또한, 혼합 장치는 1개 초과의 챔버를 포함할 수 있으며, 각 챔버는 적어도 1개의 유입구 및 적어도 1개의 유출구를 갖고, 여기서 2종 이상의 성분이 각 챔버에서 조합된다. 용기-유형 혼합 장치가 사용되는 경우에, 혼합 장치는 바람직하게는 성분의 조합을 더 용이하게 하기 위한 혼합 메카니즘을 포함한다. 혼합 메카니즘은 일반적으로 관련 기술분야에 공지되어 있으며, 교반기, 블렌더, 진탕기(agitator), 패들드 배플(paddled baffle), 가스 스파저 시스템, 진동기 등을 포함한다.When two or more of the components of the polishing composition are combined before reaching the use-point, the components can be delivered to the use-point in a combined flow line without the use of a mixing device. Alternatively, one or more of the flow lines may lead to a mixing device to facilitate the combination of two or more of the components. Any suitable mixing device may be used. For example, the mixing device may be a nozzle or jet (e.g., high pressure nozzle or jet) through which at least two of the components flow. Alternatively, the mixing apparatus may comprise at least one inlet through which two or more components of the polishing slurry are introduced into the mixer, and at least one inlet through which the mixed components exit the mixer, either directly or through another member of the apparatus Type mixing device that includes at least one outlet through which it is delivered to the use-point (e.g., via the flow line). The mixing device may also comprise more than one chamber, each chamber having at least one inlet and at least one outlet, wherein two or more components are combined in each chamber. When a container-type mixing apparatus is used, the mixing apparatus preferably includes a mixing mechanism for facilitating the combination of components. Mixing mechanisms are generally known in the relevant art and include stirrers, blenders, agitators, paddled baffles, gas sparger systems, vibrators, and the like.

연마 조성물은 또한 사용 전에 적절한 양의 물로 희석되도록 의도된 농축물로서 제공될 수 있다. 이러한 한 실시양태에서, 연마 조성물 농축물은 적절한 양의 물로의 농축물의 희석시, 연마 조성물의 각 성분이 연마 조성물 중에 각 성분에 대해 상기 언급된 적절한 범위 내의 양으로 존재할 정도의 양의 연마 조성물의 성분을 포함한다. 예를 들어, 세리아 연마제, 알콜 아민, 임의적인 비이온성 계면활성제, 임의적인 증점제, 임의적인 양이온성 중합체, 및/또는 임의의 임의적인 첨가제는 각각 농축물 중에 각 성분에 대해 상기 언급된 농도보다 2배 (예를 들어, 3배, 4배, 또는 5배) 많은 양으로 존재할 수 있어서, 농축물이 동등 부피의 물 (예를 들어, 각각 2배 동등 부피의 물, 3배 동등 부피의 물, 또는 4배 동등 부피의 물)로 희석될 경우, 각 성분이 연마 조성물 중에 각 성분에 대해 상기 제시된 범위 내의 양으로 존재하게 될 것이다. 추가로, 통상의 기술자에 의해 이해될 바와 같이, 농축물은 세리아 연마제, 알콜 아민, 임의적인 비이온성 계면활성제, 임의적인 증점제, 임의적인 양이온성 중합체 및/또는 임의의 임의적인 첨가제가 농축물 중에 적어도 부분적으로 또는 완전히 용해되는 것을 보장하기 위해 최종 연마 조성물 중에 존재하는 적절한 분획의 물을 함유할 수 있다.The polishing composition may also be provided as a concentrate intended to be diluted with an appropriate amount of water prior to use. In one such embodiment, the abrasive composition concentrate may be prepared by diluting the concentrate with a suitable amount of water, in an amount such that each component of the abrasive composition is present in the abrasive composition in an amount within the appropriate range mentioned above for each component &Lt; / RTI &gt; For example, ceria abrasive, alcohol amine, optional non-ionic surfactant, optional thickener, optional cationic polymer, and / or any optional additives may be present in the concentrate at a concentration of 2 (E.g., three times, four times, or five times), so that the concentrate can be present in equal volume of water (e. G., Two times each equivalent volume of water, three times equivalent volume of water, Or four times the equivalent volume of water), each component will be present in the polishing composition in an amount within the above-indicated ranges for each component. Additionally, as will be appreciated by one of ordinary skill in the art, the concentrate may be a mixture of ceria abrasive, alcohol amine, optional non-ionic surfactant, optional thickener, optional cationic polymer and / Water of the appropriate fraction present in the final polishing composition to ensure that it is at least partially or completely dissolved.

본 발명의 실시양태는 또한 기판을 본원에 기재된 한 실시양태의 연마 조성물로 연마하는 방법을 제공한다. 기판의 연마 방법은 (i) 기판을 제공하고, (ii) 연마 패드를 제공하고, (iii) 본 발명의 한 실시양태에 따른 연마 조성물을 제공하고, (iv) 기판을 연마 패드 및 연마 조성물과 접촉시키고, (v) 연마 패드 및 연마 조성물을 기판에 대해 이동시켜 기판의 적어도 일부를 마모시켜서 기판을 연마하는 것을 포함한다.Embodiments of the present invention also provide a method of polishing a substrate with an abrasive composition of one embodiment described herein. The method of polishing a substrate includes the steps of (i) providing a substrate, (ii) providing a polishing pad, (iii) providing a polishing composition according to one embodiment of the present invention, (iv) (V) abrading the substrate by moving the polishing pad and the polishing composition against the substrate to wear at least a portion of the substrate.

특히, 방법의 일부 실시양태는 기판을 연마 패드, 및 (a) 30 ㎚ 이하의 평균 입자 크기를 갖는 습식-공정 세리아 연마 입자, (b) 1종 이상의 알콜 아민, 및 (c) 물을 포함하는 연마 조성물과 접촉시키는 것을 포함한다. 상기 연마 조성물은 6 이상의 pH를 갖는다. 상기 방법은 연마 패드 및 연마 조성물을 기판에 대해 이동시켜 기판의 적어도 일부를 마모시켜서 기판을 연마하는 것을 추가로 포함한다.In particular, some embodiments of the method comprise contacting the substrate with a polishing pad comprising (a) wet-process ceria abrasive particles having an average particle size of 30 nm or less, (b) at least one alcohol amine, and (c) With a polishing composition. The polishing composition has a pH of at least 6. The method further comprises moving the polishing pad and the polishing composition relative to the substrate to abrade at least a portion of the substrate to polish the substrate.

접촉 단계에서, 연마 조성물은 통상의 기술자에 의해 인식될 바와 같은 적합한 양으로 제공된다.In the contacting step, the polishing composition is provided in an appropriate amount as would be appreciated by the ordinary skilled artisan.

마모 단계는, 예를 들어, 기판의 원하는 연마를 달성하는데 적합한 양의 시간 동안 수행된다.The abrasion step is carried out for a suitable amount of time, for example, to achieve the desired abrasion of the substrate.

연마 조성물은 임의의 적합한 기판을 연마하는데 사용될 수 있으며, 저유전 물질로 구성된 적어도 1개의 층 (전형적으로 표면 층)을 포함하는 기판을 연마하기에 특히 유용하다. 적합한 기판은 반도체 산업에서 사용되는 웨이퍼를 포함한다. 웨이퍼는 전형적으로, 예를 들어, 금속, 금속 산화물, 금속 질화물, 금속 복합재, 금속 합금, 저유전 물질, 또는 그의 조합을 포함하거나 그로 이루어진다. 본 발명의 방법은 산화규소, 및/또는 폴리규소를 포함하는 기판을 연마하기에 특히 유용하여, 산화규소 및/또는 폴리규소 중 일부 부분이 기판으로부터 제거되어 기판이 연마되게 된다. 본 발명의 연마 조성물로 연마되는 유전체 층 (예를 들어, 산화규소)은 임의의 적합한 유전 상수, 예컨대 3.5 이하, 예를 들어, 3 이하, 2.5 이하, 2 이하, 1.5 이하, 또는 1 이하의 유전 상수를 가질 수 있다. 대안적으로, 또는 추가로, 유전체 층은 1 이상, 예를 들어 1.5 이상, 2 이상, 2.5 이상, 3 이상, 또는 3.5 이상의 유전 상수를 가질 수 있다. 따라서, 유전체 층은 앞서 말한 종점 중 임의의 2개에 의해 제한된 범위 내의 유전 상수를 가질 수 있다. 예를 들어, 유전체 층은 1 내지 3.5, 예를 들어, 2 내지 3, 2 내지 3.5, 2.5 내지 3, 2.5 내지 3.5의 유전 상수를 가질 수 있다.The polishing composition can be used to polish any suitable substrate and is particularly useful for polishing a substrate comprising at least one layer (typically a surface layer) comprised of a low dielectric material. Suitable substrates include wafers used in the semiconductor industry. The wafers typically comprise or consist of, for example, metals, metal oxides, metal nitrides, metal composites, metal alloys, low dielectric materials, or combinations thereof. The method of the present invention is particularly useful for polishing a substrate comprising silicon oxide and / or polysilicon such that some of the silicon oxide and / or polysilicon is removed from the substrate and the substrate is polished. The dielectric layer (e.g., silicon oxide) polished with the polishing composition of the present invention can have any suitable dielectric constant, such as less than 3.5, such as less than 3, less than 2.5, less than 2, less than 1.5, You can have a constant. Alternatively, or additionally, the dielectric layer may have a dielectric constant of at least 1, such as at least 1.5, at least 2, at least 2.5, at least 3, or at least 3.5. Thus, the dielectric layer may have a dielectric constant within a limited range by any two of the aforementioned endpoints. For example, the dielectric layer may have a dielectric constant of 1 to 3.5, such as 2 to 3, 2 to 3.5, 2.5 to 3, 2.5 to 3.5.

특정 실시양태에서, 기판은 산화규소 및/또는 질화규소와의 조합으로 폴리규소를 포함한다. 폴리규소는 임의의 적합한 폴리규소일 수 있고, 이들 중 다수는 관련 기술분야에 공지되어 있다. 폴리규소는 임의의 적합한 상을 가질 수 있으며, 무정형, 결정질, 또는 그의 조합일 수 있다. 산화규소도 유사하게 임의의 적합한 산화규소일 수 있으며, 이들 중 다수는 관련 기술분야에 공지되어 있다. 산화규소의 적합한 유형은 보로포스포실리케이트 유리 (BPSG), 플라즈마-강화(plasma-enhanced) 테트라에틸 오르토실리케이트 (PETEOS), 테트라에틸 오르토실리케이트 (TEOS), 열 산화물, 비도핑된 실리케이트 유리, 및 고밀도 플라즈마 (HDP) 산화물을 포함하지만, 이에 제한되지는 않는다.In certain embodiments, the substrate comprises polysilicon in combination with silicon oxide and / or silicon nitride. The polysilicon can be any suitable polysilicon, many of which are known in the art. The polysilicon can have any suitable phase and can be amorphous, crystalline, or a combination thereof. Silicon oxide may similarly be any suitable silicon oxide, many of which are known in the art. Suitable types of silicon oxide include borophosphosilicate glass (BPSG), plasma-enhanced tetraethylorthosilicate (PETEOS), tetraethylorthosilicate (TEOS), thermal oxides, undoped silicate glass, and high density Plasma (HDP) oxides, and the like.

연마 조성물은 바람직하게는 산화규소를 포함하는 기판을 본 발명의 방법에 따라 연마할 경우 높은 제거율을 나타낸다. 예를 들어, 고밀도 플라즈마 (HDP) 산화물 및/또는 플라즈마-강화 테트라에틸 오르토 실리케이트 (PETEOS), 스핀-온-글라스 (SOG) 및/또는 테트라에틸 오르토실리케이트 (TEOS)를 포함하는 규소 웨이퍼를 본 발명의 한 실시양태에 따라 연마할 경우, 연마 조성물은 바람직하게는 400 Å/min 이상, 예를 들어, 700 Å/min 이상, 1,000 Å/min 이상, 1,250 Å/min 이상, 1,500 Å/min 이상, 1,750 Å/min 이상, 2,000 Å/min 이상, 2,500 Å/min 이상, 3,000 Å/min 이상, 3,500 Å/min 이상, 4000 Å/min 이상, 4500 Å/min 이상, 또는 5000 Å/min 이상의 산화규소 제거율을 나타낸다.The polishing composition preferably exhibits a high removal rate when polishing a substrate comprising silicon oxide according to the method of the present invention. For example, a silicon wafer comprising a high density plasma (HDP) oxide and / or plasma-enhanced tetraethylorthosilicate (PETEOS), spin-on-glass (SOG) and / or tetraethylorthosilicate (TEOS) The polishing composition preferably has a polishing rate of 400 A / min or more, for example, 700 A / min or more, 1,000 A / min or more, 1,250 A / min or more, 1,500 A / min or more, Min or more, at least 4,500 Å / min, at least 2,000 Å / min, at least 2,500 Å / min, at least 3,000 Å / min, at least 3,500 Å / min, at least 4,000 Å / min, at least 4500 Å / min, Lt; / RTI &gt;

연마 조성물은 바람직하게는 폴리규소 및/또는 질화규소를 포함하는 기판을 본 발명의 방법에 따라 연마할 경우 낮은 제거율을 나타낸다. 예를 들어, 폴리규소를 포함하는 규소 웨이퍼를 본 발명의 한 실시양태에 따라 연마할 경우, 연마 조성물은 바람직하게는 1,000 Å/min 이하, 예를 들어, 750 Å/min 이하, 500 Å/min 이하, 250 Å/min 이하, 100 Å/min 이하, 50 Å/min 이하, 25 Å/min 이하, 10 Å/min 이하, 또는 심지어 5 Å/min 이하의 폴리규소 및/또는 질화규소의 제거율을 나타낸다.The polishing composition preferably exhibits a low removal rate when polishing a substrate comprising polysilicon and / or silicon nitride according to the method of the present invention. For example, when polishing a silicon wafer comprising polysilicon in accordance with one embodiment of the present invention, the polishing composition preferably has a thickness of less than or equal to 1,000 ANGSTROM / min, such as less than or equal to 750 ANGSTROM min Min and less than or equal to 250 A / min, less than or equal to 100 A / min, less than or equal to 50 A / min, less than or equal to 25 A / min, less than or equal to 10 A / min, or even less than or equal to 5 A / min .

예를 들어, 일부 실시양태에서, 본 발명의 연마 조성물 및 방법은 산화규소 트렌치에 의해 분리된 폴리규소 스퀘어를 갖는 용도에서 유용하다. 일부 실시양태에서, 연마 조성물은 스탑-온-폴리(stop-on-poly) (SOP) 용도를 위해, 예컨대 스크래치와 같은 결함에 민감한 비휘발성 메모리 장치의 "NAND 플래시" 연마를 위해 사용될 수 있다. 바람직하게는, 일부 실시양태에서, 본 발명에 따른 연마 조성물 및 방법의 사용은 웨이퍼의 수율을 90% 이상, 예를 들어, 92% 이상, 또는 95% 이상으로 높일 수 있다.For example, in some embodiments, the polishing compositions and methods of the present invention are useful in applications having polysilicon squares separated by silicon oxide trenches. In some embodiments, the polishing composition may be used for "NAND flash" polishing of non-volatile memory devices that are sensitive to defects, such as, for example, scratches, for stop-on-poly (SOP) applications. Preferably, in some embodiments, the use of the polishing composition and method according to the present invention may increase the yield of the wafers to 90% or more, for example, 92% or more, or 95% or more.

연마 조성물은 바람직하게는 기판을 연마할 경우, 적합한 기술에 의해 결정된 바와 같이 낮은 디싱을 나타낸다. 예를 들어, 유전체 층 (예를 들어, 산화물)으로 충전된 트렌치를 포함하는 패턴화 규소 웨이퍼를 본 발명의 한 실시양태로 연마할 경우, 연마 조성물은 바람직하게는 2500 Å 이하, 예를 들어, 2000 Å 이하, 1750 Å 이하, 1500 Å 이하, 1250 Å 이하, 1000 Å 이하, 750 Å 이하, 500 Å 이하, 250 Å 이하, 100 Å 이하, 50 Å 이하, 또는 25 Å 이하의 디싱을 나타낸다.The polishing composition preferably exhibits low dishing as determined by suitable techniques when polishing the substrate. For example, if a patterned silicon wafer comprising trenches filled with a dielectric layer (e.g., oxide) is polished with an embodiment of the present invention, the polishing composition preferably has a thickness of less than or equal to 2500 angstroms, Less than 2000 Å, less than 1750 Å, less than 1500 Å, less than 1250 Å, less than 1000 Å, less than 750 Å, less than 500 Å, less than 250 Å, less than 100 Å, less than 50 Å or less than 25 Å.

연마 조성물은 바람직하게는 기판을 연마할 경우, 적합한 기술에 의해 결정된 바와 같이 적은 입자 결함을 나타낸다. 본 발명의 연마 조성물로 연마된 기판 상의 입자 결함은 임의의 적합한 기술에 의해 결정될 수 있다. 예를 들어, 레이저 광 산란 기술, 예컨대 다크 필드 노말 빔 컴포지트(dark field normal beam composite) (DCN) 및 다크 필드 오블릭 빔 컴포지트(dark field oblique beam composite) (DCO)를 사용하여 연마된 기판 상의 입자 결함을 결정할 수 있다. 입자 결함성을 평가하기 위한 적합한 기기는, 예를 들어, KLA-텐코르(Tencor)로부터 입수가능하다 (예를 들어, 120 ㎚ 역치 또는 160 ㎚ 역치에서 작동하는 서프스캔(SURFSCAN)™ SP1 기기).The polishing composition preferably exhibits less particle defects as determined by suitable techniques when polishing the substrate. Particle defects on the polished substrate with the polishing composition of the present invention can be determined by any suitable technique. For example, using laser light scattering techniques, such as using a dark field normal beam composite (DCN) and a dark field oblique beam composite (DCO) The defect can be determined. Suitable devices for evaluating particle defectiveness are available, for example, from KLA-Tencor (e.g., SURFSCAN ™ SP1 instrument operating at 120 nm threshold or 160 nm threshold) .

연마 조성물로 연마된 기판, 특히 산화규소 및/또는 폴리규소를 포함하는 규소는 바람직하게는 20,000 카운트 이하, 예를 들어, 17,500 카운트 이하, 15,000 카운트 이하, 12,500 카운트 이하, 3,500 카운트 이하, 3,000 카운트 이하, 2,500 카운트 이하, 2,000 카운트 이하, 1,500 카운트 이하, 또는 1,000 카운트 이하의 DCN 값을 갖는다. 바람직하게는 본 발명의 한 실시양태에 따라 연마된 기판은 750 카운트 이하, 예를 들어, 500 카운트, 250 카운트, 125 카운트, 또는 심지어 100 카운트 이하의 DCN 값을 갖는다.Substrates polished with a polishing composition, particularly silicon containing silicon oxide and / or polysilicon, preferably have a dielectric constant of less than or equal to 20,000 counts, such as less than or equal to 17,500 counts, less than or equal to 15,000 counts, less than or equal to 12,500 counts, less than or equal to 3,500 counts, , 2,500 counts or less, 2,000 counts or less, 1,500 counts or less, or 1,000 counts or less. Preferably, the polished substrate according to one embodiment of the present invention has a DCN value of 750 counts or less, for example 500 counts, 250 counts, 125 counts, or even 100 counts or less.

한 실시양태의 연마 조성물로 연마된 기판은 바람직하게는 적합한 기술에 의해 결정된 바와 같이 낮은 총 스크래치 카운트를 나타낸다. 예를 들어, 연마 조성물은 바람직하게는 90 카운트 이하, 예를 들어, 80 카운트 이하, 70 카운트 이하, 60 카운트 이하, 50 카운트 이하, 40 카운트 이하, 30 카운트 이하, 20 카운트 이하, 10 카운트 이하, 5 카운트 이하, 2 카운트 이하, 또는 1.5 카운트 이하의 총 스크래치 카운트를 나타낸다.The substrate polished with the polishing composition of one embodiment preferably exhibits a low total scratch count, as determined by suitable techniques. For example, the polishing composition preferably has a composition of less than or equal to 90 counts, such as up to 80 counts, up to 70 counts, up to 60 counts, up to 50 counts, up to 40 counts, up to 30 counts, up to 20 counts, up to 10 counts, 5 counts or less, 2 counts or less, or 1.5 counts or less.

폴리규소 기판을 한 실시양태의 연마 조성물로 연마할 경우, 기판으로부터의 폴리규소 손실은 폴리규소 기판의 가장자리, 중간, 및 중심으로부터 측정될 수 있다. 기판을 연마할 경우, 적합한 기술에 의해 결정된 바와 같이, 연마 조성물은 바람직하게는 폴리규소 손실의 균일성을 나타낸다. 예를 들어, 폴리규소 기판의 가장자리, 중간, 및 중심으로부터의 폴리규소 손실 값은 바람직하게는 서로 50 Å 내에, 예를 들어, 서로 40 Å 내에, 서로 30 Å 내에, 서로 20 Å 내에, 서로 10 Å 내에, 서로 5 Å 내에, 서로 2.5 Å 내에, 서로 1.0 Å 내에, 또는 서로 0.1 Å 내에 있다.When the polysilicon substrate is polished with the polishing composition of one embodiment, the loss of polysilicon from the substrate can be measured from the edge, middle, and center of the polysilicon substrate. When polishing the substrate, the polishing composition preferably exhibits uniformity of polysilicon loss, as determined by suitable techniques. For example, the polysilicon loss values from the edges, middle, and center of the polysilicon substrate are preferably within 50 angstroms of each other, e.g., within 40 angstroms of each other, within 30 angstroms of each other, within 20 angstroms of each other, Within 5 angstroms, within 2.5 angstroms, within 1.0 angstroms, or within 0.1 angstroms of one another.

연마 조성물은 표면 결점, 결함, 부식, 침식 및 중단 층의 제거를 최소화하면서 동시에 특정 물질에 대해 선택적인 효율적인 연마를 제공하도록 맞춰질 수 있다. 선택성은 연마 조성물의 성분의 상대적인 농도를 변경함으로써 어느 정도 제어될 수 있다. 바람직할 경우, 연마 조성물을 사용하여 5:1 이상, 예를 들어, 10:1 이상, 15:1 이상, 25:1 이상, 50:1 이상, 100:1 이상, 또는 150:1 또는 심지어 그 초과의 이산화규소 대 폴리규소 연마 선택성으로 기판을 연마할 수 있다. 특정 배합물은 훨씬 더 높은 산화규소 대 폴리규소 선택성, 예컨대 20:1 이상, 또는 심지어 30:1 이상을 나타낼 수 있다. 예를 들어, 산화규소에 대한 제거율 (Å/min)은 폴리규소에 대한 제거율에 비해 10배 이상 더 높을 수 있다.The polishing composition can be tailored to provide selective efficient polishing for a particular material while minimizing surface imperfections, defects, corrosion, erosion and removal of the interrupted layer. Selectivity can be controlled to some extent by changing the relative concentrations of the components of the polishing composition. 1: 1, at least 15: 1, at least 25: 1, at least 50: 1, at least 100: 1, or even at least 150: The substrate can be polished with silicon dioxide in excess of polysilicon polishing selectivity. Certain formulations may exhibit much higher silicon oxide to polysilicon selectivity, such as 20: 1 or more, or even 30: 1 or more. For example, the removal rate (A / min) for silicon oxide may be at least 10 times higher than the removal rate for polysilicon.

본 발명에 따라, 기판은 임의의 적합한 기술에 의해 본원에 기재된 연마 조성물로 평탄화 또는 연마될 수 있다. 본 발명의 연마 방법은 CMP 장치와 함께 사용하기에 특히 적합하다. 전형적으로, CMP 장치는, 사용 중일 때 움직이며 궤도, 선형, 또는 원형 운동으로 인한 속도를 갖는 압반, 압반과 접촉하며 운전 중일 때 압반과 함께 이동하는 연마 패드, 및 연마할 기판을 연마 패드의 표면에 대해 접촉 및 이동시킴으로써 보유하는 담체를 포함한다. 본 발명의 연마 조성물의 실시양태는 증가된 압반 속도 (예를 들어, 50 rpm 이상, 예컨대 100 rpm 이상)를 허용한다. 기판의 연마는 기판을 본 발명의 연마 조성물 및 바람직하게는 연마 패드와 접촉하게 배치하고, 이어서 기판의 표면의 적어도 일부, 예를 들어, 본원에 기재된 기판 물질 중 하나 이상을 연마 조성물로 마모시켜서 기판을 연마함으로써 수행된다.According to the present invention, the substrate may be planarized or polished with the polishing composition described herein by any suitable technique. The polishing method of the present invention is particularly suitable for use with a CMP apparatus. Typically, a CMP apparatus includes a platen that moves when in use and has a velocity due to trajectory, linear, or circular motion, a polishing pad that contacts the platen and moves with the platen when in operation, By contacting and moving against the carrier. Embodiments of the polishing composition of the present invention allow increased platen speeds (e.g., greater than 50 rpm, such as greater than 100 rpm). Polishing of the substrate can be accomplished by placing the substrate in contact with the polishing composition of the present invention and preferably with the polishing pad and then abrading at least a portion of the surface of the substrate, e.g., one or more of the substrate materials described herein, with a polishing composition, .

기판은 임의의 적합한 연마 패드 (예를 들어, 연마 표면)를 사용하여 연마 조성물로 연마될 수 있다. 적합한 연마 패드는, 예를 들어, 직조 및 부직 연마 패드를 포함한다. 또한, 적합한 연마 패드는 다양한 밀도, 경도, 두께, 압축성, 압축시의 반발성, 및 압축 탄성률의 임의의 적합한 중합체를 포함할 수 있다. 적합한 중합체는, 예를 들어, 폴리비닐클로라이드, 폴리비닐플루오라이드, 나일론, 플루오로카본, 폴리카르보네이트, 폴리에스테르, 폴리아크릴레이트, 폴리에테르, 폴리에틸렌, 폴리아미드, 폴리우레탄, 폴리스티렌, 폴리프로필렌, 그의 공형성된 생성물, 및 그의 혼합물을 포함한다. 연질 폴리우레탄 연마 패드가 본 발명의 연마 방법과 함께 특히 유용하다. 전형적인 패드는 서프인(SURFIN)™ 000, 서프인™ SSW1, SPM3100 (예를 들어, 에미네스 테크놀로지스(Eminess Technologies)로부터 상업적으로 입수가능함), 폴리텍스(POLITEX)™, 및 후지보 폴리파스(Fujibo POLYPAS)™ 27을 포함하지만, 이에 제한되지는 않는다. 특히 바람직한 연마 패드는 캐보트 마이크로일렉트로닉스(Cabot Microelectronics)로부터 상업적으로 입수가능한 에픽(EPIC)™ D100 패드이다.The substrate may be polished with a polishing composition using any suitable polishing pad (e.g., a polishing surface). Suitable polishing pads include, for example, woven and nonwoven polishing pads. Further, suitable polishing pads may comprise any suitable polymer of varying density, hardness, thickness, compressibility, resilience upon compression, and compressive modulus. Suitable polymers include, for example, polyvinyl chloride, polyvinyl fluoride, nylon, fluorocarbon, polycarbonate, polyester, polyacrylate, polyether, polyethylene, polyamide, polyurethane, polystyrene, polypropylene , A co-formed product thereof, and mixtures thereof. A flexible polyurethane polishing pad is particularly useful with the polishing method of the present invention. Typical pads include, but are not limited to, SURFIN ™ 000, Surfin ™ SSW1, SPM3100 (commercially available, for example, from Eminess Technologies), POLITEX ™, and Fujibo ™ POLYPAS) &lt; RTI ID = 0.0 &gt; 27, &lt; / RTI &gt; A particularly preferred polishing pad is the EPIC D100 pad commercially available from Cabot Microelectronics.

바람직하게는, CMP 장치는 계내 연마 종점 검출 시스템을 추가로 포함하며, 이들 중 다수는 관련 기술분야에 공지되어 있다. 워크피스의 표면으로부터 반사된 광 또는 다른 방사선을 분석함으로써 연마 공정을 검사 및 모니터링하는 기술은 관련 기술분야에 공지되어 있다. 이러한 방법은, 예를 들어, 미국 특허 5,196,353, 미국 특허 5,433,651, 미국 특허 5,609,511, 미국 특허 5,643,046, 미국 특허 5,658,183, 미국 특허 5,730,642, 미국 특허 5,838,447, 미국 특허 5,872,633, 미국 특허 5,893,796, 미국 특허 5,949,927, 및 미국 특허 5,964,643에 기재되어 있다. 바람직하게는, 연마될 워크피스에 대한 연마 공정의 진행의 검사 또는 모니터링은 연마 종점의 결정, 즉 특정 워크피스에 대해 연마 공정을 종결시킬 때의 결정을 가능하게 한다.Preferably, the CMP apparatus further comprises an in-situ polishing endpoint detection system, many of which are known in the art. Techniques for inspecting and monitoring the polishing process by analyzing light or other radiation reflected from the surface of the workpiece are known in the art. Such methods are described, for example, in U.S. Patent 5,196,353, U.S. Patent 5,433,651, U.S. Patent 5,609,511, U.S. Patent 5,643,046, U.S. Patent 5,658,183, U.S. Patent 5,730,642, U.S. Patent 5,838,447, U.S. Patent 5,872,633, U.S. Patent 5,893,796, U.S. Patent 5,949,927, 5,964,643. Preferably, the inspection or monitoring of the progress of the polishing process to the workpiece to be polished enables the determination of the polishing endpoint, that is, the determination when terminating the polishing process for a particular workpiece.

하기 실시예는 본 발명을 추가로 예시하지만, 물론 그의 범주를 어떤 방식으로도 제한하는 것으로서 해석되어서는 안된다.The following examples further illustrate the invention but, of course, should not be construed as limiting its scope in any way.

실시예 1Example 1

본 실시예는 연마 조성물 1A-1I로 연마된 균질한 산화규소 필름 (블랭킷 웨이퍼)으로부터의 산화규소의 제거율에 미치는 pH, DMAMP, 폴리소르베이트, 및 30 ㎚ 이하의 평균 입자 크기의 습식-공정 세리아 연마 입자의 영향을 입증한다. 본 실시예에서 연마 조성물은 6000 ppm 폴리에틸렌 글리콜 (PEG) 8000, 다양한 양의 폴리소르베이트 20 및 DMAMP-80 (표 1A에 제시된 바와 같음), 및 30 ㎚ 이하의 평균 입자 크기의 2.4% 습식 공정 세리아 연마 입자를 포함했다. 추가로, 연마 조성물의 pH 값은 표 1A에 기재되어 있다. 모든 조합은 0.43% 고체에서 시험하였다.This example illustrates the effect of pH, DMAMP, polysorbate, and wet-process ceria (average particle size) of 30 nm or less on the removal rate of silicon oxide from a homogeneous silicon oxide film (blanket wafer) polished with polishing composition 1A- Demonstrating the effect of abrasive particles. In this example, the abrasive composition comprises 6000 ppm polyethylene glycol (PEG) 8000, various amounts of polysorbate 20 and DMAMP-80 (as shown in Table 1A), and 2.4% wet process ceria Abrasive particles. In addition, the pH values of the polishing composition are listed in Table 1A. All combinations were tested in 0.43% solids.

테트라에틸 오르토실리케이트 (TEOS) 및 고밀도 플라즈마 (HDP)의 블랭킷 웨이퍼는 미라(Mirra)™ CMP 장치 (캘리포니아주 산타 클라라 소재, 어플라이드 머티리얼스 인크.(Applied Materials, Inc.)) 상에서 연마 조성물 1A-1I로 연마하였다. 미라™ 공정의 연마 파라미터는 하기 표 1B에 제시되어 있다.Blanket wafers of tetraethylorthosilicate (TEOS) and high density plasma (HDP) were coated on a Mirra CMP device (Applied Materials, Inc., Santa Clara, Calif.) With abrasive composition 1A-1I . The polishing parameters of the Mirama (TM) process are shown in Table 1B below.

연마 이후에, 각 연마 조성물에 대한 HDP 제거율을 Å/min으로 측정하였다. 결과는 도 1에 도시되어 있고, 이것은 주어진 연마 조성물의 pH (X-축), DMAMP 수준 (Y-축), 및 트윈-20 수준 (Z-축)에서 발생된 HDP 제거율을 나타내는 큐브 플롯이다.After polishing, the HDP removal rate for each polishing composition was measured in &lt; RTI ID = 0.0 &gt; A / min. &Lt; / RTI &gt; The results are shown in FIG. 1, which is a cube plot showing the HDP removal rates generated at the pH (X-axis), DMAMP level (Y-axis), and twin -20 level (Z-axis) of a given polishing composition.

표 1A: 연마 조성물 개요Table 1A: Polishing composition summary

Figure pct00001
Figure pct00001

표 1B: 미라™ 공정 파라미터Table 1B: Mirror ™ process parameters

Figure pct00002
Figure pct00002

이들 결과는 더 높은 DMAMP 수준을 갖는 연마 조성물에 대해 더 높은 산화규소 제거율이 얻어졌다는 것을 입증한다. 특히, 연마 조성물 1G는 연마 조성물 1C보다 대략 4배 높은 제거율을 나타냈다. 두 연마 조성물에서의 유일한 차이는 연마 조성물 1G의 DMAMP ppm이 연마 조성물 1C보다 5배 많다는 점이었다.These results demonstrate that higher silicon oxide removal rates were obtained for polishing compositions with higher DMAMP levels. Particularly, the polishing composition 1G exhibited a removal rate that was about four times higher than that of the polishing composition 1C. The only difference in the two polishing compositions was that the DMAMP ppm of the polishing composition 1G was five times greater than the polishing composition 1C.

결과는 또한 더 낮은 pH가 더 높은 제거율을 위해 최선이라는 것을 입증한다. 특히, 연마 조성물 1B는 연마 조성물 1H보다 10배 이상 높은 제거율을 가졌다. 두 연마 조성물에서의 유일한 차이는 연마 조성물 1B가 더 산성이라는 점이었다.The results also demonstrate that lower pH is best for higher removal rates. Particularly, the polishing composition 1B had a removal rate 10 times higher than that of the polishing composition 1H. The only difference in the two polishing compositions was that polishing composition 1B was more acidic.

추가로, 결과는 더 높은 폴리소르베이트 20 수준이 산화규소 제거율을 높인다는 것을 보여준다. 특히, 연마 조성물 1C는 연마 조성물 1H보다 대략 7배 높은 제거율을 나타냈다. 두 연마 조성물에서의 유일한 차이는 폴리소르베이트 20 ppm이 연마 조성물 1C에서 4배 더 많다는 점이었다.Additionally, the results show that higher polysorbate 20 levels increase the silicon oxide removal rate. In particular, the polishing composition 1C exhibited a removal rate that was approximately seven times higher than that of the polishing composition 1H. The only difference in the two polishing compositions was that 20 ppm of polysorbate was four times more abrasive composition 1C.

실시예 2Example 2

본 실시예는 연마 조성물 2A-2E로 연마된 TEOS 블랭킷 웨이퍼로부터의 산화규소의 제거율에 미치는 폴리소르베이트, 트리에탄올아민, 및 30 ㎚ 이하의 평균 입자 크기의 습식 공정 세리아 연마 입자의 영향을 입증한다. 추가로, 본 실시예는 디싱 연마 조성물 2A-2E가 연마 조성물 2A-2E로 연마된 폴리규소 필름 (패턴 웨이퍼) 내에 패턴화된 산화규소 충전된 트렌치 내에 생성됨을 측정하였다. 본 실시예에서 연마 조성물은 6000 ppm 폴리에틸렌 글리콜 (PEG) 8000, 다양한 양의 제거율 촉진제 트리에탄올아민 및 폴리소르베이트 20 (표 2A에 제시된 바와 같음), 및 30 ㎚ 이하의 평균 입자 크기의 2.4% 습식 공정 세리아 연마 입자를 포함하였다. 모든 조합은 0.43% 고체에서 시험하였다.This example demonstrates the effect of polysorbate, triethanolamine, and wet-process ceria abrasive grains having an average particle size of 30 nm or less on the removal rate of silicon oxide from a TEOS blanket wafer polished with Polishing Composition 2A-2E. In addition, this example measures that the dishing abrasive composition 2A-2E is produced in a patterned silicon oxide filled trench in a polished silicon film (patterned wafer) polished with abrasive composition 2A-2E. In this example, the polishing composition comprises 6000 ppm polyethylene glycol (PEG) 8000, various amounts of removal rate promoter triethanolamine and polysorbate 20 (as shown in Table 2A) and a 2.4% wet process with an average particle size of 30 nm or less Ceria abrasive grains. All combinations were tested in 0.43% solids.

TEOS의 블랭킷 웨이퍼는 연마 조성물 2A-2E로 연마하였다. 추가로, 패턴 웨이퍼는 연마 조성물 2A-2E로 연마하였다. 연마는 리플렉션(Reflexion)™ CMP 장치 (캘리포니아주 산타 클라라 소재, 어플라이드 머티리얼스 인크.) 상에서 수행되었다. 리플렉션™ 공정의 연마 파라미터는 하기 표 2B에 제시되어 있다.The blanket wafer of TEOS was polished with Polishing Composition 2A-2E. Further, the patterned wafer was polished with the polishing composition 2A-2E. Polishing was performed on a Reflexion (TM) CMP device (Applied Materials, Inc., Santa Clara, Calif.). The polishing parameters of the Reflection ™ process are shown in Table 2B below.

디싱은 트렌치 내의 산화규소 필름과 주위 폴리규소 필름 사이의 두께의 차이를 비교함으로써 F5 타원편광반사 장치 (캘리포니아주 밀피타스 소재, KLA-텐코르)에 의해 측정되었고, 여기서 더 큰 차이는 더 높은 디싱율에 상응한다.Dishing was measured by an F5 ellipsometer reflector (KLA-Tencor, Milpitas, Calif.) By comparing the difference in thickness between the silicon oxide film in the trench and the surrounding polysilicon film, It corresponds to the singing rate.

연마 이후에, TEOS 제거율을 Å/min으로 측정하였고, 이것의 결과는 도 2에 도시되어 있으며, 이는 주어진 연마 조성물에 대한 폴리소르베이트 20 수준 (X-축), 및 트리에탄올아민 (Y-축) 수준에서 발생된 TEOS 제거율을 나타내는 플롯이다.After polishing, the TEOS removal rate was measured in &lt; RTI ID = 0.0 &gt; A / min &lt; / RTI &gt; and the result is shown in FIG. 2, which shows the polysorbate 20 level (X-axis) and triethanolamine (Y- Which is a plot showing the TEOS removal rate generated at the &lt; RTI ID = 0.0 &gt;

각 연마 조성물에 의해 나타나는 디싱은 Å으로 측정되었고 도 3에 도시되어 있으며, 이것은 각 연마 조성물에 대한 50% 밀도에서의 다양한 길이의 피치 (X-축) 내에서 측정된 디싱 (Y-축)을 나타내는 선 그래프이다.The dishing exhibited by each polishing composition was measured in Angstroms and is shown in Figure 3, which shows the dishing (Y-axis) measured within a pitch of various lengths (X-axis) at 50% density for each polishing composition .

표 2A: 연마 조성물 개요Table 2A: Polishing composition summary

Figure pct00003
Figure pct00003

표 2B: 리플렉션™ 공정 파라미터Table 2B: Reflection ™ process parameters

Figure pct00004
Figure pct00004

이들 결과는 주어진 연마 조성물에 존재하는 폴리소르베이트의 양에 관계없이 더 낮은 트리에탄올아민 수준을 갖는 연마 조성물의 경우 더 높은 TEOS 제거율이 달성된다는 것을 입증한다. 특히, 연마 조성물 2C가 5배 양의 폴리소르베이트 20을 포함했음에도 불구하고, 연마 조성물 2D 및 2C는 비슷한 TEOS 제거율을 가졌다. 또한, 결과는, 연마 조성물 2A 및 2B를 비교할 경우 입증된 바와 같이, 더 높은 트리에탄올아민이 특정 연마 조성물의 경우 더 높은 pH 수준 및 더 낮은 제거율을 초래하는데 기여했으므로, 더 높은 pH가 제거율에 해로운 것임을 입증한다.These results demonstrate that a higher TEOS removal rate is achieved for polishing compositions having lower triethanolamine levels regardless of the amount of polysorbate present in a given polishing composition. In particular, polishing compositions 2 D and 2 C had similar TEOS removal rates, although polishing composition 2 C contained 5 times the amount of polysorbate 20. The results also indicate that higher pH is detrimental to the removal rate, as demonstrated by the comparison of polishing compositions 2A and 2B, that higher triethanolamine contributed to higher pH levels and lower removal rates for certain polishing compositions Prove that.

추가로, 결과는 표 2A의 연마 조성물이 나쁜 디싱 성능을 나타낸다는 것을 입증한다. 더욱 특히, 도 3은 50% 밀도에서의 다양한 피치 크기 (마이크로미터로 측정됨) 내에서 디싱을 도시한다. 심지어 가장 작은 피치 크기에서도, 모든 연마 조성물은 1000 Å 초과의 디싱을 나타냈다. 연마 조성물 2B는 다양한 마이크로미터 피치 크기에서 가장 낮은 디싱을 가졌고, 이는 더 높은 수준의 폴리소르베이트 20이 산화규소 트렌치에서 디싱을 감소시킨다는 것을 시사한다.In addition, the results demonstrate that the polishing composition of Table 2A exhibits poor dishing performance. More particularly, FIG. 3 illustrates dishing within various pitch sizes (measured in micrometers) at 50% density. Even at the smallest pitch size, all polishing compositions exhibited a dishing of greater than 1000 angstroms. Polishing composition 2B had the lowest dishing at various micrometer pitch sizes, suggesting that higher levels of polysorbate 20 reduce dishing in silicon oxide trenches.

실시예 3Example 3

본 실시예는 1) 연마 조성물 3A-3L로 연마된 블랭킷 웨이퍼로부터의 산화규소의 제거율; 2) 연마 조성물 3A-3L로 연마된 균질한 폴리규소 필름 (폴리규소 블랭킷 웨이퍼)으로부터의 폴리규소의 제거율; 및 3) 패턴 웨이퍼 상의 연마 조성물 3A-3L의 디싱에 미치는 다양한 비이온성 계면활성제, 이게팔(Igepal) CO-890, 이게팔 CA-630, 및 30 ㎚ 이하의 평균 입자 크기의 습식-공정 세리아 연마 입자의 영향을 입증한다. 본 실시예에서 연마 조성물은 6000 ppm PEG 8000, 다양한 유형의 비-이온성 계면활성제 (표 3에 제시된 바와 같음), 1000 ppm 이게팔 CO-890, 및 30 ㎚ 이하의 평균 입자 크기의 2.4% 습식 공정 세리아 연마 입자를 포함하였다. 모든 조합은 0.43% 고체에서 시험하였다. (연마 조성물 3K 및 3L에서는 1000 ppm 이게팔 CO-890 대신 이게팔 CA-630 (각각 1000 및 2000 ppm)으로 대체되었다).This example illustrates 1) the removal rate of silicon oxide from the blanket wafer polished with the polishing composition 3A-3L; 2) the removal rate of the polysilicon from the homogeneous polysilicon film (polysilicon blanket wafer) polished with the polishing composition 3A-3L; And 3) various non-ionic surfactants, Igepal CO-890, Igeal CA-630, and wet-process ceria polishing with an average particle size of 30 nm or less on the dishing of the abrasive composition 3A-3L on the patterned wafer Prove the effect of the particles. In this example, the abrasive composition comprises 6000 ppm PEG 8000, various types of non-ionic surfactant (as shown in Table 3), 1000 ppm of cocoa CO-890, and 2.4% of an average particle size of less than 30 nm wet Fair ceria abrasive grains were included. All combinations were tested in 0.43% solids. (1000 ppm in the polishing compositions 3K and 3L were replaced with CA-630 (1000 and 2000 ppm respectively) instead of CO-890).

각 비이온성 계면활성제에 대한 소수성-친유성 밸런스 (HLB)가 또한 표 3에 기재되어 있다.The hydrophobic-lipophilic balance (HLB) for each non-ionic surfactant is also listed in Table 3.

TEOS, HDP, 및 폴리규소의 블랭킷 웨이퍼를 연마 조성물 3A-3L로 연마하였다. 추가로, 패턴 웨이퍼를 연마 조성물 3A-3L로 연마하였다. 연마는 미라™ CMP 장치 (캘리포니아주 산타 클라라 소재, 어플라이드 머티리얼스 인크.) 상에서 수행되었다. 디싱은 F5 타원편광반사 장치 (캘리포니아주 밀피타스 소재, KLA-텐코르)에 의해 측정되었다.TEOS, HDP, and polysilicon blanket wafers were polished with a polishing composition 3A-3L. Further, the patterned wafer was polished with the polishing composition 3A-3L. Polishing was carried out on a Mira &lt; (TM) &gt; CMP device (Applied Materials, Inc., Santa Clara, Calif.). Dishing was measured by an F5 ellipsometer polarizer (KLA-Tencor, Milpitas, Calif.).

연마 이후에 각 연마 조성물에 대한 TEOS, HDP, 및 폴리규소 제거율을 Å/min으로 측정하였다. 결과는 도 4에 도시되어 있고, 이것은 특정 연마 조성물 (X-축)에 대한 3개의 표면 웨이퍼 유형의 제거율 (Y-축)을 나타내는 막대 그래프이다.After polishing, the TEOS, HDP, and polysilicon removal rates for each polishing composition were measured in Å / min. The result is shown in FIG. 4, which is a bar graph showing the removal rate (Y-axis) of the three surface wafer types for a particular polishing composition (X-axis).

각 연마 조성물에 의해 나타나는 디싱은 Å으로 측정되었고 도 5에 도시되어 있으며, 이것은 각 연마 조성물에 대한 다양한 길이의 피치 (X-축) 내에서 측정된 디싱 (Y-축)을 나타내는 선 그래프이다.The dishing exhibited by each polishing composition was measured in Angstroms and is shown in Figure 5, which is a line graph showing the dishing (Y-axis) measured within a pitch of various lengths (X-axis) for each polishing composition.

표 3: 연마 조성물 개요Table 3: Polishing composition summary

Figure pct00005
Figure pct00005

이들 결과는 표 3의 연마 조성물이 폴리규소에 대해 훨씬 더 낮은 제거율을 유지하면서 산화규소에 대해 높은 제거율을 나타낸다는 것을 입증한다. 연마 조성물 3A-3L이 나타내는 선택성은 CMP 조성물에서 이상적이다. 예를 들어, 연마 조성물 3G는 1600 Å/min 초과의 산화규소 제거율을 나타냈으나, 128 Å/min의 비율로 폴리규소를 제거했다. 연마 조성물 3G에 의해 나타나는 선택성의 크기는 표 3의 모든 연마 조성물의 예가 되었다.These results demonstrate that the polishing composition of Table 3 exhibits a high removal rate for silicon oxide while maintaining a much lower removal rate for polysilicon. The selectivity exhibited by polishing compositions 3A-3L is ideal in CMP compositions. For example, the polishing composition 3G exhibited a silicon oxide removal rate exceeding 1600 A / min, but the polysilicon was removed at a rate of 128 A / min. The magnitude of the selectivity exhibited by the polishing composition 3G is an example of all of the polishing compositions in Table 3.

결과는 또한 표 3의 연마 조성물이 나쁜 디싱 성능을 나타낸다는 것을 입증한다. 더욱 특히, 도 5는 50% 밀도에서의 다양한 크기의 마이크로미터 피치 내에서 디싱을 도시한다. 심지어 가장 작은 피치 크기에서도, 모든 연마 조성물은 1900 Å 초과의 디싱을 나타냈다. 연마 조성물 3H 및 3J는 다양한 피치 크기에서 가장 낮은 디싱을 가졌다. 이것은, 연마 조성물 3H 및 3J가 가장 많은 양의 폴리소르베이트 65 및 소르비탄 20을 각각 포함하였고, 둘 다 표 3의 연마 조성물에서 사용된 더 소수성인 계면활성제 중에 있으므로, 증가된 소수성이 디싱 성능을 향상시킨다는 것을 시사한다.The results also demonstrate that the polishing composition of Table 3 exhibits poor dishing performance. More particularly, Figure 5 illustrates dishing within micrometer pitches of various sizes at 50% density. Even at the smallest pitch size, all polishing compositions exhibited a dishing of greater than 1900 A. Polishing compositions 3H and 3J had the lowest dishing at various pitch sizes. This is because the abrasive compositions 3H and 3J contained the highest amounts of polysorbate 65 and sorbitan 20, respectively, and both were in the more hydrophobic surfactants used in the polishing compositions of Table 3, .

실시예 4Example 4

본 실시예는 연마 조성물 4A-4K로 연마된 블랭킷 웨이퍼로부터의 산화규소 제거율, 및 연마 조성물 4A-4K로 연마된 폴리규소 블랭킷 웨이퍼로부터의 폴리규소 제거율에 미치는 다양한 양이온성 중합체, 비이온성 계면활성제, 및 30 ㎚ 이하의 평균 입자 크기의 습식-공정 세리아 연마 입자의 영향을 입증한다. 본 실시예에서 연마 조성물은 6000 ppm PEG 8000, 다양한 유형의 분지형 PEG 및 폴리프로필렌 글리콜 (PPG) 중합체 및 폴리소르베이트 (표 4에 제시된 바와 같음) 및 30 ㎚ 이하의 평균 입자 크기의 2.4% 습식 공정 세리아 연마 입자를 포함하였다. 모든 조합은 0.43% 고체에서 시험하였다. 각 비-이온성 계면활성제에 대한 HLB는 또한 표 4에 기재되어 있다.This example demonstrates the effect of varying the silicon oxide removal rate from the blanket wafers polished with the polishing composition 4A-4K and the various cationic polymers, non-ionic surfactants, and the like that affect the removal rate of the polysilicon blanket from the polishing composition 4A- And an effect of wet-process ceria abrasive grains having an average particle size of 30 nm or less. In this example, the abrasive composition comprises 6000 ppm PEG 8000, various types of branched PEG and polypropylene glycol (PPG) polymer and polysorbate (as shown in Table 4) and 2.4% wet Fair ceria abrasive grains were included. All combinations were tested in 0.43% solids. The HLB for each non-ionic surfactant is also listed in Table 4.

TEOS, HDP, 및 폴리규소의 블랭킷 웨이퍼를 하기 표 4에 제시된 연마 조성물 4A-4K의 세트로 연마하였다. 연마는 미라™ CMP 장치 (캘리포니아주 산타 클라라 소재, 어플라이드 머티리얼스 인크.) 상에서 수행되었다.The blanket wafers of TEOS, HDP, and polysilicon were polished with a set of polishing compositions 4A-4K shown in Table 4 below. Polishing was carried out on a Mira &lt; (TM) &gt; CMP device (Applied Materials, Inc., Santa Clara, Calif.).

연마 이후에, 각 연마 조성물에 대한 TEOS, HDP, 및 폴리규소 제거율을 Å/min으로 측정하였다. 결과는 도 6에 도시되어 있고, 이것은 특정 연마 조성물 (X-축)에 대한 3개의 표면 웨이퍼 유형의 제거율 (Y-축)을 나타내는 막대 그래프이다.After polishing, the TEOS, HDP, and polysilicon removal rates for each polishing composition were measured in A / min. The results are shown in Fig. 6, which is a bar graph showing the removal rates (Y-axis) of the three surface wafer types for a particular polishing composition (X-axis).

표 4: 연마 조성물 개요Table 4: Polishing composition summary

Figure pct00006
Figure pct00006

Figure pct00007
Figure pct00007

이들 결과는 연마 조성물 4A-4I가 일반적으로 낮은 폴리규소 제거율을 유지하면서 동시에 높은 산화규소 제거율을 나타낸다는 것을 입증한다. 더욱 특히, 결과는, 연마 조성물 4A-4I가 포함하는 폴리소르베이트의 양에 관계없이 제거율이 상기 연마 조성물 중에서 일정하므로, 연마 조성물 4A-4I 중의 에톡실화 분자 (예를 들어, PEG)의 존재가 제거율 데이터 데이터의 원인이 된다는 것을 보여준다. 예를 들어, 연마 조성물 4F 및 4H는 상이한 유형 및 양의 폴리소르베이트를 포함함에도 불구하고 거의 동일한 산화규소 제거율 및 낮은 폴리규소 제거율을 나타냈다.These results demonstrate that the polishing composition 4A-4I generally exhibits a high silicon oxide removal rate while maintaining a low silicon removal rate. More particularly, the result is that the presence of ethoxylated molecules (e.g., PEG) in polishing compositions 4A-4I is less likely to occur because the removal rate is constant in the polishing composition regardless of the amount of polysorbate that polishing composition 4A- Removal rate data. &Lt; / RTI &gt; For example, polishing compositions 4F and 4H exhibited approximately the same silicon oxide removal rate and lower polysilicon removal rate, despite containing different types and amounts of polysorbate.

연마 조성물 4J 및 4K는 극히 낮고, 따라서 덜 이상적인 산화규소 제거율, 뿐만 아니라 매우 낮은 폴리규소 제거율을 나타냈다. 연마 조성물 4J 및 4K는 동일한 양의 제프림 PD-4913, PEG 측쇄를 포함하는 빗살형-중합체를 포함하였고, 이것은 아마 이들 연마 조성물에서의 낮은 산화규소 제거율의 이유가 되었다. Polishing compositions 4J and 4K were extremely low and therefore exhibited a less ideal silicon oxide removal rate, as well as a very low polysilicon removal rate. Polishing Compositions 4J and 4K included a comb-type polymer comprising the same amount of Primip PD-4913, PEG side chain, which was probably the reason for the low silicon oxide removal rate in these polishing compositions.

실시예 5Example 5

본 실시예는 1) 연마 조성물 5A-5D로 연마된 블랭킷 웨이퍼로부터의 산화규소 제거율; 2) 연마 조성물 5A-5D로 연마된 폴리규소 블랭킷 웨이퍼로부터의 폴리규소 제거율; 및 3) 패턴 웨이퍼 상의 연마 조성물 5A-5D의 디싱에 미치는 증점제 및 30 ㎚ 이하의 평균 입자 크기의 습식-공정 세리아 연마 입자의 영향을 입증한다. 본 실시예에서 연마 조성물은 6000 ppm PEG 8000, 히드록시에틸셀룰로스 및 다양한 유형의 폴리소르베이트 (표 5A에 제시된 바와 같음), 및 30 ㎚ 이하의 평균 입자 크기의 2.4% 습식 공정 세리아 연마 입자를 포함하였다. 모든 조합은 0.43% 고체에서 시험하였다.This example illustrates 1) the silicon oxide removal rate from the blanket wafer polished with the polishing composition 5A-5D; 2) Polysilicon removal rate from polysilicon blanket wafer polished with polishing composition 5A-5D; And 3) the effect of the thickener on the dishing of the polishing composition 5A-5D on the patterned wafer and the wet-process ceria abrasive grains having an average particle size of 30 nm or less. In this example, the polishing composition includes 6000 ppm PEG 8000, hydroxyethyl cellulose and various types of polysorbates (as shown in Table 5A), and 2.4% wet process ceria abrasive grains having an average particle size of 30 nm or less Respectively. All combinations were tested in 0.43% solids.

TEOS, HDP, 및 폴리규소의 블랭킷 웨이퍼를 연마 조성물 5A-5D의 세트로 연마하였다. 추가로, 패턴 웨이퍼를 연마 조성물 5A-5D로 연마하였다. 연마는 리플렉션™ CMP 장치 (캘리포니아주 산타 클라라 소재, 어플라이드 머티리얼스 인크.) 상에서 수행되었다. 디싱은 F5 타원편광반사 장치 (캘리포니아주 밀피타스 소재, KLA-텐코르)에 의해 측정되었다.TEOS, HDP, and polysilicon blanket wafers were polished with a set of polishing compositions 5A-5D. Further, the patterned wafer was polished with the polishing composition 5A-5D. Polishing was performed on a Reflection CMP device (Applied Materials, Inc., Santa Clara, Calif.). Dishing was measured by an F5 ellipsometer polarizer (KLA-Tencor, Milpitas, Calif.).

연마 이후에, 각 연마 조성물에 대한 TEOS, HDP, 및 폴리규소 제거율을 Å/min으로 측정하였다. 결과는 하기 표 5B에 제시되어 있고, 이것은 각 연마 조성물에 대한 산화규소 및 폴리규소 제거율을 모두 Å/min으로 기재한 차트이다. 연마 이후에, 각 연마 조성물에 의해 나타난 디싱은 Å으로 측정되었고 표 5B에 기재되어 있다.After polishing, the TEOS, HDP, and polysilicon removal rates for each polishing composition were measured in A / min. The results are shown in Table 5B below, which is a chart listing both the silicon oxide and polysilicon removal rates for each polishing composition in A / min. After polishing, the dishing exhibited by each polishing composition was measured in A and is shown in Table 5B.

표 5A: 연마 조성물 개요Table 5A: Polishing composition summary

Figure pct00008
Figure pct00008

표 5B: 연마 조성물 5A-5D에 대한 연마 성능Table 5B: Polishing performance for polishing compositions 5A-5D

Figure pct00009
Figure pct00009

이들 결과는 연마 조성물 5A-5D 중의 증점제 히드록시에틸셀룰로스의 존재가 특히 연마 조성물 5C 및 5D에서 상당히 더 낮은 디싱률의 발생의 원인이 되었다는 것을 입증한다. 특히, 연마 조성물 5D는 1000 Å/min 초과의 산화규소 제거율 및 매우 낮은 폴리규소 제거율을 유지하면서 100 Å 미만의 디싱을 나타냈다. 이 데이터는 히드록시에틸셀룰로스가 산화규소 및 폴리규소 둘 다에 대해 이상적인 제거율을 유지하면서 실시예 2 및 3에 존재했던 높은 디싱률에 대한 해결책을 제공한다는 것을 보여준다.These results demonstrate that the presence of the thickener hydroxyethylcellulose in the polishing compositions 5A-5D caused a significantly lower occurrence of the dicing rate, especially in the polishing compositions 5C and 5D. In particular, polishing composition 5D exhibited less than 100 Angstroms of dishing while maintaining a silicon oxide removal rate of greater than 1000 Angstroms per minute and a very low polysilicon removal rate. This data shows that hydroxyethylcellulose provides a solution to the high dicing rate that was present in Examples 2 and 3 while maintaining an ideal removal rate for both silicon oxide and polysilicon.

본 실시예의 연마 조성물은 일반적으로 이상적인 제거율 및 더 낮은 디싱률을 나타냈지만, 입자 잔류물은 시험했던 블랭킷 웨이퍼 표면 상에 존재한 채 있었다. 연마를 완료한 후 블랭킷 웨이퍼 상에 남아 있는 잔류물은 스크래치에 대해 웨이퍼 표면을 시험하는 성능을 저해한다.The polishing composition of this example generally exhibited an ideal removal rate and a lower dicing rate, but the particle residues remained on the blanket wafer surface tested. Residues that remain on the blanket wafer after polishing are complete impair the ability to test the wafer surface for scratches.

실시예 6Example 6

본 실시예는 1) 연마 조성물 6A-6D로 연마된 블랭킷 웨이퍼로부터의 산화규소 제거율; 2) 연마 조성물 6A-6D로 연마된 폴리규소 블랭킷 웨이퍼로부터의 폴리규소 제거율; 및 3) 블랭킷 웨이퍼 상의 연마 조성물 6A-6D에 의해 나타난 결함 카운트 (DCN)에 미치는 양이온성 중합체 폴리MADQUAT 및 30 ㎚ 이하의 평균 입자 크기의 습식-공정 세리아 연마 입자의 영향을 입증한다. 이러한 영향을 또한 대조군 연마 조성물과 비교하였다. 본 실시예에서 연마 조성물은 6000 ppm PEG 8000, 660 ppm 폴리소르베이트 85, 트리에탄올아민 (400 또는 600 ppm), 양이온성 중합체 폴리MADQUAT (알코(Alco) 4773, 20 또는 50 ppm), 및 30 ㎚ 이하의 평균 입자 크기의 2.4% 습식 공정 세리아 연마 입자를 포함하였다. 모든 조합은 0.43% 고체에서 시험하였다.This example illustrates 1) the silicon oxide removal rate from the blanket wafer polished with the polishing composition 6A-6D; 2) Polysilicon removal rate from polysilicon blanket wafer polished with polishing composition 6A-6D; And 3) the wettability of the cationic polymer poly MADQUAT on the defect count (DCN) exhibited by the abrasive compositions 6A-6D on the blanket wafer and the wet-process ceria abrasive grains having an average particle size of 30 nm or less. This effect was also compared to the control abrasive composition. In this embodiment, the polishing composition comprises 6000 ppm PEG 8000, 660 ppm polysorbate 85, triethanol amine (400 or 600 ppm), cationic polymer poly MADQUAT (Alco 4773, 20 or 50 ppm) Of 2.4% wet process ceria abrasive grains of average particle size. All combinations were tested in 0.43% solids.

도 7 및 도 8에서 대조군은, 600 ppm 히드록시에틸셀룰로스, 1320 ppm 폴리소르베이트 85, 및 400 ppm 트리에탄올아민을 포함하였다.7 and 8, the control group contained 600 ppm hydroxyethylcellulose, 1320 ppm polysorbate 85, and 400 ppm triethanolamine.

TEOS, HDP, 및 폴리규소의 블랭킷 웨이퍼를 연마 조성물 6A-6D의 세트 및 대조군 연마 조성물로 연마하였다. 연마는 미라™ CMP 장치 (캘리포니아주 산타 클라라 소재, 어플라이드 머티리얼스 인크.) 상에서 수행되었다.The blanket wafers of TEOS, HDP, and polysilicon were polished with a set of polishing compositions 6A-6D and a control polishing composition. Polishing was carried out on a Mira &lt; (TM) &gt; CMP device (Applied Materials, Inc., Santa Clara, Calif.).

연마 이후에, 연마 조성물 6A-6D 및 대조군 연마 조성물에 대한 TEOS, HDP, 및 폴리규소 제거율을 Å/min으로 측정하였다. 결과는 도 7에 도시되어 있고, 이것은 특정 연마 조성물 (X-축)에 대한 3개의 표면 웨이퍼 유형의 제거율 (Y-축)을 나타내는 막대 그래프이다.After polishing, the TEOS, HDP, and polysilicon removal rates for the polishing compositions 6A-6D and the control polishing composition were measured in A / min. The result is shown in FIG. 7, which is a bar graph showing the removal rates (Y-axis) of the three surface wafer types for a particular polishing composition (X-axis).

DCN 결과는 도 8에 도시되어 있고, 이것은 각 연마 조성물 (X-축)에 대한 2개의 상이한 역치 (0.16 및 0.3 마이크로미터)에서 나타난 랜덤 결함 카운트 (Y-축)의 박스 플롯이다. 연마 후 웨이퍼 표면 상의 스크래치를 검출하기 위해 주사 전자 현미경법 (SEM)을 사용하여 결함 카운트를 발생시켰다.The DCN results are shown in FIG. 8, which is a box plot of the random defect count (Y-axis) at two different thresholds (0.16 and 0.3 micrometers) for each polishing composition (X-axis). A defect count was generated using scanning electron microscopy (SEM) to detect scratches on the wafer surface after polishing.

표 6: 연마 조성물 개요Table 6: Polishing composition summary

Figure pct00010
Figure pct00010

이들 결과는 알코-4773을 연마 조성물 6A-6D에 첨가하는 것이 알코-4773을 포함하지 않았던 대조군과 비교했을 때 결함 카운트를 감소시키는 역할을 했다는 것을 입증한다. 특히, 연마 조성물 6A 및 6C는 더 낮은 폴리규소 제거율을 유지하면서 대조군에 비해 더 큰 산화규소 제거율을 나타냈다. 연마 조성물 6A 및 6C는 또한 0.16 마이크로미터 역치에서 측정된 결함에 대해 대조군에 비해 결함 카운트에서 상당한 감소를 나타냈다.These results demonstrate that adding Alco-4773 to polishing compositions 6A-6D served to reduce the defect count when compared to controls that did not contain Alco-4773. In particular, polishing compositions 6A and 6C exhibited a higher silicon oxide removal rate than the control while maintaining a lower polysilicon removal rate. Polishing compositions 6A and 6C also showed a significant reduction in defect counts compared to the control for defects measured at 0.16 micrometer threshold.

50 ppm 알코-4773을 포함하는, 연마 조성물 6B 및 6D는 대조군과 비교했을 때 0.16 및 0.3 마이크로미터 역치 모두에서 매우 낮은 결함 카운트를 나타냈고, 알코-4773의 존재가 시험한 연마 조성물에서 결함 카운트를 감소시켰다는 추가 지지를 제공한다.Polishing compositions 6B and 6D, including 50 ppm Alco-4773, showed very low defect counts at both 0.16 and 0.3 micrometer threshold values as compared to the control and the presence of Alco-4773 significantly reduced the defect count To provide additional support.

실시예 7Example 7

본 실시예는 1) 연마 조성물 7A-7D로 연마된 블랭킷 웨이퍼로부터의 산화규소 제거율; 2) 연마 조성물 7A-7D로 연마된 폴리규소 블랭킷 웨이퍼로부터의 폴리규소 제거율; 3) 연마 조성물 7A-7D로 연마된 SOG 블랭킷 웨이퍼로부터의 스핀-온-글라스 (SOG) 제거율; 및 4) 연마 조성물 7A-7D로 연마된 블랭킷 웨이퍼 상의 연마 조성물 7A-7D에 의해 나타난 DCN 카운트에 미치는 소수성 계면활성제 히드로팔라트 3323 및 30 ㎚ 이하의 평균 입자 크기의 습식-공정 세리아 연마 입자의 영향을 입증한다. 이러한 영향을 또한 대조군 연마 조성물과 비교하였다.This example illustrates 1) the silicon oxide removal rate from the blanket wafer polished with the polishing composition 7A-7D; 2) Polysilicon removal rate from polysilicon blanket wafer polished with polishing composition 7A-7D; 3) the spin-on-glass (SOG) removal rate from the SOG blanket wafer polished with the polishing composition 7A-7D; And 4) the effect of hydrophobic surfactant hydropallate 3323 and wet-process ceria abrasive grains having an average particle size of 30 nm or less on DCN counts indicated by abrasive compositions 7A-7D on blanket wafers polished with abrasive compositions 7A-7D . This effect was also compared to the control abrasive composition.

본 실시예에서 연마 조성물은 6000 ppm PEG 8000, 120 ppm 트리에탄올아민, 소수성 계면활성제 히드로팔라트 3323 (750 또는 1500 ppm), 및 30 ㎚ 이하의 평균 입자 크기의 2.4% 습식 공정 세리아 연마 입자를 포함하였다. 모든 조합은 0.43% 고체에서 시험하였다.In this example, the polishing composition comprised 6000 ppm PEG 8000, 120 ppm triethanolamine, hydrophobic surfactant hydro-palatal 3323 (750 or 1500 ppm), and 2.4% wet-process ceria abrasive grains having an average particle size of 30 nm or less . All combinations were tested in 0.43% solids.

하기 표 7B에서, 대조군은 1000 ppm 이게팔 CO890, 500 ppm 폴리소르베이트 20, 및 120 ppm 트리에탄올아민을 포함하였다.In the following Table 7B, the control group contained 1000 ppm bovine CO890, 500 ppm polysorbate 20, and 120 ppm triethanolamine.

TEOS, SOG, HDP, 및 폴리규소의 블랭킷 웨이퍼를 연마 조성물 7A-7D의 세트 및 대조군으로 연마하였다. 연마는 미라™ CMP 장치 (캘리포니아주 산타 클라라 소재, 어플라이드 머티리얼스 인크.) 상에서 수행되었다.The blanket wafers of TEOS, SOG, HDP, and polysilicon were polished with a set of polishing compositions 7A-7D and a control group. Polishing was carried out on a Mira &lt; (TM) &gt; CMP device (Applied Materials, Inc., Santa Clara, Calif.).

연마 이후에, 연마 조성물 7A-7D 및 대조군 연마 조성물에 대한 TEOS, HDP, SOG 및 폴리규소 제거율을 Å/min으로 측정하였다. 결과는 표 7B에 기재되어 있다.After polishing, the TEOS, HDP, SOG, and polysilicon removal rates for the polishing compositions 7A-7D and the control polishing composition were measured in A / min. The results are shown in Table 7B.

추가로, 연마 조성물 7A-7D의 DCN 카운트를 0.16 마이크로미터 역치에서 대조군과 비교하였다. 연마 후 웨이퍼 표면 상의 스크래치를 검출하기 위해 SEM을 사용하여 결함 카운트를 발생시켰다. 또한 결과는 표 7B에 기재되어 있다.In addition, the DCN counts of polishing compositions 7A-7D were compared to the control at a 0.16 micrometer threshold. A defect count was generated using an SEM to detect scratches on the wafer surface after polishing. The results are also shown in Table 7B.

표 7A: 연마 조성물 개요Table 7A: Polishing composition summary

Figure pct00011
Figure pct00011

표 7B: 연마 조성물 7A-7D에 대한 제거율 및 결함 성능Table 7B: Removal Rate and Defect Performance for Polishing Composition 7A-7D

Figure pct00012
Figure pct00012

이들 결과는 히드로팔라트 3323을 연마 조성물 7A-7D에 첨가하는 것이 히드로팔라트 3323을 포함하지 않았던 대조군과 비교했을 때 결함 카운트를 감소시키는 역할을 했다는 것을 입증한다. 특히, 연마 조성물 7A는 대조군과 비교했을 때 상당히 감소된 결함 카운트를 나타내면서 높은 산화규소 제거율, 낮은 폴리규소 제거율, 및 높은 SOG 제거율을 유지했다.These results demonstrate that the addition of hydro-pallat 3323 to polishing compositions 7A-7D served to reduce the defect count when compared to a control that did not contain Hydro-Palat 3323. [ In particular, polishing composition 7A maintained a high silicon oxide removal rate, a low polysilicon removal rate, and a high SOG removal rate, while showing a significantly reduced defect count as compared to the control.

연마 조성물 7A-7D 중에서 가장 높은 결함 카운트를 가졌던 연마 조성물 7C는, 여전히 대조군보다 대략 10배 적은 결함 카운트를 나타냈고, 히드로팔라트 3233이 결함 카운트를 감소시키는 역할을 했다는 추가 지지를 제공한다.Abrasive composition 7C, which had the highest defect counts in polishing compositions 7A-7D, still had a defect count that was approximately 10 times less than the control and provided additional support that the hydro-palate 3233 served to reduce the defect count.

본원에 인용된, 공개물, 특허 출원, 및 특허를 비롯한 모든 참고문헌은 각 참고문헌이 개별적이고 구체적으로 참조로 포함된 것으로 보여지고 그의 전문이 본원에 언급된 것처럼 동일한 정도로 본원에 참조로 포함된다.All references, including publications, patent applications, and patents, cited herein are hereby incorporated by reference to the same extent as if each reference were individually and specifically indicated to be incorporated by reference as if fully set forth herein .

본 발명을 기술하는 내용에서 (특히 하기 청구범위의 내용에서) 용어 단수형 표현 및 유사한 지시체의 사용은 본원에서 달리 나타내지 않거나 또는 내용에 의해 명백하게 모순되지 않는 한, 단수형 및 복수형 모두를 포함하는 것으로 해석되어야 한다. 달리 지시되지 않는 한, 용어 "포함하는", "갖는", "비롯한", 및 "포함하는"은 개방형 용어 (즉, "포함하지만, 이에 제한되지는 않음"을 의미함)로서 해석되어야 한다. 본원에서 값의 범위의 언급은, 본원에서 달리 나타내지 않는 한, 단지 그 범위 내에 속하는 각각의 개별 값을 개별적으로 지칭하는 단축 방법으로서 역할을 하고자 함이며, 각각의 개별 값은 그것이 본원에 개별적으로 언급된 것처럼 명세서에 포함된다. 본원에 기재된 모든 방법은, 본원에서 달리 나타내지 않거나 또는 내용에 의해 달리 명백하게 모순되지 않는 한 임의의 적합한 순서로 수행될 수 있다. 본원에 제공된 임의의 및 모든 실시예, 또는 예시적인 언어 (예를 들어, "예컨대")의 사용은 단지 본 발명을 보다 명확하게 하고자 함이며, 달리 청구되지 않는 한 본 발명의 범주에 대한 제한을 두지 않는다. 명세서에서의 어떤 언어도 임의의 청구되지 않은 요소를 본 발명의 실시에 본질적인 것으로서 나타내는 것으로서 해석되어서는 안 된다.The use of the term singular representation and similar referents in the context of describing the invention (particularly in the context of the following claims) should be construed to include both singular and plural, unless otherwise indicated herein or otherwise clearly contradicted by context do. Unless otherwise indicated, the terms " including, "" having," " including, " and "comprising" are to be construed as being open-ended terms (i. E. Reference herein to a range of values, unless otherwise indicated herein, is intended to serve as a shrinking method that individually refers to each individual value falling within its scope, and each individual value is referred to individually herein Are included in the specification as if they were. All methods described herein may be performed in any suitable order, so long as they are not otherwise indicated herein or otherwise clearly contradicted by context. Use of any and all embodiments, or exemplary language (e.g., "an example, ") provided herein is for the purpose of clarifying the invention only and is not intended to limit the scope of the invention I do not. No language in the specification should be construed as indicating any non-claimed element as essential to the practice of the invention.

본 발명을 수행하기 위해 본 발명자들에게 공지된 최상의 모드를 비롯하여, 본 발명의 바람직한 실시양태가 본원에 기재되어 있다. 그러한 바람직한 실시양태의 변형은 상기 설명을 읽자마자 통상의 기술자에게 명백해 질 수 있다. 본 발명자들은 통상의 기술자가 이러한 변형을 적절하게 사용할 것으로 예상하며, 본 발명자들은 본 발명이 본원에 구체적으로 기재된 것과 달리 실시되는 것을 의도한다. 따라서, 본 발명은 적용가능한 법에 의해 허용되는 바와 같이 본원에 첨부된 청구범위에서 언급된 보호대상의 모든 개질 및 등가물을 포함한다. 또한, 그의 모든 가능한 변형에서 상기-기재된 요소의 임의의 조합은 본원에서 달리 나타내지 않거나 또는 내용에 의해 달리 명백하게 모순되지 않는 한 본 발명에 의해 포함된다.Preferred embodiments of the invention are described herein, including the best mode known to the inventors for carrying out the invention. Modifications of such preferred embodiments may become apparent to those skilled in the art upon reading the foregoing description. The inventors expect that the skilled artisan will make appropriate use of these variations, and the inventors intend that the invention be practiced otherwise than as specifically described herein. Accordingly, the present invention includes all modifications and equivalents of the subject matter protected by the claims appended hereto as permitted by applicable law. Furthermore, any combination of the above-described elements in all possible variations thereof is encompassed by the invention unless otherwise indicated herein or otherwise clearly contradicted by context.

Claims (26)

(a) 30 ㎚ 이하의 평균 입자 크기를 갖는 습식-공정 세리아 연마 입자;
(b) 1종 이상의 알콜 아민; 및
(c) 물
을 포함하며, 6 이상의 pH를 갖는 화학-기계적 연마 조성물.
(a) wet-process ceria abrasive grains having an average particle size of 30 nm or less;
(b) at least one alcohol amine; And
(c) Water
Wherein the chemical-mechanical polishing composition has a pH of at least 6.
제1항에 있어서, 연마 입자가 12 ㎚ 이하의 평균 일차 입자 크기를 갖는 것인 연마 조성물.The abrasive composition of claim 1, wherein the abrasive particles have an average primary particle size of 12 nm or less. 제1항에 있어서, 연마 입자가 조성물의 0.001 중량% 내지 2 중량%의 양으로 존재하는 것인 연마 조성물.The polishing composition of claim 1, wherein the abrasive particles are present in an amount of from 0.001% to 2% by weight of the composition. 제1항에 있어서, 알콜 아민이 6 내지 10의 pKi를 갖는 것인 연마 조성물.The polishing composition of claim 1, wherein the alcohol amine has a pKi of from 6 to 10. 제1항에 있어서, 알콜 아민이 7 내지 11의 pKa를 갖는 관능기를 갖는 것인 연마 조성물.The polishing composition according to claim 1, wherein the alcohol amine has a functional group having a pKa of 7 to 11. 제1항에 있어서, 알콜 아민이 2-디메틸아미노-2-메틸프로판올, 트리에탄올아민, 디에탄올아민, 에탄올아민, 2-아미노-2-메틸-1,3-프로판디올, 비스-트리스, 트리스 또는 그의 임의의 조합을 포함하는 것인 연마 조성물.The process according to claim 1 wherein the alcohol amine is selected from the group consisting of 2-dimethylamino-2-methylpropanol, triethanolamine, diethanolamine, ethanolamine, 2-amino- &Lt; / RTI &gt; and any combination thereof. 제1항에 있어서, 알콜 아민이 조성물의 0.001 중량% 내지 1 중량%의 양으로 존재하는 것인 연마 조성물.The polishing composition of claim 1, wherein the alcohol amine is present in an amount of from 0.001% to 1% by weight of the composition. 제1항에 있어서, 1종 이상의 비이온성 계면활성제를 추가로 포함하는 연마 조성물.The polishing composition of claim 1, further comprising at least one nonionic surfactant. 제8항에 있어서, 비이온성 계면활성제가 7 내지 18의 소수성 친유성 밸런스를 갖는 것인 연마 조성물.The polishing composition of claim 8, wherein the nonionic surfactant has a hydrophobic lipophilic balance of 7 to 18. 제8항에 있어서, 비이온성 계면활성제가 폴리소르베이트, 소르비탄, 폴리옥시에틸렌 에테르, 에톡실레이트, 아크릴, 폴리에테르 폴리올 또는 그의 임의의 조합을 포함하는 것인 연마 조성물.The polishing composition of claim 8, wherein the nonionic surfactant comprises polysorbate, sorbitan, polyoxyethylene ether, ethoxylate, acrylic, polyether polyol, or any combination thereof. 제8항에 있어서, 비이온성 계면활성제가 폴리소르베이트 20, 폴리소르베이트 60, 폴리소르베이트 65, 폴리소르베이트 80, 소르비탄 모노라우레이트, 폴리옥시에틸렌 (40) 노닐페닐 에테르, 펜타에리트리톨 에톡실레이트, 글리세롤 프로폭실레이트-블록-에톡실레이트, 아크릴 공중합체, 폴리프로필렌 옥시드-기재 트리올 또는 그의 임의의 조합을 포함하는 것인 연마 조성물.The composition of claim 8, wherein the nonionic surfactant is selected from the group consisting of polysorbate 20, polysorbate 60, polysorbate 65, polysorbate 80, sorbitan monolaurate, polyoxyethylene (40) nonylphenyl ether, pentaerythritol Glycerol propoxylate-block-ethoxylate, acrylic copolymer, polypropylene oxide-based triol, or any combination thereof. 제8항에 있어서, 비이온성 계면활성제가 조성물의 0.001 중량% 내지 1 중량%의 양으로 존재하는 것인 연마 조성물.The polishing composition of claim 8, wherein the nonionic surfactant is present in an amount of from 0.001% to 1% by weight of the composition. 제1항에 있어서, 증점제를 추가로 포함하는 연마 조성물.The polishing composition of claim 1, further comprising a thickener. 제13항에 있어서, 증점제가 셀룰로스성 화합물, 덱스트란, 폴리비닐 알콜, 카라기난, 키토산 또는 그의 임의의 조합을 포함하는 것인 연마 조성물.14. The polishing composition of claim 13, wherein the thickener comprises a cellulosic compound, dextran, polyvinyl alcohol, carrageenan, chitosan, or any combination thereof. 제13항에 있어서, 증점제가 히드록시에틸셀룰로스, 카르복시에틸셀룰로스, 히드록시메틸셀룰로스, 메틸셀룰로스, 히드록시프로필셀룰로스 또는 그의 임의의 조합을 포함하는 것인 연마 조성물.14. The polishing composition of claim 13, wherein the thickener comprises hydroxyethyl cellulose, carboxyethyl cellulose, hydroxymethyl cellulose, methyl cellulose, hydroxypropyl cellulose or any combination thereof. 제13항에 있어서, 증점제가 조성물의 0.001 중량% 내지 1 중량%의 양으로 존재하는 것인 연마 조성물.14. The polishing composition of claim 13, wherein the thickener is present in an amount of from 0.001% to 1% by weight of the composition. 제1항에 있어서, 비이온성 계면활성제를 추가로 포함하는 연마 조성물.The polishing composition of claim 1, further comprising a nonionic surfactant. 제1항에 있어서, 양이온성 중합체를 추가로 포함하는 연마 조성물.The polishing composition of claim 1, further comprising a cationic polymer. 제18항에 있어서, 증점제를 추가로 포함하는 연마 조성물.19. The polishing composition of claim 18, further comprising a thickener. 제18항에 있어서, 양이온성 중합체가 폴리(메타크릴옥시에틸트리메틸암모늄) 클로라이드 (폴리MADQUAT), 폴리(디알릴디메틸암모늄) 클로라이드 (폴리DADMAC), 폴리(아크릴아미드), 폴리(알릴아민), 폴리(비닐이미다졸륨), 폴리(비닐피리디늄) 또는 그의 임의의 조합을 포함하는 것인 연마 조성물.19. The method of claim 18 wherein the cationic polymer is selected from the group consisting of poly (methacryloxyethyltrimethylammonium) chloride (poly MADQUAT), poly (diallyldimethylammonium) chloride (poly DADMAC), poly (acrylamide) Poly (vinyl imidazolium), poly (vinyl pyridinium), or any combination thereof. 제18항에 있어서, 양이온성 중합체가 조성물의 0.001 중량% 내지 1 중량%의 양으로 존재하는 것인 연마 조성물.19. The polishing composition of claim 18, wherein the cationic polymer is present in an amount of from 0.001% to 1% by weight of the composition. 제18항에 있어서, 비이온성 계면활성제를 추가로 포함하는 연마 조성물.19. The polishing composition of claim 18, further comprising a nonionic surfactant. (i) 기판을
연마 패드, 및
(a) 30 ㎚ 이하의 평균 입자 크기를 갖는 습식-공정 세리아 연마 입자;
(b) 1종 이상의 알콜 아민; 및
(c) 물
을 포함하며 6 이상의 pH를 갖는 연마 조성물과 접촉시키고;
(ii) 연마 패드 및 연마 조성물을 기판에 대해 이동시켜, 기판의 적어도 일부를 마모시켜서 기판을 연마하는 것
을 포함하는, 기판을 화학-기계적으로 연마하는 방법.
(i)
Polishing pad, and
(a) wet-process ceria abrasive grains having an average particle size of 30 nm or less;
(b) at least one alcohol amine; And
(c) Water
With a polishing composition having a pH of at least 6;
(ii) polishing the substrate by moving the polishing pad and polishing composition relative to the substrate, thereby worn at least a portion of the substrate
&Lt; / RTI &gt; wherein the substrate is chemically-mechanically polished.
제23항에 있어서, 기판이 폴리규소 및 산화규소를 포함하고, 적어도 산화규소가 기판으로부터 제거되어 기판이 연마되는 것인 방법.24. The method of claim 23 wherein the substrate comprises polysilicon and silicon oxide and at least silicon oxide is removed from the substrate to polish the substrate. 제24항에 있어서, 폴리규소에 비해 더 많은 산화규소가 더 높은 비율로 기판으로부터 제거되는 것인 방법.25. The method of claim 24 wherein more silicon oxide is removed from the substrate at a higher rate than the polysilicon. 제25항에 있어서, 산화규소에 대한 기판으로부터의 제거율이 폴리규소에 대한 제거율에 비해 적어도 10배 더 높은 것인 방법.26. The method of claim 25, wherein the removal rate from the substrate to silicon oxide is at least 10 times greater than the removal rate for polysilicon.
KR1020167011917A 2013-10-10 2014-09-30 Wet process ceria compositions for polishing substrates, and methods related thereto KR102283152B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/050,977 US9281210B2 (en) 2013-10-10 2013-10-10 Wet-process ceria compositions for polishing substrates, and methods related thereto
US14/050,977 2013-10-10
PCT/US2014/058230 WO2015053982A1 (en) 2013-10-10 2014-09-30 Wet process ceria compositions for polishing substrates, and methods related thereto

Publications (2)

Publication Number Publication Date
KR20160070093A true KR20160070093A (en) 2016-06-17
KR102283152B1 KR102283152B1 (en) 2021-07-30

Family

ID=52810026

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167011917A KR102283152B1 (en) 2013-10-10 2014-09-30 Wet process ceria compositions for polishing substrates, and methods related thereto

Country Status (7)

Country Link
US (1) US9281210B2 (en)
EP (1) EP3055375B1 (en)
JP (1) JP6466925B2 (en)
KR (1) KR102283152B1 (en)
CN (1) CN105829488B (en)
TW (1) TWI588248B (en)
WO (1) WO2015053982A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220029512A (en) * 2020-08-31 2022-03-08 솔브레인 주식회사 Chemical-mechanical polishing slurry composition and method for manufacturing semiconductor by using the same

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101693278B1 (en) * 2015-09-25 2017-01-05 유비머트리얼즈주식회사 Slurry and substrate polishing method using the same
CN107887317B (en) * 2016-09-30 2020-10-16 上海新昇半导体科技有限公司 Calibration wafer and method for manufacturing the same
DE112017006834T5 (en) * 2017-01-16 2019-09-26 Jgc Catalysts And Chemicals Ltd. polishing composition
US10319601B2 (en) * 2017-03-23 2019-06-11 Applied Materials, Inc. Slurry for polishing of integrated circuit packaging
JP6962247B2 (en) * 2018-03-14 2021-11-05 Jsr株式会社 Semiconductor surface treatment composition and semiconductor surface treatment method
US10584266B2 (en) 2018-03-14 2020-03-10 Cabot Microelectronics Corporation CMP compositions containing polymer complexes and agents for STI applications
CN111378366B (en) * 2018-12-27 2022-11-18 安集微电子(上海)有限公司 Chemical mechanical polishing solution and application thereof
KR102241941B1 (en) * 2018-12-28 2021-04-20 주식회사 케이씨텍 Chemical mechanical polishing slurry composition for polycrystalline silicon polishing and polishing method using the same
US20200270479A1 (en) * 2019-02-26 2020-08-27 Versum Materials Us, Llc Shallow Trench Isolation Chemical And Mechanical Polishing Slurry
CN110041831A (en) * 2019-05-23 2019-07-23 北京利研科技有限公司 A kind of nano-cerium oxide polishing fluid and preparation method thereof
KR20220090534A (en) * 2019-10-22 2022-06-29 씨엠씨 머티리얼즈, 인코포레이티드 Compositions and methods for dielectric CMP

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008032680A1 (en) * 2006-09-11 2008-03-20 Asahi Glass Co., Ltd. Polishing agent for semiconductor integrated circuit device, polishing method, and method for manufacturing semiconductor integrated circuit device
JP2008539581A (en) * 2005-04-28 2008-11-13 テクノ セミケム シーオー., エルティーディー. Chemical mechanical polishing composition with automatic polishing stop function for planarization of high step oxide film
US20130244433A1 (en) * 2012-03-14 2013-09-19 Cabot Microelectronics Corporation Cmp compositions selective for oxide and nitride with high removal rate and low defectivity

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5196353A (en) 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US6614529B1 (en) 1992-12-28 2003-09-02 Applied Materials, Inc. In-situ real-time monitoring technique and apparatus for endpoint detection of thin films during chemical/mechanical polishing planarization
US5658183A (en) 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
JP3270282B2 (en) 1994-02-21 2002-04-02 株式会社東芝 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
JP3313505B2 (en) 1994-04-14 2002-08-12 株式会社日立製作所 Polishing method
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5964643A (en) 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5838447A (en) 1995-07-20 1998-11-17 Ebara Corporation Polishing apparatus including thickness or flatness detector
EP0820092A4 (en) * 1996-02-07 2000-03-29 Hitachi Chemical Co Ltd Cerium oxide abrasive, semiconductor chip, semiconductor device, process for the production of them, and method for the polishing of substrates
US5872633A (en) 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
JP4019453B2 (en) * 1996-07-30 2007-12-12 日産化学工業株式会社 Method for producing crystalline ceric oxide
US5759917A (en) * 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
EP1148538A4 (en) * 1998-12-25 2009-10-21 Hitachi Chemical Co Ltd Cmp abrasive, liquid additive for cmp abrasive and method for polishing substrate
US6238450B1 (en) * 1999-06-16 2001-05-29 Saint-Gobain Industrial Ceramics, Inc. Ceria powder
US6293848B1 (en) * 1999-11-15 2001-09-25 Cabot Microelectronics Corporation Composition and method for planarizing surfaces
US20020197935A1 (en) * 2000-02-14 2002-12-26 Mueller Brian L. Method of polishing a substrate
TWI281493B (en) * 2000-10-06 2007-05-21 Mitsui Mining & Smelting Co Polishing material
US6740589B2 (en) * 2000-11-30 2004-05-25 Showa Denko Kabushiki Kaisha Composition for polishing semiconductor wafer, semiconductor circuit wafer, and method for producing the same
US6786945B2 (en) * 2001-02-20 2004-09-07 Hitachi Chemical Co., Ltd. Polishing compound and method for polishing substrate
US20080141594A1 (en) * 2001-09-28 2008-06-19 Mikio Kishimoto Non-magnetic plate-form particles, method for producing the same, and abrasive, abrasive member and abrasive liquid comprising the same
US6974777B2 (en) 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
EP1544901B1 (en) 2002-09-25 2009-12-16 Seimi Chemical Co., Ltd. Polishing compound composition and polishing method
WO2004053456A2 (en) * 2002-12-09 2004-06-24 Corning Incorporated Method using multi-component colloidal abrasives for cmp processing of semiconductor and optical materials
KR100516886B1 (en) * 2002-12-09 2005-09-23 제일모직주식회사 Slurry Composition for Final Polishing of Silicon Wafer
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
JP4637464B2 (en) * 2003-07-01 2011-02-23 Jsr株式会社 Aqueous dispersion for chemical mechanical polishing
US7381690B1 (en) * 2003-09-25 2008-06-03 Ppt Research Inc Stable aqueous slurry suspensions
US20050189322A1 (en) * 2004-02-27 2005-09-01 Lane Sarah J. Compositions and methods for chemical mechanical polishing silica and silicon nitride
CN100503167C (en) * 2004-05-19 2009-06-24 日产化学工业株式会社 Composition for polishing
US7161247B2 (en) * 2004-07-28 2007-01-09 Cabot Microelectronics Corporation Polishing composition for noble metals
US20070218811A1 (en) * 2004-09-27 2007-09-20 Hitachi Chemical Co., Ltd. Cmp polishing slurry and method of polishing substrate
CN101333418B (en) * 2004-09-28 2011-05-25 日立化成工业株式会社 CMP polishing compound and method for polishing substrate
US7504044B2 (en) * 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
WO2006098141A1 (en) * 2005-03-16 2006-09-21 Asahi Glass Company, Limited Abrasive for semiconductor integrated circuit device, method for polishing semiconductor integrated circuit device and semiconductor integrated circuit device manufacturing method
JP2006278522A (en) * 2005-03-28 2006-10-12 Seimi Chem Co Ltd Polishing agent for semiconductor integrated circuit device, polishing method, and method for manufacturing same
KR101100861B1 (en) * 2005-04-21 2012-01-02 삼성코닝정밀소재 주식회사 Method for fabricating suspension of metal oxide
US20070210278A1 (en) * 2006-03-08 2007-09-13 Lane Sarah J Compositions for chemical mechanical polishing silicon dioxide and silicon nitride
TW200743666A (en) 2006-05-19 2007-12-01 Hitachi Chemical Co Ltd Chemical mechanical polishing slurry, CMP process and electronic device process
EP2066767B1 (en) * 2006-09-05 2015-10-21 Cerion LLC Cerium dioxide nanoparticle-containing fuel additive
KR101050136B1 (en) * 2006-11-20 2011-07-19 주식회사 엘지화학 Method for producing cerium oxide powder using organic solvent and CPM slurry containing the powder
KR101094662B1 (en) * 2008-07-24 2011-12-20 솔브레인 주식회사 Chemical mechanical polishing composition including a stopping agent of poly-silicon polishing
US8247327B2 (en) * 2008-07-30 2012-08-21 Cabot Microelectronics Corporation Methods and compositions for polishing silicon-containing substrates
US8679344B2 (en) * 2008-12-17 2014-03-25 Cerion Technology, Inc. Process for solvent shifting a nanoparticle dispersion
US8506831B2 (en) * 2008-12-23 2013-08-13 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
WO2010139603A1 (en) * 2009-06-05 2010-12-09 Basf Se RASPBERRY-TYPE METAL OXIDE NANOSTRUCTURES COATED WITH CeO2 NANOPARTICLES FOR CHEMICAL MECHANICAL PLANARIZATION (CMP)
WO2011081109A1 (en) * 2009-12-28 2011-07-07 日立化成工業株式会社 Polishing liquid for cmp and polishing method using the same
JP5953762B2 (en) * 2011-01-25 2016-07-20 日立化成株式会社 CMP polishing liquid, manufacturing method thereof, and substrate polishing method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008539581A (en) * 2005-04-28 2008-11-13 テクノ セミケム シーオー., エルティーディー. Chemical mechanical polishing composition with automatic polishing stop function for planarization of high step oxide film
WO2008032680A1 (en) * 2006-09-11 2008-03-20 Asahi Glass Co., Ltd. Polishing agent for semiconductor integrated circuit device, polishing method, and method for manufacturing semiconductor integrated circuit device
US20130244433A1 (en) * 2012-03-14 2013-09-19 Cabot Microelectronics Corporation Cmp compositions selective for oxide and nitride with high removal rate and low defectivity
WO2013138558A1 (en) * 2012-03-14 2013-09-19 Cabot Microelectronics Corporation Cmp compositions selective for oxide and nitride with high removal rate and low defectivity

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220029512A (en) * 2020-08-31 2022-03-08 솔브레인 주식회사 Chemical-mechanical polishing slurry composition and method for manufacturing semiconductor by using the same

Also Published As

Publication number Publication date
WO2015053982A1 (en) 2015-04-16
US20150104939A1 (en) 2015-04-16
JP6466925B2 (en) 2019-02-06
US9281210B2 (en) 2016-03-08
JP2016538357A (en) 2016-12-08
TW201514288A (en) 2015-04-16
EP3055375A4 (en) 2017-06-21
TWI588248B (en) 2017-06-21
EP3055375A1 (en) 2016-08-17
CN105829488A (en) 2016-08-03
CN105829488B (en) 2018-02-16
KR102283152B1 (en) 2021-07-30
EP3055375B1 (en) 2021-09-08

Similar Documents

Publication Publication Date Title
KR102283152B1 (en) Wet process ceria compositions for polishing substrates, and methods related thereto
JP6082097B2 (en) High removal rate and low defect CMP compositions selective to oxides and nitrides
KR102239037B1 (en) Cmp compositions selective for oxide and nitride with high removal rate and low defectivity
JP7404255B2 (en) CMP composition for STI applications
KR102283156B1 (en) Wet-process ceria compositions for selectively polishing substrates, and methods related thereto
KR102556208B1 (en) Cmp compositons exhibiting reduced dishing in sti wafer polishing
KR102650526B1 (en) Selective Nitride Slurry with Improved Stability and Improved Polishing Characteristics
KR102444552B1 (en) Cmp compositions selective for oxide over polysilicon and nitride with high removal rate and low defectivity
TW202122519A (en) Polishing composition and method with high selectivity for silicon nitride and polysilicon over silicon oxide

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right