KR101693278B1 - Slurry and substrate polishing method using the same - Google Patents

Slurry and substrate polishing method using the same Download PDF

Info

Publication number
KR101693278B1
KR101693278B1 KR1020150136756A KR20150136756A KR101693278B1 KR 101693278 B1 KR101693278 B1 KR 101693278B1 KR 1020150136756 A KR1020150136756 A KR 1020150136756A KR 20150136756 A KR20150136756 A KR 20150136756A KR 101693278 B1 KR101693278 B1 KR 101693278B1
Authority
KR
South Korea
Prior art keywords
polishing
slurry
oxide
inhibitor
abrasive
Prior art date
Application number
KR1020150136756A
Other languages
Korean (ko)
Inventor
박진형
Original Assignee
유비머트리얼즈주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 유비머트리얼즈주식회사 filed Critical 유비머트리얼즈주식회사
Priority to KR1020150136756A priority Critical patent/KR101693278B1/en
Priority to TW105129660A priority patent/TWI657129B/en
Priority to JP2016181253A priority patent/JP6402153B2/en
Priority to CN201610847221.1A priority patent/CN107011805B/en
Application granted granted Critical
Publication of KR101693278B1 publication Critical patent/KR101693278B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02024Mirror polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Abstract

The present invention relates to slurry and a substrate polishing method using the same, and more specifically, to slurry and a substrate polishing method using the same, which can be used in a semiconductor manufacturing process to planarize oxidized materials by means of a chemical mechanical polishing process. Slurry according to an embodiment of the present invention is slurry for polishing an oxidized material, wherein the slurry comprises: first slurry containing a polishing agent which performs polishing and a first polishing inhibitor which inhibits polishing of a first material which is different from the oxidized material; and second slurry containing a polishing promoter. The first slurry may contain a dispersion agent which disperses the polishing agent and may further contain a dispersion stabilizer which maintains a uniform distribution of the polishing agent. Also, the second slurry may contain a second polishing inhibitor which inhibits polishing of a second material which is different from the oxidized material.

Description

슬러리 및 이를 이용한 기판 연마 방법{SLURRY AND SUBSTRATE POLISHING METHOD USING THE SAME}TECHNICAL FIELD [0001] The present invention relates to a slurry,

본 발명은 슬러리 및 이를 이용한 기판 연마 방법에 관한 것으로서, 보다 상세하게는 반도체 제조 공정에서 화학적 기계적 연마 공정으로 산화물을 효율적으로 연마할 수 있는 슬러리 및 이를 이용한 기판 연마 방법에 관한 것이다.The present invention relates to a slurry and a method for polishing a substrate using the slurry, and more particularly, to a slurry capable of efficiently polishing an oxide by a chemical mechanical polishing process in a semiconductor manufacturing process and a method of polishing a substrate using the slurry.

반도체 소자의 크기가 점점 축소되고 금속 배선의 층수가 점점 증가됨에 따라 각 층에서의 표면 불규칙성은 다음 층으로 전사되어 최하층 표면의 굴곡도가 중요해지고 있다. 이러한 굴곡은 다음 단계에서 포토리소그래피(photolithography) 공정을 실시하기 어려울 정도로 심각한 영향을 미칠 수 있다. 따라서, 반도체 소자의 수율을 향상시키기 위해서, 여러 공정 단계에서 발생하는 불규칙한 표면의 굴곡을 제거하는 평탄화 공정이 필수적으로 이용되고 있다. 평탄화 방법으로는 박막을 형성한 후 리플로우(reflow)시키는 방법, 박막을 형성한 후 에치백(etch back)하는 방법, 화학적 기계적 연마(Chemical Mechanical Polishing: CMP) 방법 등 여러 가지가 있다.As the size of the semiconductor device is gradually reduced and the number of layers of the metal wiring is gradually increased, the surface irregularity in each layer is transferred to the next layer, and the degree of bending of the lowermost layer surface becomes important. Such bending can be so severe that it is difficult to carry out the photolithography process in the next step. Therefore, in order to improve the yield of the semiconductor device, a planarizing process for eliminating the irregular surface bending occurring in various process steps is essentially used. Examples of the planarization method include a method of reflowing after forming a thin film, a method of etch back after forming a thin film, and a method of chemical mechanical polishing (CMP).

화학적 기계적 연마 공정은 반도체 웨이퍼 표면을 연마 패드에 접촉하여 회전 운동을 실시하면서, 연마제와 각종 화합물들이 함유된 슬러리를 제공하여 평탄하게 연마하는 공정을 말한다. 즉, 기판이나 그 상부의 층의 표면이 슬러리 및 연마 패드에 의해 화학적 및 기계적으로 연마되어 평탄화되는 것을 말한다.The chemical mechanical polishing process refers to a process of polishing a flat surface by providing a slurry containing an abrasive and various compounds while rotating the surface of the semiconductor wafer by contacting with the polishing pad. That is, the surface of the substrate or its upper layer is chemically and mechanically polished by the slurry and the polishing pad to be planarized.

예를 들면, 기존의 플래시 메모리 소자의 제조 과정에서 소자 분리막을 형성하기 위하여, 폴리 실리콘막을 연마 정지막으로 하여 실리콘 산화막을 화학적 기계적 연마하는 공정이 사용된다. 즉, 기판 상부에 게이트 절연막 및 폴리 실리콘 막을 형성한 후 폴리 실리콘막 상에 질화막을 하드 마스크로 이용하여 기판을 소정 깊이로 식각하여 트렌치를 형성한다. 이후, 트렌치가 매립되도록 실리콘 산화막을 형성한 후, 폴리 실리콘 막이 노출될 때까지 실리콘 산화막을 연마하여 소자 분리막을 형성한다.For example, a process of chemically and mechanically polishing a silicon oxide film using a polysilicon film as a polishing stop film is used in order to form a device isolation film in the process of manufacturing a conventional flash memory device. That is, after a gate insulating film and a polysilicon film are formed on a substrate, a nitride film is used as a hard mask on the polysilicon film to etch the substrate to a predetermined depth to form a trench. Thereafter, a silicon oxide film is formed so that the trench is buried, and then the silicon oxide film is polished until the polysilicon film is exposed to form an element isolation film.

따라서, 이러한 복수의 이종 물질층에 트렌치를 형성하고, 그 내부에 실리콘 산화막을 형성하기 위하여는, 산화물에 대한 높은 연마율을 가질 뿐만 아니라, 질화막 및 폴리 실리콘막의 연마를 동시에 억제하는 최적의 연마 선택비를 가지는 슬러리가 요구된다. 그러나, 현재까지 산화물에 대한 연마 선택비를 향상시키기 위한 다양한 연구만이 이루어지고 있을 뿐, 산화물의 연마율을 보다 낮은 비율로 감소시켜 질화막 및 폴리 실리콘막에 대하여 최적의 연마 선택비를 조절하기 위한 산화물 연마용 슬러리는 개발되지 못하고 있다.Therefore, in order to form the trenches in the plurality of different kinds of material layers and to form the silicon oxide film in the trenches, it is necessary not only to have a high polishing rate for the oxide but also to select the optimum polishing Slurry is required. However, to date, only a variety of studies have been conducted to improve the polishing selectivity for oxides. In order to reduce the polishing rate of oxides to a lower ratio and to control the optimum polishing selectivity for the nitride and polysilicon films A slurry for oxide polishing has not been developed.

한편, 한국공개특허공보 제10-2009-0003985호에는 실리콘 질화막의 연마를 억제하여 산화물에 대한 연마 선택비를 향상시키는 실리콘 질화물 연마용 슬러리가 제시되어 있으나, 이 경우에도 질화물에 대한 산화물의 연마 선택비만을 향상시킬 수 있을 뿐, 상기한 바와 동일한 문제점은 여전히 존재한다.Meanwhile, Korean Patent Laid-Open Publication No. 10-2009-0003985 discloses a slurry for silicon nitride polishing that suppresses polishing of a silicon nitride film to improve a polishing selectivity to an oxide. In this case, however, polishing of an oxide to a nitride Obesity can be improved, but the same problems as described above still exist.

KRKR 10-2009-000398510-2009-0003985 AA

본 발명은 산화물 연마용 슬러리 및 이를 이용한 기판 연마 방법을 제공한다.The present invention provides an oxide polishing slurry and a substrate polishing method using the same.

본 발명은 산화물과 산화물 이외의 물질의 연마율을 조절하여 연마 선택비를 최적 범위로 유지할 수 있는 슬러리 및 기판 연마 방법을 제공한다.The present invention provides a slurry and a substrate polishing method capable of adjusting the polishing rate of materials other than oxides and oxides to maintain the polishing selectivity in the optimum range.

본 발명의 실시 예에 따른 슬러리는, 산화물 연마용 슬러리로서, 연마를 수행하는 연마제, 상기 연마제를 분산시키는 분산제 및 상기 산화물과 상이한 제1 물질의 연마를 억제하는 제1 연마 억제제를 포함하는 제1 슬러리; 및 상기 산화물의 연마를 촉진하는 연마 촉진제를 포함하는 제2 슬러리를 포함한다.A slurry according to an embodiment of the present invention is a slurry for oxide polishing comprising a first polishing slurry containing an abrasive for polishing, a dispersant for dispersing the abrasive, and a first polishing inhibitor for inhibiting polishing of the first substance different from the oxide Slurry; And a second slurry including a polishing accelerator that promotes polishing of the oxide.

상기 제2 슬러리는 상기 산화물 및 상기 제1 물질과 상이한 제2 물질의 연마를 억제하는 제2 연마 억제제를 포함할 수 있다.The second slurry may include a second polishing inhibitor that inhibits polishing of the oxide and a second material different from the first material.

상기 제1 슬러리 및 제2 슬러리는 1:0.5 내지 1:1.5의 비율로 혼합될 수 있다.The first slurry and the second slurry may be mixed at a ratio of 1: 0.5 to 1: 1.5.

상기 연마제는 산화 세륨(세리아) 입자를 포함하고, 상기 제1 슬러리 전체 중량에 대하여 0.1 중량% 내지 10 중량%로 포함될 수 있다.The abrasive includes cerium oxide (ceria) particles and may be contained in an amount of 0.1% by weight to 10% by weight based on the total weight of the first slurry.

상기 산화물 대 상기 제1 물질의 연마 선택비는 100:1 내지 300:1의 범위이고, 상기 산화물 대 상기 제2 물질의 연마 선택비는 20:1 내지 60:1의 범위일 수 있다.The abrasive selectivity ratio of the oxide to the first material ranges from 100: 1 to 300: 1, and the abrasive selectivity ratio of the oxide to the second material ranges from 20: 1 to 60: 1.

상기 제1 연마 억제제의 함유량은 상기 연마 촉진제의 함유량보다 적을 수 있다.The content of the first polishing inhibitor may be lower than the content of the polishing accelerator.

상기 제1 연마 억제제의 함유량은 상기 제2 연마 억제제의 함유량보다 적을 수 있다.The content of the first polishing inhibitor may be less than the content of the second polishing inhibitor.

상기 제1 연마 억제제는 상기 제1 슬러리 전체 중량에 대하여 0.002 중량% 내지 0.02 중량%로 포함될 수 있다.The first polishing inhibitor may be contained in an amount of 0.002 wt% to 0.02 wt% based on the total weight of the first slurry.

상기 연마 촉진제는 상기 제2 슬러리 전체 중량에 대하여 0.1 중량% 내지 1.35 중량%로 포함될 수 있다.The polishing accelerator may be included in an amount of 0.1 wt% to 1.35 wt% based on the total weight of the second slurry.

상기 제2 연마 억제제는 상기 제2 슬러리 전체 중량에 대하여 0.15 중량% 내지 1 중량%로 포함될 수 있다.The second polishing inhibitor may be included in an amount of 0.15 wt% to 1 wt% based on the total weight of the second slurry.

상기 제1 연마 억제제는 소수성(hydrophobic) 기와 친수성(hydrophilic) 기를 함께 가지는 비이온계 물질을 포함할 수 있다.The first polishing inhibitor may include a nonionic material having both a hydrophobic group and a hydrophilic group.

상기 제1 연마 억제제는 폴리프로필렌글리콜-폴리에틸렌글리콜-폴리프로필렌글리콜 공중합체(PEP: polypropyleneglycol-b-polyethyleneglycol-b-polypropyleneglycol), 폴리솔베이트(polysorbates), 옥토시놀(octoxynol), 폴리에틸렌글리콜(polyethyleneglycol) 옥타데실에테르(octadecyl ether), 노닐페놀에톡실레이트(nonylphenol ethoxylate), 에틸렌옥사이드(ethylene oxide), 글리콜산(glycolic acid), 글리세롤에톡실레이트(glycerol ethoxylate) 중 적어도 하나를 포함할 수 있다.The first polishing inhibitor may be at least one selected from the group consisting of polypropylene glycol-polyethylene glycol-polypropylene glycol (PEP), polysorbates, octoxynol, polyethylene glycol And may include at least one of octadecyl ether, nonylphenol ethoxylate, ethylene oxide, glycolic acid, and glycerol ethoxylate.

상기 연마 촉진제는 하이드록실기와 아민기를 가지고 있는 알카놀아민(Alkanolamine) 계열의 단분자 물질을 포함할 수 있다.The abrasive accelerator may include an alkanolamine-based monomolecular material having a hydroxyl group and an amine group.

상기 연마 촉진제는 아미노메틸 프로판올(AMP: Aminomethyl propanol), 에탄올아민(Ethanolamine), 헵타미놀(Heptaminol), 이소에타린(Isoetharine), 메탄올아민(Methanolamine),다이에틸에탄올아민(Diethylethanolamine) 및 메킬에탄올아민(N-methylethanolamine) 중 적어도 하나를 포함할 수 있다.The polishing accelerator may be selected from the group consisting of aminomethyl propanol (AMP), ethanolamine, heptaminol, isoetharine, methanolamine, diethylethanolamine, Amine and N-methylethanolamine.

상기 제2 연마 억제제는 카르복실기를 가지는 음이온계 물질을 포함할 수 있다.The second polishing inhibitor may include an anionic material having a carboxyl group.

상기 제2 연마 억제제는 폴리아크릴산(PAA: poly(acrylic acid)), 폴리알킬메타크릴레이트(poly(alkyl methacrylate)), 아크릴아미드(acrylamide), 메타크릴아미드(methacrylamide) 및 에틸-메타크릴아미드(ethyl-methacrylamide) 중 적어도 하나를 포함할 수 있다.The second polishing inhibitor may be selected from the group consisting of polyacrylic acid (PAA), poly (alkyl methacrylate), acrylamide, methacrylamide, and ethyl-methacrylamide ethyl-methacrylamide).

본 발명의 실시 예에 따른 기판 연마 방법은, 산화물층 및 산화물 이외의 복수의 이종 물질로 형성되는 이종 물질층이 형성된 기판을 마련하는 과정; 연마제와, 상기 연마제를 분산시키는 분산제 및 상기 복수의 이종 물질 중 제1 물질의 연마를 억제하는 제1 연마 억제제를 포함하는 제1 슬러리를 마련하는 과정; 상기 산화물의 연마를 촉진하는 연마 촉진제 및 상기 복수의 이종 물질 중 제2 물질의 연마를 억제하는 제2 연마 억제제를 포함하는 제2 슬러리를 마련하는 과정; 및 상기 제1 슬러리 및 제2 슬러리를 상기 기판 상에 공급하면서 상기 산화물층을 연마하는 과정을 포함한다.According to an embodiment of the present invention, there is provided a substrate polishing method comprising: preparing a substrate on which an oxide layer and a heterogeneous material layer formed of a plurality of different materials other than oxides are formed; Providing a first slurry comprising an abrasive, a dispersing agent for dispersing the abrasive, and a first polishing inhibitor for inhibiting polishing of the first material among the plurality of different materials; Preparing a second slurry including a polishing accelerator that promotes polishing of the oxide and a second polishing inhibitor that inhibits polishing of the second material among the plurality of the dissimilar materials; And polishing the oxide layer while supplying the first slurry and the second slurry onto the substrate.

상기 기판을 마련하는 과정은, 상기 기판 상에 상기 제1 물질로 형성되는 제1 물질층을 형성하는 과정; 상기 제1 물질층 상에 상기 제2 물질로 형성되는 제2 물질층을 형성하는 과정; 상기 제1 물질층 및 제2 물질층에 트렌치를 형성하는 과정; 및 상기 트렌치를 포함하는 전체면 상에 산화물층을 형성하는 과정을 포함할 수 있다.The step of providing the substrate may include: forming a first material layer formed of the first material on the substrate; Forming a second material layer formed of the second material on the first material layer; Forming a trench in the first material layer and the second material layer; And forming an oxide layer on the entire surface including the trench.

상기 연마 과정은, 상기 산화물층의 연마 속도를 상기 제2 물질의 연마 속도보다 빠르게 하고, 상기 제2 물질의 연마 속도를 상기 제1 물질의 연마 속도보다 빠르게 할 수 있다.The polishing process may increase the polishing rate of the oxide layer to be higher than the polishing rate of the second material and increase the polishing rate of the second material to be higher than the polishing rate of the first material.

상기 연마 과정은, 상기 산화물 대 상기 제1 물질의 연마 선택비를 100:1 내지 300:1의 범위로 유지하고, 상기 산화물 대 상기 제2 물질의 연마 선택비를 20:1 내지 60:1의 범위로 유지할 수 있다.Wherein the polishing step comprises maintaining the polishing selectivity ratio of the oxide to the first material in the range of 100: 1 to 300: 1, and the polishing selectivity ratio of the oxide to the second material in the range of 20: 1 to 60: 1 . ≪ / RTI >

상기 연마 과정은 상기 제1 슬러리와 제2 슬러리를 1:0.5 내지 1:1.5의 비율로 혼합하여 상기 기판 상에 공급할 수 있다.In the polishing process, the first slurry and the second slurry may be mixed on the substrate in a mixing ratio of 1: 0.5 to 1: 1.5.

본 발명의 실시 예에 따르면, 제1 연마 억제제를 포함하는 제1 슬러리와 연마 촉진제를 포함하는 제2 슬러리를 혼합한 슬러리를 이용함으로써 산화물에 대한 높은 연마율을 가질 뿐만 아니라, 산화물 이외의 물질 예컨대 폴리 실리콘 또는 질화물의 연마율을 최적 범위로 조절할 수 있다. 또한, 산화물 이외의 복수의 서로 다른 물질에 대하여 각기 고선택비를 가지도록 조절함과 동시에, 일정 수준의 연마율 차이를 가지도록 서로 다른 각 물질에 대한 연마 선택비를 각각 조절하여 연마 안정성을 향상시킬 수 있다.According to an embodiment of the present invention, not only a high polishing rate for oxides is obtained by using a slurry obtained by mixing a first slurry containing a first polishing inhibitor and a second slurry containing a polishing accelerator, The polishing rate of polysilicon or nitride can be adjusted to the optimum range. Further, it is possible to control the plurality of different materials other than oxides to have high selectivity ratios, and to adjust the polishing selectivity ratios of the respective different materials so as to have a certain level of polishing rate difference, .

또한, 제1 연마 억제제는 산화물의 연마율을 완만하게 감소시켜 산화물의 연마율 조절이 용이할 뿐만 아니라, 폴리 실리콘 상에 패시베이션막을 형성하여 폴리 실리콘막이 연마되는 것을 막을 수 있어 과식각(overpolish)을 방지할 수 있다.In addition, the first polishing inhibitor can reduce the polishing rate of the oxide gently to facilitate adjustment of the polishing rate of the oxide, and can also prevent the polysilicon film from being polished by forming a passivation film on the polysilicon, thereby overpolishing .

예를 들면, 반도체 소자의 제조 공정에서 연마 정지막으로 사용되는 폴리 실리콘막 상에 질화막을 마스크로 이용하여 기판을 소정 깊이로 식각하는 경우, 이러한 각 이종 물질층에 대하여 산화막의 연마 선택비를 향상시킬 수 있다. 또한, 산화막의 각 물질층에 대한 연마 선택비를 최적 범위로 유지하여 에로젼(erosion) 및 디싱(dishing)을 억제하고, 한 번의 공정으로 연마 공정을 완료할 수 있게 되어 공정 단순화 및 비용 절감에 따른 생산성을 향상시킬 수 있다.For example, when a substrate is etched to a predetermined depth by using a nitride film as a mask on a polysilicon film used as a polishing stopper film in a semiconductor device manufacturing process, the polishing selectivity of the oxide film is improved . Further, it is possible to maintain the polishing selectivity ratio for each material layer of the oxide film in the optimal range to suppress erosion and dishing, and to complete the polishing process in one step, thereby simplifying the process and reducing the cost The productivity can be improved.

도 1은 본 발명 실시 예에서 제1 연마 억제제를 다양하게 투입한 슬러리의 연마 결과를 나타내는 표.
도 2는 제1 연마 억제제의 농도에 따른 산화물의 연마율을 도시한 그래프.
도 3은 본 발명 실시 예에서 연마 촉진제를 다양하게 투입한 슬러리의 연마 결과를 나타내는 표.
도 4는 연마 촉진제의 농도에 따른 산화물의 연마율을 도시한 그래프.
도 5는 본 발명 실시 예에서 제2 연마 억제제를 다양하게 투입한 슬러리의 연마 결과를 나타내는 표.
도 6은 제2 연마 억제제의 농도에 따른 산화물의 연마율을 도시한 그래프.
도 7은 제1 연마 억제제의 종류에 따른 산화물의 연마율을 비교하기 위한 그래프.
도 8은 제1 연마 억제제의 종류에 따른 산화물의 연마 결과를 나타내는 표.
도 9는 제1 연마 억제제의 종류에 따른 제타 포텐셜 값을 나타내는 표.
도 10은 제1 연마 억제제의 종류에 따른 제타 포텐셜의 변화를 비교하기 위한 그래프.
도 11은 본 발명의 일 실시 예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도.
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a table showing polishing results of a slurry to which various first polishing inhibitors are added in Examples of the present invention. FIG.
2 is a graph showing the polishing rate of the oxide according to the concentration of the first polishing inhibitor;
3 is a table showing polishing results of a slurry to which various abrasive accelerators are added in Examples of the present invention.
4 is a graph showing the polishing rate of the oxide according to the concentration of the polishing accelerator.
5 is a table showing polishing results of a slurry to which a second polishing inhibitor is added in various embodiments of the present invention.
6 is a graph showing the polishing rate of the oxide according to the concentration of the second polishing inhibitor;
7 is a graph for comparing the polishing rates of oxides according to the kind of the first polishing inhibitor.
8 is a table showing the polishing results of oxides according to the kind of the first polishing inhibitor.
9 is a table showing zeta potential values according to the kind of the first polishing inhibitor.
10 is a graph for comparing changes in the zeta potential according to the kind of the first polishing inhibitor.
11 is a cross-sectional view illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention.

본 발명에 따른 슬러리 및 이를 이용한 기판 연마 방법은 제1 연마 억제제를 포함하는 제1 슬러리와 연마 촉진제를 포함하는 제2 슬러리를 혼합한 슬러리를 이용함으로써 산화물(SiO2)에 대한 높은 연마율을 가질 뿐만 아니라, 산화물 이외의 물질 예컨대 폴리 실리콘(poly-Si) 또는 질화물(Si3N4)의 연마율을 최적 범위로 조절할 수 있는 기술적 특징을 제시한다.The slurry and the substrate polishing method using the same according to the present invention have a high polishing rate for oxide (SiO 2 ) by using a slurry obtained by mixing a first slurry containing a first polishing inhibitor and a second slurry containing a polishing accelerator In addition, the present invention provides a technical feature capable of adjusting the polishing rate of a material other than an oxide such as poly-Si or nitride (Si 3 N 4 ) to the optimum range.

이하, 첨부된 도면을 참조하여 본 발명의 실시 예들을 상세히 설명하기로 한다. 그러나 본 발명은 이하에서 개시되는 실시 예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 발명의 실시 예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 도면상에서 동일 부호는 동일한 요소를 지칭한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The present invention may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, Is provided to fully inform the user. Wherein like reference numerals refer to like elements throughout.

본 발명의 실시 예에 따른 슬러리는 산화물 연마용 슬러리로서, 연마를 수행하는 연마제 및 상기 산화물과 상이한 제1 물질의 연마를 억제하는 제1 연마 억제제를 포함하는 제1 슬러리; 및 상기 산화물의 연마를 촉진하는 연마 촉진제를 포함하는 제2 슬러리를 포함한다. 여기서, 제1 슬러리는 상기 연마제를 분산시키는 분산제를 포함할 수 있으며, 상기 연마제의 분산을 균일하게 유지하는 분산 안정제를 더 포함할 수 있다. 또한, 제2 슬러리는 상기 산화물과 상이한 제2 물질의 연마를 억제하는 제2 연마 억제제를 포함할 수 있다.A slurry according to an embodiment of the present invention is a slurry for oxide polishing, comprising: a first slurry including an abrasive for polishing and a first abrasion inhibitor for inhibiting abrasion of a first material different from the oxide; And a second slurry including a polishing accelerator that promotes polishing of the oxide. Here, the first slurry may include a dispersant that disperses the abrasive, and may further include a dispersion stabilizer that uniformly disperses the abrasive. In addition, the second slurry may comprise a second polishing inhibitor that inhibits polishing of the second material different from the oxide.

제1 슬러리에 포함되는 연마제, 분산제, 분산 안정제, 제1 연마 억제제는 제1 용액 내에 함유될 수 있다. 예를 들어, 물 특히 순수(DI water)에 연마제, 분산제, 분산 안정제 및 제1 연마 억제제가 분산되어 분포한다. 또한, 제1 슬러리의 pH를 조절하기 위하여 제1 pH 조절제가 더 포함될 수 있다. 이러한 제1 슬러리는 액체에 연마제가 분산된 형태이며 각 성분의 함량이 적절하게 조절된다.The abrasive, dispersant, dispersion stabilizer and first polishing inhibitor contained in the first slurry may be contained in the first solution. For example, an abrasive, a dispersant, a dispersion stabilizer and a first polishing inhibitor are dispersed and distributed in water, particularly DI water. In addition, a first pH adjuster may be further included to adjust the pH of the first slurry. The first slurry is in a form in which the abrasive is dispersed in a liquid, and the content of each component is appropriately controlled.

또한, 제2 슬러리에 포함되는 연마 촉진제 및 제2 연마 억제제는 제2 용액 내에 함유될 수 있다. 즉, 물 특히 순수(DI water)에 연마 촉진제 및 제2 연마 억제제가 분산되어 분포하며, 제2 슬러리의 pH를 조절하기 위하여 제2 pH 조절제가 더 포함될 수 있다.Further, the polishing accelerator and the second polishing inhibitor contained in the second slurry may be contained in the second solution. That is, a polishing accelerator and a second polishing inhibitor are dispersed and distributed in water, particularly, DI water, and a second pH adjuster may be further included to control the pH of the second slurry.

여기서, 제1 슬러리 및 제2 슬러리는 희석제와 함께 혼합되어 산화물 연마용 슬러리로 연마 대상물의 표면에 공급되며, 희석제는 물 특히 순수(DI Water)일 수 있다. 제1 슬러리에 포함되는 연마제, 분산제, 분산 안정제 및 제1 연마 억제제와 제2 슬러리에 포함되는 연마 촉진제 및 제2 연마 억제제는 하나의 슬러리로 제조될 수도 있으나, 연마제, 분산제, 분산 안정제 및 제1 연마 억제제를 포함하는 제1 슬러리와 연마 촉진제 및 제2 연마 억제제를 포함하는 제2 슬러리로 각각 제조되어 연마 전에 희석제와 함께 혼합되어 연마 대상물에 공급될 수 있다. 이는 제1 슬러리와 제2 슬러리를 분리하여 사용하지 않고, 장기간 반복하여 연마 공정을 수행하는 경우 제2 슬러리의 연마 촉진제 및 제2 연마 억제제의 이온성에 의하여 슬러리의 분산 안정성과 유효 사용 기간이 감소할 수 있기 때문이다.Here, the first slurry and the second slurry are mixed together with a diluent and supplied to the surface of the object to be polished with an oxide polishing slurry, and the diluent may be water, particularly DI water. The polishing slurry, the dispersant, the dispersion stabilizer, and the first polishing inhibitor contained in the first slurry, the polishing accelerator included in the second slurry, and the second polishing inhibitor may be prepared as one slurry, A first slurry containing an abrasive inhibitor, a second slurry containing a polishing accelerator and a second abrasive inhibitor, respectively, and may be mixed with the diluent before polishing to be supplied to the abrasive article. This is because when the polishing process is performed for a long period of time without using the first slurry and the second slurry separately, the dispersion stability and effective use period of the slurry are reduced due to the ionization of the polishing slurry and the second polishing slurry It is because.

연마제는 실리카(SiO2), 세리아(CeO2), 알루미나(Al2O3), 티타니아(TiO2), 지르코니아(ZrO2) 및 게르마니아(GeO2)를 포함하는 그룹으로부터 선택된 적어도 하나의 금속 산화물일 수 있다. 여기서, 연마제는 산화물의 연마 선택비가 높은 세리아(CeO2)를 포함할 수 있다.Abrasive silica (SiO 2), ceria (CeO 2), alumina (Al 2 O 3), titania (TiO 2), zirconia (ZrO 2) and germania at least one metal oxide selected from the group consisting of (GeO 2) Lt; / RTI > Here, the abrasive may include ceria (CeO 2 ) having a high polishing selection ratio of the oxide.

또한, 상기 연마제는 제1 슬러리 100 중량%를 기준으로 0.1 내지 10 중량%로 포함될 수 있다. 연마제가 0.1 중량% 미만으로 포함되면 연마의 효과가 미미하며, 10 중량%를 초과하여 포함되면 연마율이 너무 높아져 대상막의 과잉 연마가 이루어질 수 있으며, 스크래치가 발생할 수 있다.The abrasive may be contained in an amount of 0.1 to 10% by weight based on 100% by weight of the first slurry. If the amount of the abrasive is less than 0.1% by weight, the effect of polishing is insufficient. If the amount of the abrasive is more than 10% by weight, the polishing rate becomes too high, and excessive polishing of the target film may occur and scratches may occur.

상기 연마제를 구성하는 연마 입자는 XRD 측정을 통해 결정 구조를 분석할 수 있으며, 습식 세리아(wet ceria)와 같은 결정 구조를 가지며, 다면체(polyhedral) 결정면을 갖는다.The abrasive particles constituting the abrasive can analyze the crystal structure through XRD measurement, have a crystal structure such as a wet ceria, and have a polyhedral crystal plane.

연마 입자의 평균 입경은 5 내지 100 nm로 마련될 수 있다. 여기서, 연마 입자의 평균 입경이 5 nm 미만인 경우 연마 대상막이 충분하게 연마되지 않아 연마율이 낮아지며, 연마 입자의 평균 입경이 100 nm를 초과하면, 연마 대상막에 마이크로 스크래치를 발생시킨다. 또한, 연마제를 구성하는 연마 입자의 평균 입경은 20 내지 80 nm으로 마련될 수 있다. 이는 연마 대상막의 연마를 저하시키지 않으면서 연마 정지막에 마이크로 스크래치를 발생시키지 않기 때문이다.The average particle diameter of the abrasive grains may be set to 5 to 100 nm. Here, when the average particle size of the abrasive grains is less than 5 nm, the film to be polished is not sufficiently polished and the polishing rate is lowered. When the average particle size of the abrasive grains exceeds 100 nm, micro scratches are generated in the film to be polished. The average particle diameter of the abrasive particles constituting the abrasive may be set to 20 to 80 nm. This is because the micro-scratches do not occur in the polishing stop film without lowering the polishing of the polishing target film.

분산제는 제1 슬러리 내에서 연마제를 균일하게 분산시켜 연마 입자 간의 응집을 방지하는 역할을 하며, 양이온계 고분자 물질, 음이온계 저분자 물질, 하이드록실기를 포함하는 산 또는 아미노기를 포함하는 산을 이용할 수 있다. 또한, 분산제는 연마제의 제타 전위를 조절할 수 있다. 즉, 양이온계 분산제는 연마제의 제타 전위를 플러스 즉, 양 전위로 증가시킬 수 있고, 음이온계 분산제는 연마제의 제타 전위를 마이너스 즉, 음 전위로 감소시킬 수 있다. 따라서, 슬러리에 포함되는 분산제에 따라 연마제의 제타 전위를 그대로 유지하거나, 양 전위 또는 음 전위 쪽으로 미세하게 조절할 수 있다.The dispersing agent serves to uniformly disperse the abrasive in the first slurry to prevent agglomeration between the abrasive grains, and it is possible to use a cationic polymer material, an anionic low molecular material, an acid including a hydroxyl group or an acid including an amino group have. Further, the dispersing agent can control the zeta potential of the abrasive. That is, the cationic dispersant can increase the zeta potential of the abrasive agent to positive, that is, the positive potential, and the anionic dispersant can reduce the zeta potential of the abrasive agent to negative, that is, negative potential. Therefore, the zeta potential of the abrasive can be maintained as it is, or finely adjusted to the positive or negative potential depending on the dispersant contained in the slurry.

양이온계 고분자 분산제로는 폴리리신(Polylysine), 폴리에틸렌이민(Polyethylenime), 염화벤제토늄(Benzethonium Chloride), 브롬화세트리모늄(Cetrimonium bromide), 염화세트리모늄(Cetrimonium chloride), 수산화테트라메틸암모니윰 (Tetramethylammonium hydroxide), 디스테아릴디메틸염화암모늄(Distearyl dimethyl ammonium chloride), 디메틸아민과 에피클로로히드린의중합물(Polydimethylamine-co-epichlorohydrin), 폴리아릴아민(Poly allyl amine)을 포함하는 그룹으로부터 선택된 적어도 하나를 포함할 수 있다.Examples of the cationic polymer dispersing agent include polylysine, polyethyleneimine, benzethonium chloride, Cetrimonium bromide, Cetrimonium chloride, tetramethylammonium hydroxide At least one selected from the group consisting of tetramethylammonium hydroxide, distearyl dimethyl ammonium chloride, polydimethylamine-co-epichlorohydrin, and polyallyl amine. . ≪ / RTI >

또한, 음이온계 저분자 분산제로는 옥살산(oxalic acid), 구연산(citric acid), 폴리설퍼닉산(polysulfuric acid), 폴리아크릴산(polyacrylic acid) 폴리메타크릴산(Darvan C-N) 및 이들의 염을 적어도 하나 이상 함유하는 물질을 포함하는 그룹으로부터 선택된 적어도 하나를 포함하거나, 이들의 공중합체산(copolymer acid)을 포함할 수 있다.Examples of the anionic low-molecular dispersant include at least one of oxalic acid, citric acid, polysulfuric acid, polyacrylic acid polymethacrylic acid (Darvan CN) , Or may include a copolymer acid of at least one of the foregoing.

그리고, 하이드록실기를 포함하는 산은 하이드록실벤조산(hydroxylbenzoic acid), 아스코빅산(ascorbic acid) 및 이들의 염을 적어도 하나 이상 함유하는 물질을 포함하는 그룹으로부터 선택된 적어도 하나를 포함할 수 있으며, 아미노기를 포함하는 산은 피콜리닉산(picolinic acid), 글루타민산(glutamic acid), 트립토판(tryptophane), 아미노부티르산(aminobutyric acid) 및 이들의 염을 적어도 하나 이상 함유하는 물질을 포함하는 그룹으로부터 선택된 적어도 하나를 포함할 수 있다.And, the acid containing a hydroxyl group may include at least one selected from the group including a substance containing at least one of hydroxylbenzoic acid, ascorbic acid and salts thereof, and the amino group The acid to be included includes at least one selected from the group consisting of a substance containing at least one of picolinic acid, glutamic acid, tryptophane, aminobutyric acid and salts thereof .

분산제는 제1 슬러리 전체 중량에 대하여 0.01 중량% 내지 1 중량% 범위로 포함될 수 있다. 분산제의 함량이 0.01 중량% 미만이면 분산이 잘되지 않고 침전이 일어날 수 있으며, 분산제의 함량이 1 중량%를 초과하면 고분자 물질의 응집 및 높은 이온화 농도에 의하여 슬러리의 분산 안정성이 저하될 우려가 있다. 또한, 분산제는 슬러리 전체 중량에 대하여 0.05 중량% 내지 0.3 중량%의 범위로 포함될 수도 있다. 이는 분산 안정성이 매우 우수하고 연마제의 제타 전위를 미세하게 조절하는데 더욱 유리하기 때문이다.The dispersing agent may be contained in the range of 0.01 wt% to 1 wt% with respect to the total weight of the first slurry. If the content of the dispersing agent is less than 0.01% by weight, the dispersion may not be performed well and precipitation may occur. If the content of the dispersing agent exceeds 1% by weight, there is a fear that the dispersion stability of the slurry is lowered due to aggregation and high ionization concentration of the polymer substance . The dispersing agent may be contained in an amount of 0.05% by weight to 0.3% by weight based on the total weight of the slurry. This is because the dispersion stability is excellent and it is more advantageous to finely control the zeta potential of the abrasive.

분산 안정제는 슬러리 내에서 pH 완충 작용을 함으로써 외부 변화 인자에 의한 제1 슬러리의 화학 변화를 억제하여 연마 입자 간의 응집을 방지하고, 연마 입자를 균일하게 분산시켜 스크래치의 발생을 억제하는 역할을 한다. 분산 안정제는 유기산을 포함할 수 있으며, 이 경우 해리 상수의 절대치인 pKa 값이 9 내지 12의 값을 가지며, 아미노산 중에서 카르복실기(COOH)와 아민기(NH2)가 동일한 카본(C) 원자에 결합되어 있는 α-아미노산을 포함할 수 있다. 여기서 α-아미노산은 카르복실기(COOH)와 아민기(NH2)의 수에 따라 중성 아미노산, 산성 아미노산 및 염기성 아미노산으로 분류할 수 있는데, 중성 아미노산은 같은 수의 아민기와 카르복실기를 가지는 알라닌(Alanine), 글라이신(Glycine), 타이로신(Tyrosine) 및 발린(Valine)을 포함하는 그룹으로부터 선택된 적어도 하나를 포함할 수 있으며, 산성 아미노산은 카르복실기의 수가 아민기의 수보다 많은 아스파틱산(Aspartic acid), 글루타믹산(Glutamic acid) 및 구연산(Citric acid)을 포함하는 그룹으로부터 선택된 적어도 하나를 포함할 수 있으며, 염기성 아미노산은 아민기의 수가 카르복실기의 수보다 많은 라이신(Lysine)을 포함할 수 있다.The dispersion stabilizer suppresses the chemical change of the first slurry due to the external change factors by performing a pH buffering action in the slurry to prevent agglomeration between the abrasive grains and to disperse the abrasive grains uniformly to suppress the occurrence of scratches. The dispersion stabilizer may include an organic acid. In this case, the pKa value, which is an absolute value of the dissociation constant, has a value of 9 to 12. In the amino acid, the carboxyl group (COOH) and the amine group (NH 2 ) Lt; / RTI > amino acids. Here, the α-amino acid can be classified into a neutral amino acid, an acidic amino acid and a basic amino acid depending on the number of the carboxyl group (COOH) and the amine group (NH 2 ). The neutral amino acid includes alanine, alanine, Glycine, tyrosine and valine, and the acidic amino acid may contain at least one selected from the group consisting of aspartic acid, glutamic acid, glutamic acid, glutamic acid, glutamic acid, Glutamic acid, and citric acid. The basic amino acid may include lysine in which the number of amine groups is greater than the number of carboxyl groups.

분산 안정제는 제1 슬러리에 포함되는 연마제 5 중량%을 기준으로 제1 슬러리 전체 중량에 대하여 0.001 중량% 내지 0.1 중량% 범위로 포함될 수 있다. 분산 안정제의 함량이 0.001 중량% 미만이면 분산 안정제의 pH 완충능(buffer capacity)가 낮아 분산 안정제의 효과가 불충분하며, 분산 안정제의 함량이 0.1 중량%를 초과하면 연마제의 분산 안정성이 낮아져 침전이 일어날 우려가 있다. 또한, 분산 안정제는 슬러리 전체 중량에 대하여 0.005 중량% 내지 0.05 중량%의 범위로 포함될 수도 있다. 이는 pH 완충능이 매우 우수하고 분산 안정성을 유지하는데 더욱 유리하기 때문이다.The dispersion stabilizer may be contained in an amount of 0.001 wt% to 0.1 wt% based on the total weight of the first slurry based on 5 wt% of the abrasive contained in the first slurry. If the content of the dispersion stabilizer is less than 0.001% by weight, the effect of the dispersion stabilizer is insufficient because of low pH buffer capacity of the dispersion stabilizer. If the content of the dispersion stabilizer exceeds 0.1% by weight, the dispersion stability of the polishing slurry lowers, There is a concern. The dispersion stabilizer may be contained in an amount of 0.005 wt% to 0.05 wt% with respect to the total weight of the slurry. This is because the pH buffering ability is very excellent and it is more advantageous to maintain dispersion stability.

제1 연마 억제제는 연마 대상 이외의 물질의 연마를 억제한다. 즉, 각 물질의 연마를 억제하여, 연마 선택비를 조절한다. 여기서, 연마 대상 이외의 물질은 성분이 서로 다른 복수의 이종 물질을 포함할 수 있다. 예를 들어, 산화물을 연마하는 경우, 제1 연마 억제제는 복수의 이종 물질에 포함되는 제1 물질 및 제2 물질의 연마를 각각 억제하여 선택비를 조절할 수 있다.The first polishing inhibitor suppresses polishing of a material other than the object to be polished. That is, polishing of each material is suppressed, and the polishing selectivity is controlled. Here, the material other than the object to be polished may include a plurality of different materials having different components. For example, when the oxide is polished, the first polishing inhibitor can control the selectivity by suppressing the polishing of the first substance and the second substance contained in the plurality of different substances, respectively.

이러한 제1 연마 억제제는 산화물에 비하여 제1 물질, 예를 들어 폴리 실리콘에 대한 결합력이 우수한 물질로서 소수성(hydrophobic)기와 친수성(hydrophilic)기를 동시에 가지는 비이온계 물질을 사용할 수 있다. 이러한 제1 연마 억제제는 소수성과 친수성을 동시에 가지게 되어 소수성을 갖는 폴리 실리콘의 막 표면에 흡착하여 패시베이션(passivation) 막을 형성하게 되고, 결과적으로 폴리 실리콘의 연마율을 상대적으로 큰 비율로 감소시켜 연마 선택비를 조절할 수 있다.Such a first polishing inhibitor may be a nonionic material having both a hydrophobic group and a hydrophilic group at the same time as the first material, for example, a material having excellent bonding strength to polysilicon, as compared with an oxide. Such a first polishing inhibitor has hydrophobicity and hydrophilicity at the same time and is adsorbed on the surface of the polysilicon film having hydrophobicity to form a passivation film. As a result, the polishing rate of the polysilicon is decreased to a relatively large ratio, The ratio can be adjusted.

제1 연마 억제제로는 각종 비이온계 물질을 사용할 수 있으며, 예를 들면 제1 연마 억제제는 폴리프로필렌글리콜-폴리에틸렌글리콜-폴리프로필렌글리콜 공중합체(PEP: polypropyleneglycol-b-polyethyleneglycol-b-polypropyleneglycol), 폴리솔베이트(polysorbates), 옥토시놀(octoxynol), 폴리에틸렌글리콜(polyethyleneglycol) 옥타데실에테르(octadecyl ether), 노닐페놀에톡실레이트(nonylphenol ethoxylate), 에틸렌옥사이드(ethylene oxide), 글리콜산(glycolic acid), 글리세롤에톡실레이트(glycerol ethoxylate) 중 적어도 하나를 포함할 수 있다.Examples of the first polishing inhibitor include polypropylene glycol-polyethylene glycol-polypropylene glycol copolymer (PEP: polypropylene glycol-b-polyethyleneglycol-b-polypropyleneglycol) But are not limited to, polysorbates, octoxynol, polyethyleneglycol octadecyl ether, nonylphenol ethoxylate, ethylene oxide, glycolic acid, , Glycerol ethoxylate, and the like.

제1 연마 억제제의 함량은 제1 슬러리 전체 중량의 0.002 중량% 내지 0.02 중량% 정도일 수 있다. 제1 연마 억제제의 함량이 0.002 중량% 미만이면, 예를 들어 연마 정지막으로 사용되는 폴리 실리콘막의 연마율이 과다하게 높게 되며, 0.02 중량%를 초과하면 폴리 실리콘막에 과다하게 흡착되어 산화막과 폴리 실리콘막의 연마 선택비를 적정 범위로 유지할 수 없다. 또한, 제1 연마 억제제의 함량이 0.005 중량% 내지 0.015 중량%의 범위일 경우 충분한 선택비 조절의 효과를 가짐과 동시에 폴리 실리콘막의 연마율을 적정 수준으로 유지시킬 수 있다.The content of the first polishing inhibitor may be about 0.002 wt% to 0.02 wt% of the total weight of the first slurry. If the content of the first polishing inhibitor is less than 0.002 wt%, for example, the polishing rate of the polysilicon film used as the polishing stopper film becomes excessively high. If the content is more than 0.02 wt%, the polysilicon film is excessively adsorbed to the polysilicon film, The polishing selectivity of the silicon film can not be maintained in an appropriate range. In addition, when the content of the first polishing inhibitor is in the range of 0.005 wt% to 0.015 wt%, it is possible to have a sufficient effect of controlling the selection ratio and to maintain the polishing rate of the polysilicon film at an appropriate level.

연마 촉진제는 제2 슬러리에 포함되어 연마 대상 물질의 연마를 촉진시킨다. 즉, 연마 촉진제에 의하여 연마 대상 물질의 연마를 촉진시키고, 연마 대상 이외의 물질의 연마를 억제하여 연마 선택비를 조절한다. 예를 들어, 산화물을 연마하는 경우, 연마 촉진제는 산화물의 연마를 촉진시키고, 산화물 이외의 폴리 실리콘 및 질화물의 연마를 각각 억제하여 선택비를 조절할 수 있다.The polishing accelerator is included in the second slurry to promote polishing of the material to be polished. That is, the polishing accelerator promotes the polishing of the material to be polished and suppresses the polishing of the material other than the object to be polished, thereby controlling the polishing selectivity. For example, in the case of polishing an oxide, the polishing accelerator can promote the polishing of the oxide and suppress the polishing of the polysilicon and the nitride other than the oxide, respectively, to control the selection ratio.

이러한 연마 촉진제로는 하이드록실기(OH)와 아민기(NH2)를 모두 가지고 있는 알카놀아민(Alkanolamine) 계열의 단분자 물질을 사용할 수 있다. 이러한 연마 촉진제는 해리 상수의 절대치인 pKa 값이 약 9.7의 값을 가지게 되어, pH 9.7 이하의 용액 내에서 플러스 차지를 띠는 양의 전하인 NH3 +로 해리된다. 해리된 NH3 +는 용액 내에서 마이너스 차지를 띠는, 예를 들어 산화막(SiOH-)과 작용하여 산화막이 Si(OH)4 형태로 반응하는 것을 촉진시켜 산화막의 연마율을 증가시킨다.As such a polishing accelerator, an alkanolamine-based monomolecular material having both a hydroxyl group (OH) and an amine group (NH 2 ) can be used. These abrasive accelerators have a pKa value of about 9.7, which is the absolute value of the dissociation constant, and are dissociated into NH 3 + , which is a positive charge that positively charges in a solution below pH 9.7. The dissociated NH 3 + acts on the oxide film (SiOH - ), which has a negative charge in the solution, thereby promoting the reaction of the oxide film in the form of Si (OH) 4, thereby increasing the polishing rate of the oxide film.

연마 촉진제로서는 알카놀아민(Alkanolamine) 계열의 단분자 물질을 사용할 수 있으며, 예를 들면 연마 촉진제는 아미노메틸 프로판올(AMP: Aminomethyl propanol), 에탄올아민(Ethanolamine), 헵타미놀(Heptaminol), 이소에타린(Isoetharine), 메탄올아민(Methanolamine),다이에틸에탄올아민(Diethylethanolamine) 및 메킬에탄올아민(N-methylethanolamine) 중 적어도 어느 하나를 포함할 수 있다. 이러한 단분자 물질은 작용기로 하이드록실기와 아민기를 가질 수 있다.Examples of the polishing accelerator include aminomethyl propanol (AMP), ethanolamine (Ethanolamine), heptaminol, isoethanol, and the like. And may include at least one of isoetharine, methanolamine, diethylethanolamine, and N-methylethanolamine. Such monomolecular materials may have hydroxyl groups and amine groups as functional groups.

연마 촉진제의 함량은 제2 슬러리 전체 중량의 0.1 중량% 내지 1.35 중량% 정도일 수 있다. 연마 촉진제의 함량이 0.1 중량% 미만이면 연마 대상물, 예를 들면 산화막의 연마율이 너무 낮거나 연마 대상물 이외의 물질, 예를 들어 질화막의 연마율이 너무 높아 산화막과 질화막의 적정 연마 선택비 수준을 만족할 수 없으며, 1.35 중량%를 초과하면 질화막의 연마율이 급격하게 감소하게 되어 연마 효율이 저하될 수 있다. 또한, 연마 촉진제의 함량이 0.5 중량% 내지 1 중량%의 범위일 경우 산화막과 질화막의 연마율을 최적 수준으로 조절할 수 있다.The content of the polishing accelerator may be about 0.1 wt% to 1.35 wt% of the total weight of the second slurry. If the content of the polishing accelerator is less than 0.1 wt%, the polishing rate of the object to be polished, for example, the oxide film is too low or the polishing rate of a material other than the object to be polished, for example, a nitride film is too high, If it is more than 1.35% by weight, the polishing rate of the nitride film is drastically decreased, and the polishing efficiency may be lowered. Also, when the content of the polishing accelerator is in the range of 0.5 wt% to 1 wt%, the polishing rate of the oxide film and the nitride film can be adjusted to an optimum level.

제2 연마 억제제는 제2 슬러리에 포함되어 연마 대상 이외의 물질의 연마를 억제한다. 즉, 각 물질의 연마를 억제하여, 연마 선택비를 조절한다. 예를 들어, 산화물을 연마하는 경우, 제2 연마 억제제는 복수의 이종 물질에 포함되는 제1 물질 및 제2 물질의 연마를 각각 억제하여 선택비를 조절할 수 있다.The second polishing inhibitor is contained in the second slurry to inhibit polishing of a material other than the object to be polished. That is, polishing of each material is suppressed, and the polishing selectivity is controlled. For example, when the oxide is polished, the second polishing inhibitor can control the selectivity by suppressing the polishing of the first substance and the second substance contained in the plurality of different substances, respectively.

제2 연마 억제제로는 카르복실기를 하나 이상 가지는 음이온계 물질을 사용할 수 있다. 이러한, 제2 연마 억제제는 해리 상수의 절대치인 pKa 값이 약 4의 값을 가지게 되어, pH 4 이상의 용액에서 마이너스 차지를 띠는 음의 전하인 COO-로 해리된다. 해리된 COO-기는 연마 대상 이외의 물질 예를 들면, 플러스 차지를 띠는 질화물에 흡착될 수 있다.As the second polishing inhibitor, an anionic material having at least one carboxyl group can be used. This second polishing inhibitor has a pKa value of about 4, which is the absolute value of the dissociation constant, and is dissociated into negative charge COO < - > The dissociated COO - group can be adsorbed to a material other than the object to be polished, for example, a nitride having positive charge.

제2 연마 억제제는 카르복실기를 가지고 있는 음이온계 물질을 사용할 수 있으며, 예를 들면 제2 연마 억제제는 폴리아크릴산(PAA: poly(acrylic acid)), 폴리알킬메타크릴레이트(poly(alkyl methacrylate)), 아크릴아미드(acrylamide), 메타크릴아미드(methacrylamide) 및 에틸-메타크릴아미드(ethyl-methacrylamide) 중 적어도 어느 하나를 포함할 수 있다. 각 음이온계 물질은 적어도 하나의 카르복실기를 포함하고 있으며, 이러한 음이온계 물질은 작용기로 카르복실기만을 가질 수도 있고, 카르복실기 외에 다른 작용기를 더 가질 수도 있다.The second polishing inhibitor may be an anionic material having a carboxyl group. For example, the second polishing inhibitor may be polyacrylic acid (PAA), poly (alkyl methacrylate) And may include at least one of acrylamide, methacrylamide, and ethyl-methacrylamide. Each anionic material includes at least one carboxyl group. The anionic material may have only a carboxyl group as a functional group, or may have a functional group other than a carboxyl group.

제2 연마 억제제의 함량은 제2 슬러리 전체 중량의 0.15 중량% 내지 1 중량% 정도일 수 있다. 연마 촉진제의 함량이 0.15 중량% 미만이면 질화물막에 충분히 흡착되지 않아 선택비 조절의 효과가 충분하지 않게 되고, 1 중량%를 초과하면 질화물막에 과다하게 흡착되어 질화물막의 연마율이 과다하게 감소되어 산화물 대 제2 물질, 예를 들어, 질화물과의 연마 선택비가 목표 수치인 20:1 내지 60:1 범위를 벗어날 수 있다.The content of the second polishing inhibitor may be about 0.15 wt% to 1 wt% of the total weight of the second slurry. If the content of the polishing accelerator is less than 0.15% by weight, it is not sufficiently adsorbed to the nitride film, and the effect of controlling the selectivity becomes insufficient. When the content of the polishing accelerator exceeds 1% by weight, the polishing rate of the nitride film is excessively decreased The polishing selectivity ratio of the oxide to the second material, e.g., nitride, may deviate from the target value range of 20: 1 to 60: 1.

제1 pH 조절제 및 제2 pH 조절제는 제1 슬러리 및 제2 슬러리에 각각 포함되어 각 슬러리의 pH를 조절할 수 있다. 이러한, pH 조절제로, 질산, 암모니아수 등을 포함할 수 있다. 본 발명의 실시 예에서는 pH 조절제를 이용하여 제1 슬러리 및 제2 슬러리의 pH를 4 내지 8의 범위로 동일하게 조절할 수 있다. pH가 4 미만인 경우 슬러리의 분산 안정성이 악화되고, pH가 8을 초과하는 경우 강 염기성으로 인하여 연마 대상 이외의 물질 예를 들면, 연마 정지막으로 사용되는 폴리 실리콘막의 연마율이 급격하게 상승할 수 있다. 또한, 제1 슬러리 및 제2 슬러리의 pH는 6 내지 7의 범위로 조절될 수 있는데, 이 경우 분산 안정성을 유지함과 동시에 연마 대상 물질과 연마 대상 이외의 물질의 연마 선택비를 최적으로 유지할 수 있기 때문이다.The first pH adjusting agent and the second pH adjusting agent may be contained in the first slurry and the second slurry, respectively, to adjust the pH of each slurry. Such a pH adjuster may include nitric acid, ammonia water, and the like. In the embodiment of the present invention, the pH of the first slurry and the second slurry can be controlled in the range of 4 to 8 by using a pH adjusting agent. When the pH is less than 4, the dispersion stability of the slurry deteriorates. When the pH is more than 8, the polishing rate of the polysilicon film used as a material other than the polishing target, for example, a polishing stopper film may rise sharply due to strong basicity have. Also, the pH of the first slurry and the second slurry can be adjusted to be in the range of 6 to 7. In this case, it is possible to maintain the dispersion stability and to optimally maintain the polishing selectivity of the material to be polished and the material other than the object to be polished Because.

또한, 제1 슬러리 및 제2 슬러리는 1:0.5 내지 1:1.5의 비율로 혼합될 수 있으며, 이때 제1 슬러리 및 희석제는 1:3 내지 1:8의 비율로 혼합될 수 있다. 제1 슬러리 및 제2 슬러리를 1:0.5 내지 1:1.5의 비율로 혼합하고, 제1 슬러리 및 희석제를 1:3 내지 1:8의 비율로 혼합하여 산화물 슬러리를 제조하는 경우 산화물에 대한 연마율을 2000 Å/min 이상, 나아가 2500 Å/min 이상으로 유지할 수 있으며, 질화물에 대한 연마율을 50 내지 150 Å/min, 바람직하게는 50 내지 100 Å/min의 범위로 유지할 수 있다. 또한, 이때 폴리 실리콘의 연마율은 50 Å/min 이하, 나아가 20 Å/min 이하로 유지되어, 산화물 대 제1 물질, 예를 들어 폴리 실리콘의 연마 선택비를 100:1 내지 300:1의 범위로 유지하고, 산화물 대 제2 물질, 예를 들어, 질화물의 연마 선택비를 20:1 내지 60:1의 범위로 유지할 수 있다.Also, the first slurry and the second slurry may be mixed at a ratio of 1: 0.5 to 1: 1.5, wherein the first slurry and the diluent may be mixed at a ratio of 1: 3 to 1: 8. When the first slurry and the second slurry are mixed at a ratio of 1: 0.5 to 1: 1.5, and the first slurry and the diluent are mixed at a ratio of 1: 3 to 1: 8 to prepare an oxide slurry, Can be maintained at 2000 A / min or more, and furthermore, at 2500 A / min or more, and the polishing rate for nitride can be maintained in the range of 50 to 150 A / min, preferably 50 to 100 A / min. Also, at this time, the polishing rate of the polysilicon is maintained at 50 Å / min or less, and furthermore, at 20 Å / min or less, so that the polishing selectivity of the oxide to the first material, for example, polysilicon, is in the range of 100: 1 to 300: And the polishing selectivity ratio of the oxide to the second material, e.g., nitride, can be maintained in the range of 20: 1 to 60: 1.

하기에서는 상기 실시 예의 슬러리를 제조하고, 반도체 기판에 적용하여 연마 특성을 평가한 결과를 설명한다.In the following, the results of evaluating the polishing characteristics by preparing the slurry of the above embodiment and applying it to a semiconductor substrate will be described.

[실험 예][Experimental Example]

슬러리의 제조 과정은 일반적인 슬러리의 제조 과정과 크게 다르지 않으므로, 간단히 설명한다.The manufacturing process of the slurry is not greatly different from the manufacturing process of the general slurry, and therefore, will be briefly described.

우선 제1 슬러리를 제조하기 위하여는 제1 슬러리를 제조할 용기를 준비하고, 용기에 원하는 양의 초순수(DI Water)와 음이온계 분산제 및 분산 안정제로 유기산을 넣어 충분히 혼합하고, 다면체 결정면을 가지며 소정의 연마 입자의 평균 크기를 갖는 습식 세리아 입자를 연마제로 소정량 측량하여 투입하여 균일하게 혼합하였다. 또한, 제1 연마 억제제로 폴리프로필렌글리콜-폴리에틸렌글리콜-폴리프로필렌글리콜 공중합체(PEP: polypropyleneglycol-b-polyethyleneglycol-b-polypropyleneglycol)를 용기에 소정량 투입한 후 균일하게 혼합하였다. 이어서, 질산 등의 pH 조절제를 용기에 투입하여 제1 슬러리의 pH를 조절하였다.In order to prepare the first slurry, a container for preparing the first slurry is prepared, and a desired amount of DI water, an anionic dispersant, and an organic acid as a dispersion stabilizer are added to the container to thoroughly mix the mixture. Of wet ceria particles having an average size of abrasive grains were weighed in a predetermined amount with an abrasive, and the mixture was homogeneously mixed. In addition, a predetermined amount of polypropylene glycol-polyethylene glycol-polypropylene glycol copolymer (PEP: polypropyleneglycol-b-polyethyleneglycol-b-polypropyleneglycol) was put into a container as a first polishing inhibitor and then mixed uniformly. Subsequently, the pH of the first slurry was adjusted by introducing a pH adjusting agent such as nitric acid into the vessel.

또한, 제2 슬러리를 제조하기 위하여는 제2 슬러리를 제조할 용기를 준비하고, 용기에 원하는 양의 초순수(DI Water)와 연마 촉진제 및 제2 연마 억제제를 소정량 측량하여 투입하여 균일하게 혼합하였다. 여기서, 연마 촉진제로는 아미노메틸프로판올(AMP: Aminomethyl propanol)을 사용하였으며, 제2 연마 억제제로는 폴리아크릴산(PAA: poly(acrylic acid))를 사용하였다. 이어서, 질산 등의 pH 조절제를 용기에 투입하여 제2 슬러리의 pH를 조절하였다.To prepare the second slurry, a vessel for preparing the second slurry was prepared, and a desired amount of DI water, a polishing accelerator and a second polishing inhibitor were weighed in a predetermined amount, and the vessel was uniformly mixed . Aminomethyl propanol (AMP) was used as a polishing accelerator, and polyacrylic acid (PAA) was used as a second polishing inhibitor. Subsequently, the pH of the second slurry was adjusted by introducing a pH adjusting agent such as nitric acid into the vessel.

이후, 용기에 희석제로 원하는 양의 초순수(DI Water)와 제1 슬러리 및 제2 슬러리를 혼합하여 산화물 슬러리를 제조하였다. 이러한 각 물질들의 투입 및 혼합 순서는 특별히 한정되지 않는다.Then, a desired amount of DI water, the first slurry and the second slurry were mixed with a diluent in the vessel to prepare an oxide slurry. The order of addition and mixing of each of these materials is not particularly limited.

본 실험 예에서 산화 세륨 즉, 세리아 입자는 제1 슬러리 전체 중량에 대하여 5 중량% 함유되도록 투입하였고, 분산제 및 분산 안정제는 제1 슬러리 전체 중량에 대하여 각각 0.15 중량% 및 0.02 중량% 함유되도록 투입하였다. 또한, 제1 연마 억제제는 제1 슬러리의 전체 중량에 대하여 0 중량%에서 0.02 중량% 까지 다양하게 투입하였으며, 연마 촉진제 및 제2 연마 억제제는 제2 슬러리의 전체 중량에 대하여 각각 0 중량%에서 1.35 중량% 및 0 중량%에서 0.3 중량% 까지 다양하게 투입하였다.In this experiment, cerium oxide, that is, ceria particles were added so as to be contained in an amount of 5 wt% based on the total weight of the first slurry, and the dispersant and the dispersion stabilizer were added so as to contain 0.15 wt% and 0.02 wt% . The first polishing inhibitor was added in various amounts ranging from 0 wt% to 0.02 wt% with respect to the total weight of the first slurry. The polishing accelerator and the second polishing inhibitor were added in amounts of 0 wt% to 1.35 wt% Wt% and 0 wt% to 0.3 wt%.

즉, 제1 슬러리 및 제2 슬러리에 함유되는 제1 연마 억제제, 연마 촉진제 및 제2 연마 억제제의 투입량에 따라 복수의 제1 슬러리 및 제2 슬러리를 준비하였다. 제1 슬러리 및 제2 슬러리는 질산을 이용하여 각각의 pH가 6.5가 되도록 하였다. 상기 성분 외에 나머지는 불가피하게 들어간 불순물 및 순수를 포함할 수 있으며, 이와 같이 제조된 제1 슬러리 및 제2 슬러리는 초순수(DI Water)에 혼합하였으며, 제1 슬러리, 제2 슬러리 및 초순수를 0.7:0.8:3.5의 비율로 혼합하여 산화물 슬러리를 제조하였다.That is, a plurality of first slurry and second slurry were prepared according to the amounts of the first polishing inhibitor, the polishing accelerator, and the second polishing inhibitor contained in the first slurry and the second slurry. The first slurry and the second slurry were each adjusted to pH 6.5 using nitric acid. The first slurry and the second slurry thus prepared may be mixed with DI water, and the first slurry, the second slurry, and the ultra pure water may be mixed at a ratio of 0.7: 0.8: 3.5 to prepare an oxide slurry.

도 1은 본 발명 실시 예에서 제1 연마 억제제를 다양하게 투입한 슬러리의 연마 결과를 나타내는 표이고, 도 2는 제1 연마 억제제의 농도에 따른 산화물의 연마율을 도시한 그래프이다. 또한, 도 3은 본 발명 실시 예에서 연마 촉진제를 다양하게 투입한 슬러리의 연마 결과를 나타내는 표이고, 도 4는 연마 촉진제의 농도에 따른 산화물의 연마율을 도시한 그래프이다. 도 5는 본 발명 실시 예에서 제2 연마 억제제를 다양하게 투입한 슬러리의 연마 결과를 나타내는 표이고, 도 6은 제2 연마 억제제의 농도에 따른 산화물의 연마율을 도시한 그래프이다. 여기서, 실리콘 산화물, 실리콘 질화물 및 폴리 실리콘의 연마율은 실리콘 산화막 웨이퍼, 실리콘 질화막 웨이퍼 및 폴리 실리콘막 웨이퍼를 각각 연마하여 산출한 것이고, 연마 선택비는 실리콘 산화막의 연마율과 실리콘 질화막 또는 폴리 실리콘막의 연마율의 비율이다. 즉, 실리콘 산화막의 연마율을 실리콘 질화막 또는 폴리 실리콘막의 연마율로 나눈 값이다.FIG. 1 is a table showing polishing results of a slurry into which various kinds of first polishing inhibitors are added in Examples of the present invention, and FIG. 2 is a graph showing polishing rates of oxides according to the concentration of a first polishing inhibitor. FIG. 3 is a table showing the polishing results of the slurry in which the polishing accelerator is variously added in the embodiment of the present invention, and FIG. 4 is a graph showing the polishing rate of the oxide according to the concentration of the polishing accelerator. FIG. 5 is a table showing the polishing results of the slurry into which the second polishing inhibitor is added in various examples of the present invention, and FIG. 6 is a graph showing the polishing rates of the oxides according to the concentration of the second polishing inhibitor. Here, the polishing rates of silicon oxide, silicon nitride, and polysilicon are calculated by polishing each of a silicon oxide film wafer, a silicon nitride film wafer, and a polysilicon film wafer. The polishing selectivity is determined by the polishing rate of the silicon oxide film and the polishing rate of the silicon nitride film or polysilicon film The ratio of polishing rate. That is, the polishing rate of the silicon oxide film is a value obtained by dividing the polishing rate of the silicon nitride film or the polysilicon film.

도 1 내지 도 2에서 알 수 있듯이, 제1 연마 억제제의 양이 증가하면 실리콘 산화물의 연마율과 실리콘 질화물 및 폴리 실리콘 모두 연마율이 전체적으로 감소하였다. 또한, 실리콘 산화물의 연마 속도는 실리콘 질화물의 연마 속도보다 빠르며, 실리콘 질화물의 연마 속도는 폴리 실리콘의 연마 속도보다 전체적으로 빠르게 조절됨을 알 수 있었다. 제1 연마 억제제는 폴리 실리콘의 연마율을 큰 폭으로 감소시키며, 이와 관련된 원리는 이미 설명하였다.As can be seen from Figs. 1 and 2, when the amount of the first polishing inhibitor is increased, the polishing rate of silicon oxide and the polishing rate of both silicon nitride and polysilicon are decreased as a whole. It was also found that the polishing rate of the silicon oxide was faster than the polishing rate of the silicon nitride, and the polishing rate of the silicon nitride was entirely faster than the polishing rate of the polysilicon. The first polishing inhibitor greatly reduces the polishing rate of the polysilicon, and the related principle has already been explained.

제1 슬러리에 포함되는 제1 연마 억제제의 함량이 0.002 중량%이고, 제2 슬러리에 포함되는 연마 촉진제 및 제2 연마 억제제의 함량이 각각 0.9 중량% 및 0.2 중량%인 경우 실리콘 산화물과 폴리 실리콘의 연마 선택비는 약 236으로, 제1 연마 억제제의 함량이 0 중량%인 경우의 연마 선택비인 46에 비하여 매우 높은 값을 나타내었다.When the content of the first polishing inhibitor contained in the first slurry is 0.002 wt% and the content of the polishing accelerator and the second polishing inhibitor contained in the second slurry are respectively 0.9 wt% and 0.2 wt%, the content of the silicon oxide and the polysilicon The polishing selectivity ratio was about 236, which was much higher than the polishing selectivity ratio 46 when the content of the first polishing inhibitor was 0 wt%.

또한, 도 3 내지 도 4에서 알 수 있듯이, 연마 촉진제의 양이 증가하면 실리콘 질화물과 폴리 실리콘의 연마율은 다소 감소하나, 실리콘 산화물의 연마율은 크게 증가하였다. 이와 관련된 원리는 이미 설명하였으며, 제1 슬러리에 포함되는 제1 연마 억제제의 함량이 0.01 중량%이고, 제2 슬러리에 포함되는 연마 촉진제 및 제2 연마 억제제의 함량이 각각 0.1 중량% 및 0.2 중량%인 경우 실리콘 산화물과 실리콘 질화물의 연마 선택비는 25이고, 실리콘 산화물과 폴리 실리콘의 연마 선택비는 153으로, 연마 촉진제의 함량이 0 중량%인 경우의 실리콘 산화물과 실리콘 질화물의 연마 선택비인 13 및 실리콘 산화물과 폴리 실리콘의 연마 선택비인 92에 비하여 매우 높은 값을 나타내었다.As can be seen from FIGS. 3 to 4, when the amount of the polishing accelerator is increased, the polishing rate of silicon nitride and polysilicon is somewhat reduced, but the polishing rate of silicon oxide is greatly increased. The content of the first polishing inhibitor contained in the first slurry is 0.01 wt%, the content of the polishing accelerator and the second polishing inhibitor contained in the second slurry are 0.1 wt% and 0.2 wt%, respectively, , The polishing selectivity ratio of the silicon oxide and the silicon nitride is 25, the polishing selectivity ratio of the silicon oxide and the polysilicon is 153, and the polishing selectivity 13 of the silicon oxide and the silicon nitride when the content of the polishing accelerator is 0 wt% Which is very high compared to the polishing selectivity 92 of silicon oxide and polysilicon.

뿐만 아니라, 도 5 내지 도 6에서 알 수 있듯이, 제2 연마 억제제의 양이 증가하면 실리콘 산화물의 연마율과 실리콘 질화물 및 폴리 실리콘 모두 연마율이 전체적으로 감소하였다. 제2 연마 억제제는 실리콘 산화물 및 실리콘 질화물의 연마율을 큰 폭으로 감소시키며, 이 중 실리콘 질화물의 연마율 감소율이 가장 큰 것을 알 수 있었다. 이와 관련된 원리는 이미 설명하였다.In addition, as can be seen from FIGS. 5 to 6, when the amount of the second polishing inhibitor is increased, the polishing rate of silicon oxide and the polishing rate of both silicon nitride and polysilicon are decreased as a whole. The second polishing inhibitor greatly reduces the polishing rate of silicon oxide and silicon nitride, and the polishing rate reduction rate of silicon nitride is the largest. The principles involved have already been explained.

즉, 제1 슬러리에 포함되는 제1 연마 억제제의 함량이 0.01 중량%이고, 제2 슬러리에 포함되는 연마 촉진제 및 제2 연마 억제제의 함량이 각각 0.9 중량% 및 0.15 중량%인 경우 실리콘 산화물과 실리콘 질화물의 연마 선택비는 약 30으로, 제2 연마 억제제의 함량이 0 중량%인 경우의 연마 선택비인 5에 비하여 매우 높은 값을 나타내었다.That is, when the content of the first polishing inhibitor contained in the first slurry is 0.01% by weight and the content of the polishing accelerator and the second polishing inhibitor contained in the second slurry are respectively 0.9% by weight and 0.15% by weight, The polishing selectivity ratio of the nitride was about 30, which was much higher than the polishing selectivity of 5 when the content of the second polishing inhibitor was 0 wt%.

도 7은 별도의 실험에 의하여 제1 연마 억제제의 종류에 따른 산화물의 연마율을 비교하기 위한 그래프이고, 도 8은 제1 연마 억제제의 종류에 따른 산화물의 연마 결과를 나타내는 표이다.FIG. 7 is a graph for comparing the polishing rate of the oxide according to the type of the first polishing inhibitor according to another experiment, and FIG. 8 is a table showing the polishing result of the oxide according to the type of the first polishing inhibitor.

도 7 및 도 8에서 알 수 있듯이, 제1 연마 억제제로 폴리 실리콘에 대한 결합력이 우수한 물질로서 소수성(hydrophobic) 기와 친수성(hydrophilic) 기를 동시에 가지는 비이온계 물질인 폴리프로필렌글리콜-폴리에틸렌글리콜-폴리프로필렌글리콜 공중합체(PEP: polypropyleneglycol-b-polyethyleneglycol-b-polypropyleneglycol)(이하, PEP라 함)를 사용하는 경우 종래의 폴리 실리콘의 연마 억제제로 사용하는 폴리비닐피롤리돈(PVP: poly vinyl pyrrolidone)(이하, PVP라 함)에 비하여, 실리콘 산화물의 연마율 감소 폭이 -9.4에서 -6.7로 더 작아질 뿐만 아니라, 폴리 실리콘의 연마율은 오히려 더 많이 감소하는 것을 알 수 있었다.As can be seen from FIGS. 7 and 8, the non-ionic material polypropylene glycol-polyethylene glycol-polypropylene (PEI) having both a hydrophobic group and a hydrophilic group as the first polishing inhibitor, Polyvinylpyrrolidone (PVP) (polyvinylpyrrolidone) (hereinafter referred to as " polyvinylpyrrolidone ") which is used as a polishing inhibitor of conventional polysilicon is used in the case of using polypropylene glycol-polypropylene glycol- (Hereinafter referred to as " PVP "), the reduction rate of the polishing rate of the silicon oxide is smaller than -9.4 to -6.7, and the polishing rate of the polysilicon is rather reduced.

제1 연마 억제제로 PEP를 사용하는 경우에 종래 PVP를 사용하는 경우에 비하여 실리콘 산화물의 연마율 감속 폭이 작아지는 이유는 도 9 및 도 10으로부터 알 수 있다. 즉, 도 9는 세리아 입자에 PVP 및 PEP를 각각 첨가한 경우 세리아 입자의 표면 전위, 즉 제타 포텐셜 값을 나타낸 표이고, 도 10은 상기 제타 포텐셜의 변화를 비교하기 위한 그래프이다.The reason why the reduction rate of the polishing rate of silicon oxide is smaller than that in the case of using PEP as the first polishing inhibitor in the case of using the conventional PVP can be found from Figs. 9 and 10. That is, FIG. 9 is a table showing surface potentials, that is, zeta potential values, of ceria particles when PVP and PEP are respectively added to ceria particles, and FIG. 10 is a graph for comparing changes in zeta potential.

도 9 및 도 10에서 알 수 있듯이, 슬러리 내의 세리아 입자의 표면 전위는 -45 내지 -50 mV의 범위의 값을 가지며, 비이온성인 PEP 또는 PVP를 슬러리 내에 첨가하는 경우 세리아 입자의 표면 전위는 증가하게 된다. 여기서, 농도에 따른 표면 전위 증가율을 보면, PVP의 경우 약 127의 기울기 값을 가져, 28의 기울기 값을 가지는 PEP에 비하여 표면 전위의 증가율이 크다. 따라서, 산화물 연마시 산화물의 표면 전위는 -50 내지 -60 mV의 범위의 값을 가지므로 PVP의 경우 PEP에 비하여 산화물과의 정전기력(electrostatic force), 즉 정전기적 척력이 적게 작용하여 산화물과 흡착이 되기 쉽다. 따라서, 상기와 같은 정전기력 차이에 의하여 PEP의 실리콘 산화물의 연마율 감소폭이 PVP에 비하여 작아지게 된다.9 and 10, the surface potential of the ceria particles in the slurry has a value in the range of -45 to -50 mV, and when the nonionic PEP or PVP is added into the slurry, the surface potential of the ceria particles increases . In this case, the rate of increase of the surface potential according to the concentration has a slope value of about 127 in the case of PVP, and the rate of surface potential increase is larger than that of the PEP having the slope value of 28. Therefore, since the surface potential of the oxide in polishing the oxide has a value in the range of -50 to -60 mV, the electrostatic force with the oxide, that is, the electrostatic repulsion force is smaller than that of the PEP in the case of PVP, . Therefore, the reduction ratio of the polishing rate of the silicon oxide of the PEP is smaller than that of the PVP due to the difference in the electrostatic force.

또한, 제1 연마 억제제로 PEP를 0.005 중량%로 함유하는 경우의 실리콘 산화물 대 폴리 실리콘의 연마 선택비는 160으로, 같은 양의 PVP를 함유하는 경우의 실리콘 산화물 대 폴리 실리콘의 연마 선택비인 11에 비하여 월등하게 높은 연마 선택비를 가짐을 알 수 있었다.The polishing selectivity ratio of the silicon oxide to the polysilicon in the case of containing PEP as the first polishing inhibitor at 0.005 wt% was 160, and the polishing selectivity of silicon oxide to polysilicon in the case of containing the same amount of PVP was 11 It was found that the polishing selectivity ratio was much higher than that of the comparative example.

따라서, 종래의 폴리 실리콘의 연마 억제제로 사용되었던 PVP는 실리콘 산화물의 연마율을 급격하게 감소시켜 최적의 연마 선택비의 범위를 찾기가 어려운 반면에, 본 발명 실시 예에 따른 PEP를 제1 연마 억제제로 사용하는 경우에는 실리콘 산화물의 연마율을 완만하게 감소시켜 실리콘 산화막의 연마율 조절이 용이할 뿐만 아니라 실리콘 산화물 대 폴리 실리콘의 연마 선택비를 향상시켜 고선택비를 가지도록 조절할 수 있게 된다.Therefore, PVP, which has been used as a polishing inhibitor of conventional polysilicon, has a difficulty in finding a range of optimal polishing selectivity by drastically reducing the polishing rate of silicon oxide, while the PEP according to the embodiment of the present invention is applied to the first polishing inhibitor It is possible to control the polishing rate of the silicon oxide film easily and to improve the polishing selectivity ratio of the silicon oxide to the polysilicon so as to have a high selectivity ratio.

본 발명의 실시 예에 따른 슬러리는 반도체 소자의 제조 공정에서 산화물의 연마 공정에 이용할 수 있다. 예를 들어 플래시 메모리 소자의 제조 과정에서 소자 분리막을 형성하기 위하여 폴리 실리콘막을 연마 정지막으로 사용하고, 폴리 실리콘막 상에 실리콘 질화막을 하드 마스크로 이용하여 패턴을 형성할 수 있다. 따라서, 이러한 패턴이 형성된 복수의 이종 물질층 상에 실리콘 산화막을 형성하는 경우 연마할 패턴 및 연마 정지막의 종류에 따라 적절한 연마 선택비를 가지는 슬러리를 선택하여 연마 공정을 실시할 수 있다. 즉, 실리콘 산화막에 대하여 높은 연마율을 가질 뿐만 아니라, 실리콘 질화막에 대하여 연마 속도를 감소시키고 폴리 실리콘막에서 연마를 정지시키기 위하여 실리콘 질화막의 연마율을 폴리 실리콘막의 연마율보다 일정 수준 높게 유지하는 최적 범위의 연마 선택비를 가지는 슬러리를 반도체 소자의 제조 공정에 이용할 수 있다. 이러한 본 발명의 슬러리를 이용한 반도체 소자의 제조 방법을 도 11을 이용하여 설명하면 다음과 같다. 이하의 설명에서 전술한 슬러리와 관련하여 이미 설명한 내용과 중복되는 설명은 생략하기로 한다.The slurry according to an embodiment of the present invention can be used for polishing an oxide in a semiconductor device manufacturing process. For example, a polysilicon film may be used as a polishing stopper film and a silicon nitride film may be used as a hard mask on a polysilicon film to form a device isolation film in a manufacturing process of a flash memory device. Therefore, when a silicon oxide film is formed on a plurality of heterogeneous material layers having such a pattern, a slurry having an appropriate polishing selectivity can be selected and polished depending on the pattern to be polished and the type of the polish stopper film. That is, in order not only to have a high polishing rate for the silicon oxide film but also to reduce the polishing rate for the silicon nitride film and stop the polishing in the polysilicon film, the polishing rate of the silicon nitride film is optimized to be higher than the polishing rate of the polysilicon film It is possible to use a slurry having a polishing selectivity ratio in the range of 10 to 20 wt%. A method of manufacturing a semiconductor device using the slurry of the present invention will be described with reference to FIG. In the following description, a description overlapping with the above-described description of the slurry will be omitted.

도 11은 본 발명의 일 실시 예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도이다. 도 11(a)를 참조하면, 기판(110) 상에 제1 물질층(120) 및 제2 물질층(130)을 형성한다. 여기서, 기판(110)은 반도체 소자의 제조에 이용되는 다양한 기판을 이용할 수 있는데, 실리콘 기판을 이용할 수 있다. 기판(110) 상에는 제1 물질층(120) 및 제2 물질층(130)이 형성되는데, 제1 물질층(120)은 연마 정지막으로 사용되는, 예를 들면, 폴리 실리콘 계열의 물질을 이용하여 형성할 수 있으며, 제2 물질층(130)은 패턴 형성을 위한 마스크층으로 사용되는, 예를 들면 실리콘 질화물 계열의 물질을 이용하여 형성할 수 있다. 또한, 제1 물질층(120) 및 제2 물질층(130)은 PVD(Physical Vapor Deposition) 방법, CVD(Chemical Vapor Deposition) 방법, MOCVD(Metal Organic CVD) 방법, ALD(Atomic Layer Deposition) 방법 또는 CVD 방법과 ALD 방법을 혼합한 AL-CVD 방법을 이용하여 형성할 수 있다. 11 is a cross-sectional view illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention. Referring to FIG. 11 (a), a first material layer 120 and a second material layer 130 are formed on a substrate 110. Here, the substrate 110 can be a variety of substrates used for manufacturing semiconductor devices, and a silicon substrate can be used. A first material layer 120 and a second material layer 130 are formed on the substrate 110. The first material layer 120 may be a polystyrene based material used as a polishing stopper film, And the second material layer 130 may be formed using, for example, a silicon nitride material, which is used as a mask layer for pattern formation. The first material layer 120 and the second material layer 130 may be formed by a physical vapor deposition (PVD) method, a CVD (Chemical Vapor Deposition) method, an MOCVD (Metal Organic CVD) method, an ALD (Atomic Layer Deposition) CVD method and an AL-CVD method in which a CVD method and an ALD method are mixed.

도 11(b)를 참조하면, 상기 기판(110) 상에 제1 물질층(120)을 형성한 후 제1 물질층(120) 상에 제2 물질층(130)을 마스크로 이용하여 기판을 소정 깊이로 식각하여 패턴(115)을 형성한다. 패턴(115)은 소자 분리막을 형성하기 위한 라인 형상의 트렌치일 수 있다.Referring to FIG. 11 (b), a first material layer 120 is formed on the substrate 110, and then a second material layer 130 is used as a mask on the first material layer 120, And the pattern 115 is formed by etching to a predetermined depth. The pattern 115 may be a line-shaped trench for forming an element isolation film.

그리고, 도 11(c)에 나타낸 바와 같이, 패턴(115)를 포함하는 제2 물질층(130)의 전체면 상에 패턴(115)이 매립되도록 산화물층(140)을 형성한다.Then, as shown in Fig. 11 (c), the oxide layer 140 is formed so that the pattern 115 is embedded on the entire surface of the second material layer 130 including the pattern 115. Next, as shown in Fig.

도 11(d)를 참조하면, 산화물층(140)에 대하여 높은 연마율을 가질 뿐만 아니라, 제2 물질층(130)에 대하여 연마 속도를 감소시키고 제1 물질층(120)에서 연마를 정지시키기 위하여 제2 물질층(130)의 연마율을 제1 물질층(120)의 연마율보다 일정 수준 높게 유지하는 최적 범위의 연마 선택비를 가지는 슬러리를 이용하여 산화물층(140) 및 제2 물질층(130)을 연마한다. 제2 물질층(130)은 소자의 특성 향상을 위하여 산화물층(140)의 연마와 함께 제거될 필요가 있으며, 슬러리는 산화물층(140) 대 제1 물질층(120)의 연마 선택비를 100:1 내지 300:1의 범위로 유지하고, 산화물층(140) 대 제2 물질층(130)의 연마 선택비를 20:1 내지 60:1의 범위로 유지한다.Referring to Figure 11 (d), not only does it have a high polishing rate for the oxide layer 140, it also reduces the polishing rate for the second material layer 130 and stops polishing in the first material layer 120 A slurry having an optimum range of polishing selectivity to maintain the polishing rate of the second material layer 130 at a level that is higher than the polishing rate of the first material layer 120 is used to form the oxide layer 140 and the second material layer 130. [ (130). The second material layer 130 needs to be removed along with the polishing of the oxide layer 140 to improve the characteristics of the device and the slurry has a polishing selectivity ratio of the oxide layer 140 to the first material layer 120 of 100 : 1 to 300: 1, and the polishing selectivity of the oxide layer 140 to the second material layer 130 is maintained in the range of 20: 1 to 60: 1.

연마 과정은 pH 4 내지 8의 영역에서 진행되며, 세리아 연마 입자에 의하여 산화물층(140)을 연마하는 과정, 연마 촉진제의 아민기가 해리되어 NH3 + 기가 생성되는 과정, 제2 연마 억제제의 카르복실기가 해리되어 COO- 기가 생성되는 과정 및 제1 연마 억제제의 소수성기가 흡착하여 패시베이션막을 형성하는 과정을 포함한다. 생성된 NH3 + 기는 상기에서 설명한 바와 같이 용액 내에서 마이너스 차지를 띠는 실리콘 산화막(SiOH-)과 작용하여 산화막의 연마를 촉진시키고, 생성된 COO- 기는 실리콘 질화막의 연마를 억제시킨다. 또한, 제1 연마 억제제의 소수성기는 폴리 실리콘의 막 표면에 흡착하고 패시베이션막을 형성하여 폴리 실리콘의 연마율을 억제시키고 실리콘 산화막의 연마율을 완만하게 감소시켜, 실리콘 산화막의 연마율 조절이 용이할 뿐만 아니라 실리콘 산화물 대 폴리 실리콘의 연마 선택비를 향상시켜 고선택비를 가지도록 조절할 수 있다.The polishing process proceeds in the range of pH 4 to 8, and the process of polishing the oxide layer 140 by the ceria abrasive particles, the process in which the amine group of the polishing promoter dissociates to produce the NH 3 + group, the carboxyl group of the second polishing inhibitor Dissociating to form a COO - group, and a process in which a hydrophobic group of the first polishing inhibitor adsorbs to form a passivation film. The resulting NH 3 + group acts on the silicon oxide film (SiOH - ) having a negative charge in the solution as described above, thereby promoting the polishing of the oxide film, and the COO - group generated suppresses the polishing of the silicon nitride film. In addition, the hydrophobic group of the first polishing inhibitor adsorbs on the surface of the polysilicon film to form a passivation film, thereby suppressing the polishing rate of the polysilicon and gently decreasing the polishing rate of the silicon oxide film to easily control the polishing rate of the silicon oxide film But the polishing selectivity ratio of the silicon oxide to the polysilicon can be improved so as to have a high selectivity.

상기에서, 본 발명의 바람직한 실시 예가 특정 용어들을 사용하여 설명 및 도시되었지만 그러한 용어는 오로지 본 발명을 명확하게 설명하기 위한 것일 뿐이며, 본 발명의 실시 예 및 기술된 용어는 다음의 청구범위의 기술적 사상 및 범위로부터 이탈되지 않고서 여러 가지 변경 및 변화가 가해질 수 있는 것은 자명한 일이다. 이와 같이 변형된 실시 예들은 본 발명의 사상 및 범위로부터 개별적으로 이해되어져서는 안 되며, 본 발명의 청구범위 안에 속한다고 해야 할 것이다.While the preferred embodiments of the present invention have been described and illustrated above using specific terms, such terms are used only for the purpose of clarifying the invention, and the embodiments of the present invention and the described terminology are intended to be illustrative, It will be obvious that various changes and modifications can be made without departing from the spirit and scope of the invention. Such modified embodiments should not be individually understood from the spirit and scope of the present invention, but should be regarded as being within the scope of the claims of the present invention.

110: 기판 120: 제1 물질층
130: 제2 물질층 140: 산화물층
110: substrate 120: first material layer
130: second material layer 140: oxide layer

Claims (21)

산화물 연마용 슬러리로서,
연마를 수행하는 연마제와, 상기 연마제를 분산시키는 분산제와, 상기 산화물과 상이한 제1 물질의 연마를 억제하는 제1 연마 억제제를 포함하는 제1 슬러리; 및
상기 산화물의 연마를 촉진하는 연마 촉진제와, 상기 산화물 및 상기 제1 물질과 상이한 제2 물질의 연마를 억제하는 제2 연마 억제제를 포함하는 제2 슬러리를 포함하고,
상기 제1 물질은 폴리 실리콘을 포함하고,
상기 제2 물질은 질화물을 포함하고,
상기 제1 물질에 대한 연마율은 20 Å/min 이하의 값을 가지는 슬러리.
As the oxide polishing slurry,
A first slurry including an abrasive for performing polishing, a dispersing agent for dispersing the abrasive, and a first polishing inhibitor for inhibiting polishing of the first material different from the oxide; And
And a second slurry containing a polishing accelerator for promoting polishing of the oxide and a second polishing inhibitor for inhibiting polishing of the oxide and a second material different from the first material,
Wherein the first material comprises polysilicon,
Wherein the second material comprises nitride,
Wherein the polishing rate for the first material is 20 A / min or less.
삭제delete 청구항 1에 있어서,
상기 제1 슬러리 및 제2 슬러리는 1:0.5 내지 1:1.5의 비율로 혼합되는 슬러리.
The method according to claim 1,
Wherein the first slurry and the second slurry are mixed at a ratio of 1: 0.5 to 1: 1.5.
청구항 1에 있어서,
상기 연마제는 산화 세륨(세리아) 입자를 포함하고, 상기 제1 슬러리 전체 중량에 대하여 0.1 중량% 내지 10 중량%로 포함되는 슬러리.
The method according to claim 1,
The slurry contains cerium oxide (ceria) particles in an amount of 0.1 wt% to 10 wt% based on the total weight of the first slurry.
청구항 1, 청구항 3 및 청구항 4 중 어느 한 항에 있어서,
상기 산화물 대 상기 제1 물질의 연마 선택비는 100:1 내지 300:1의 범위이고, 상기 산화물 대 상기 제2 물질의 연마 선택비는 20:1 내지 60:1의 범위인 슬러리.
The method of claim 1, 3, or 4,
Wherein the polishing selectivity ratio of the oxide to the first material is in the range of 100: 1 to 300: 1, and the polishing selectivity ratio of the oxide to the second material is in the range of 20: 1 to 60:
청구항 1에 있어서,
상기 제1 연마 억제제의 함유량은 상기 연마 촉진제의 함유량보다 적은 슬러리.
The method according to claim 1,
Wherein the content of the first polishing inhibitor is less than the content of the polishing accelerator.
청구항 1에 있어서,
상기 제1 연마 억제제의 함유량은 상기 제2 연마 억제제의 함유량보다 적은 슬러리.
The method according to claim 1,
Wherein the content of the first polishing inhibitor is less than the content of the second polishing inhibitor.
청구항 1 또는 청구항 6에 있어서,
상기 제1 연마 억제제는 상기 제1 슬러리 전체 중량에 대하여 0.002 중량% 내지 0.02 중량%로 포함되는 슬러리.
The method according to claim 1 or 6,
Wherein the first polishing inhibitor is contained in an amount of 0.002 wt% to 0.02 wt% with respect to the total weight of the first slurry.
청구항 1 또는 청구항 6에 있어서,
상기 연마 촉진제는 상기 제2 슬러리 전체 중량에 대하여 0.1 중량% 내지 1.35 중량%로 포함되는 슬러리.
The method according to claim 1 or 6,
Wherein the polishing accelerator is contained in an amount of 0.1 wt% to 1.35 wt% with respect to the total weight of the second slurry.
청구항 1 또는 청구항 7에 있어서,
상기 제2 연마 억제제는 상기 제2 슬러리 전체 중량에 대하여 0.15 중량% 내지 1 중량%로 포함되는 슬러리.
The method of claim 1 or claim 7,
And the second polishing inhibitor is contained in an amount of 0.15 wt% to 1 wt% with respect to the total weight of the second slurry.
청구항 1에 있어서,
상기 제1 연마 억제제는 소수성(hydrophobic) 기와 친수성(hydrophilic) 기를 함께 가지는 비이온계 물질을 포함하는 슬러리.
The method according to claim 1,
Wherein the first polishing inhibitor comprises a nonionic material having a hydrophobic group and a hydrophilic group.
청구항 1, 3, 4, 6, 7 및 11 중 어느 한 항에 있어서,
상기 제1 연마 억제제는 폴리프로필렌글리콜-폴리에틸렌글리콜-폴리프로필렌글리콜 공중합체(PEP: polypropyleneglycol-b-polyethyleneglycol-b-polypropyleneglycol), 폴리솔베이트(polysorbates), 옥토시놀(octoxynol), 폴리에틸렌글리콜(polyethyleneglycol) 옥타데실에테르(octadecyl ether), 노닐페놀에톡실레이트(nonylphenol ethoxylate), 에틸렌옥사이드(ethylene oxide), 글리콜산(glycolic acid), 글리세롤에톡실레이트(glycerol ethoxylate) 중 적어도 하나를 포함하는 슬러리.
The method according to any one of claims 1, 3, 4, 6, 7 and 11,
The first polishing inhibitor may be at least one selected from the group consisting of polypropylene glycol-polyethylene glycol-polypropylene glycol (PEP), polysorbates, octoxynol, polyethylene glycol ) Slurry comprising at least one of octadecyl ether, nonylphenol ethoxylate, ethylene oxide, glycolic acid, and glycerol ethoxylate.
청구항 1에 있어서,
상기 연마 촉진제는 하이드록실기와 아민기를 가지고 있는 알카놀아민(Alkanolamine) 계열의 단분자 물질을 포함하는 슬러리.
The method according to claim 1,
Wherein the abrasive accelerator is a slurry containing an alkanolamine monomolecular material having a hydroxyl group and an amine group.
청구항 1, 3, 4, 6, 7 및 13 중 어느 한 항에 있어서,
상기 연마 촉진제는 아미노메틸 프로판올(AMP: Aminomethyl propanol), 에탄올아민(Ethanolamine), 헵타미놀(Heptaminol), 이소에타린(Isoetharine), 메탄올아민(Methanolamine),다이에틸에탄올아민(Diethylethanolamine) 및 메킬에탄올아민(N-methylethanolamine) 중 적어도 하나를 포함하는 슬러리.
The method according to any one of claims 1, 3, 4, 6, 7 and 13,
The polishing accelerator may be selected from the group consisting of aminomethyl propanol (AMP), ethanolamine, heptaminol, isoetharine, methanolamine, diethylethanolamine, Amine (N-methylethanolamine).
청구항 1에 있어서,
상기 제2 연마 억제제는 카르복실기를 가지는 음이온계 물질을 포함하는 슬러리.
The method according to claim 1,
Wherein the second polishing inhibitor comprises an anionic material having a carboxyl group.
청구항 1, 3, 4, 6, 7 및 15 중 어느 한 항에 있어서,
상기 제2 연마 억제제는 폴리아크릴산(PAA: poly(acrylic acid)), 폴리알킬메타크릴레이트(poly(alkyl methacrylate)), 아크릴아미드(acrylamide), 메타크릴아미드(methacrylamide) 및 에틸-메타크릴아미드(ethyl-methacrylamide) 중 적어도 하나를 포함하는 슬러리.
The method according to any one of claims 1, 3, 4, 6, 7 and 15,
The second polishing inhibitor may be selected from the group consisting of polyacrylic acid (PAA), poly (alkyl methacrylate), acrylamide, methacrylamide, and ethyl-methacrylamide ethyl-methacrylamide).
기판 연마 방법으로서,
산화물층 및 산화물 이외의 복수의 이종 물질로 형성되는 이종 물질층이 형성된 기판을 마련하는 과정;
연마제와, 상기 연마제를 분산시키는 분산제 및 상기 복수의 이종 물질 중 제1 물질의 연마를 억제하는 제1 연마 억제제를 포함하는 제1 슬러리를 마련하는 과정;
상기 산화물의 연마를 촉진하는 연마 촉진제 및 상기 복수의 이종 물질 중 제2 물질의 연마를 억제하는 제2 연마 억제제를 포함하는 제2 슬러리를 마련하는 과정; 및
상기 제1 슬러리 및 제2 슬러리를 상기 기판 상에 공급하면서 상기 산화물층을 연마하는 과정을 포함하고,
상기 제1 물질은 폴리 실리콘을 포함하고,
상기 제2 물질은 질화물을 포함하고,
상기 연마 과정은, 상기 제1 물질에 대한 연마율을 20 Å/min 이하의 값을 가지도록 유지하는 기판 연마 방법.
As a substrate polishing method,
Providing a substrate on which a hetero-material layer formed of a plurality of heterogeneous materials other than an oxide layer and an oxide is formed;
Providing a first slurry comprising an abrasive, a dispersing agent for dispersing the abrasive, and a first polishing inhibitor for inhibiting polishing of the first material among the plurality of different materials;
Preparing a second slurry including a polishing accelerator that promotes polishing of the oxide and a second polishing inhibitor that inhibits polishing of the second material among the plurality of the dissimilar materials; And
And polishing the oxide layer while supplying the first slurry and the second slurry onto the substrate,
Wherein the first material comprises polysilicon,
Wherein the second material comprises nitride,
Wherein the polishing step maintains the polishing rate for the first material at 20 A / min or less.
청구항 17에 있어서,
상기 기판을 마련하는 과정은,
상기 기판 상에 상기 제1 물질로 형성되는 제1 물질층을 형성하는 과정;
상기 제1 물질층 상에 상기 제2 물질로 형성되는 제2 물질층을 형성하는 과정;
상기 제1 물질층 및 제2 물질층에 트렌치를 형성하는 과정; 및
상기 트렌치를 포함하는 전체면 상에 산화물층을 형성하는 과정을 포함하는 기판 연마 방법.
18. The method of claim 17,
The step of providing the substrate may include:
Forming a first material layer formed of the first material on the substrate;
Forming a second material layer formed of the second material on the first material layer;
Forming a trench in the first material layer and the second material layer; And
And forming an oxide layer on the entire surface including the trench.
청구항 17 또는 청구항 18에 있어서,
상기 연마 과정은, 상기 산화물층의 연마 속도를 상기 제2 물질의 연마 속도보다 빠르게 하고, 상기 제2 물질의 연마 속도를 상기 제1 물질의 연마 속도보다 빠르게 하는 기판 연마 방법.
The method according to claim 17 or 18,
Wherein the polishing step speeds up the polishing rate of the oxide layer to a rate higher than the polishing rate of the second material and makes the polishing rate of the second material higher than the polishing rate of the first material.
청구항 17 또는 청구항 18에 있어서,
상기 연마 과정은, 상기 산화물 대 상기 제1 물질의 연마 선택비를 100:1 내지 300:1의 범위로 유지하고, 상기 산화물 대 상기 제2 물질의 연마 선택비를 20:1 내지 60:1의 범위로 유지하는 기판 연마 방법.
The method according to claim 17 or 18,
Wherein the polishing step comprises maintaining the polishing selectivity ratio of the oxide to the first material in the range of 100: 1 to 300: 1, and the polishing selectivity ratio of the oxide to the second material in the range of 20: 1 to 60: 1 Wherein the substrate is polished.
청구항 17 또는 청구항 18에 있어서,
상기 연마 과정은
상기 제1 슬러리와 제2 슬러리를 1:0.5 내지 1:1.5의 비율로 혼합하여 상기 기판 상에 공급하는 기판 연마 방법.
The method according to claim 17 or 18,
The polishing process
Wherein the first slurry and the second slurry are mixed at a ratio of 1: 0.5 to 1: 1.5 and supplied to the substrate.
KR1020150136756A 2015-09-25 2015-09-25 Slurry and substrate polishing method using the same KR101693278B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020150136756A KR101693278B1 (en) 2015-09-25 2015-09-25 Slurry and substrate polishing method using the same
TW105129660A TWI657129B (en) 2015-09-25 2016-09-13 Slurry and substrate polishing method using the same
JP2016181253A JP6402153B2 (en) 2015-09-25 2016-09-16 Slurry and substrate polishing method using the same
CN201610847221.1A CN107011805B (en) 2015-09-25 2016-09-23 Slurry and substrate polishing method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150136756A KR101693278B1 (en) 2015-09-25 2015-09-25 Slurry and substrate polishing method using the same

Publications (1)

Publication Number Publication Date
KR101693278B1 true KR101693278B1 (en) 2017-01-05

Family

ID=57835729

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150136756A KR101693278B1 (en) 2015-09-25 2015-09-25 Slurry and substrate polishing method using the same

Country Status (4)

Country Link
JP (1) JP6402153B2 (en)
KR (1) KR101693278B1 (en)
CN (1) CN107011805B (en)
TW (1) TWI657129B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11203703B2 (en) 2018-03-20 2021-12-21 Samsung Display Co., Ltd. Polishing slurry and method of polishing substrate by using the polishing slurry
KR20230015013A (en) * 2021-07-22 2023-01-31 에스케이엔펄스 주식회사 Polishing compostion for semiconductor process and method for manufacturing semiconductor device by using the same

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019069370A1 (en) * 2017-10-03 2019-04-11 日立化成株式会社 Polishing liquid, polishing liquid set, polishing method, and defect inhibition method
US10584266B2 (en) * 2018-03-14 2020-03-10 Cabot Microelectronics Corporation CMP compositions containing polymer complexes and agents for STI applications
JP7133414B2 (en) * 2018-09-20 2022-09-08 株式会社フジミインコーポレーテッド Polishing composition
CN114621685A (en) * 2020-12-11 2022-06-14 安集微电子(上海)有限公司 Chemical mechanical polishing solution and use method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010005152A (en) * 1999-06-30 2001-01-15 김영환 Isolation usign chemical mechanical polishing
KR20090003985A (en) 2007-07-06 2009-01-12 삼성전자주식회사 Slurry composition for polishing silicon nitride, method of polishing a silicon nitride layer using the slurry composition and method of manufacturing a semiconductor device using the slurry composition
KR20130133968A (en) * 2012-05-30 2013-12-10 주식회사 케이씨텍 Polishing composition and slurry composition comprising the same
JP2015115360A (en) * 2013-12-09 2015-06-22 旭硝子株式会社 Polishing composition and polishing method

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3230986B2 (en) * 1995-11-13 2001-11-19 株式会社東芝 Polishing method, semiconductor device manufacturing method, and semiconductor manufacturing apparatus.
JP3371775B2 (en) * 1997-10-31 2003-01-27 株式会社日立製作所 Polishing method
JP4123685B2 (en) * 2000-05-18 2008-07-23 Jsr株式会社 Aqueous dispersion for chemical mechanical polishing
JP4546071B2 (en) * 2003-12-10 2010-09-15 パナソニック株式会社 Manufacturing method of semiconductor device
JP4784614B2 (en) * 2008-02-25 2011-10-05 Jsr株式会社 Aqueous dispersion for chemical mechanical polishing
JP5965906B2 (en) * 2010-09-08 2016-08-10 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se Aqueous polishing composition and method for chemical mechanical polishing of a substrate comprising a silicon oxide dielectric film and a polysilicon film
US8916061B2 (en) * 2012-03-14 2014-12-23 Cabot Microelectronics Corporation CMP compositions selective for oxide and nitride with high removal rate and low defectivity
US9279067B2 (en) * 2013-10-10 2016-03-08 Cabot Microelectronics Corporation Wet-process ceria compositions for polishing substrates, and methods related thereto
US9281210B2 (en) * 2013-10-10 2016-03-08 Cabot Microelectronics Corporation Wet-process ceria compositions for polishing substrates, and methods related thereto

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010005152A (en) * 1999-06-30 2001-01-15 김영환 Isolation usign chemical mechanical polishing
KR20090003985A (en) 2007-07-06 2009-01-12 삼성전자주식회사 Slurry composition for polishing silicon nitride, method of polishing a silicon nitride layer using the slurry composition and method of manufacturing a semiconductor device using the slurry composition
KR20130133968A (en) * 2012-05-30 2013-12-10 주식회사 케이씨텍 Polishing composition and slurry composition comprising the same
JP2015115360A (en) * 2013-12-09 2015-06-22 旭硝子株式会社 Polishing composition and polishing method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11203703B2 (en) 2018-03-20 2021-12-21 Samsung Display Co., Ltd. Polishing slurry and method of polishing substrate by using the polishing slurry
KR20230015013A (en) * 2021-07-22 2023-01-31 에스케이엔펄스 주식회사 Polishing compostion for semiconductor process and method for manufacturing semiconductor device by using the same
KR102638622B1 (en) 2021-07-22 2024-02-19 에스케이엔펄스 주식회사 Polishing compostion for semiconductor process and method for manufacturing semiconductor device by using the same

Also Published As

Publication number Publication date
TW201712100A (en) 2017-04-01
TWI657129B (en) 2019-04-21
CN107011805B (en) 2020-03-31
JP6402153B2 (en) 2018-10-10
CN107011805A (en) 2017-08-04
JP2017063190A (en) 2017-03-30

Similar Documents

Publication Publication Date Title
KR101693278B1 (en) Slurry and substrate polishing method using the same
KR102289577B1 (en) Mixed abrasive polishing compositions
JP2004529488A (en) Polishing system and method containing alkali metal
CN108026432B (en) Selective nitride slurries with improved stability and improved polishing characteristics
CN111492024B (en) Polishing slurry composition for STI process
EP1580802A1 (en) Slurry composition for chemical mechanical polishing, method for planarization of surface of semiconductor element using the same, and method for controlling selection ratio of slurry composition
CN113004803A (en) Polishing slurry composition capable of realizing multiple selection ratios
JP6581299B2 (en) CMP slurry composition and polishing method using the same
KR20180068424A (en) Chemical-mechanical polishing slurry composition and method for manufacturing semiconductor by using the same
KR20200051822A (en) Surface treated abrasive particles for tungsten buff applications
KR101733163B1 (en) Slurry and substrate polishing method using the same
US9758698B2 (en) Polishing slurry and substrate polishing method using the same
CN110317538B (en) Polishing slurry and method of polishing substrate by using the same
TW201606061A (en) Composition for polishing organic film and polishing method
KR101733164B1 (en) Slurry and substrate polishing method using the same
CN116134110A (en) Chemical mechanical polishing composition comprising anionic and cationic inhibitors
KR20220087492A (en) Polishing compositions and methods having higher selectivity for silicon nitride and polysilicon over silicon oxide
CN109593474A (en) Aqueous silicon dioxide slurry and amine carboxylic acid composition and its application method of the selection for nitride removal in polishing
CN113366071A (en) Compositions and methods for chemical mechanical polishing of silicon nitrides
KR20200037613A (en) Chemical-mechanical polishing slurry composition for polishing low temperature polysilicon hillock and low temperature polysilicon hillock polishing method using the same

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191227

Year of fee payment: 4