KR20160007338A - METAL GATE STACK HAVING TaAICN LAYER - Google Patents

METAL GATE STACK HAVING TaAICN LAYER Download PDF

Info

Publication number
KR20160007338A
KR20160007338A KR1020150026678A KR20150026678A KR20160007338A KR 20160007338 A KR20160007338 A KR 20160007338A KR 1020150026678 A KR1020150026678 A KR 1020150026678A KR 20150026678 A KR20150026678 A KR 20150026678A KR 20160007338 A KR20160007338 A KR 20160007338A
Authority
KR
South Korea
Prior art keywords
layer
work function
dielectric layer
gate
wetting
Prior art date
Application number
KR1020150026678A
Other languages
Korean (ko)
Other versions
KR101843227B1 (en
Inventor
시우코 장지안
팅춘 왕
치청 젱
치웬 리우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/328,299 external-priority patent/US9337303B2/en
Priority claimed from US14/532,228 external-priority patent/US9337192B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160007338A publication Critical patent/KR20160007338A/en
Application granted granted Critical
Publication of KR101843227B1 publication Critical patent/KR101843227B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/512Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being parallel to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

According to the present invention, an integrated circuit device comprises: a semiconductor substrate; and a gate stack arranged on the top of the semiconductor substrate. The gate stack further comprises: a gate dielectric layer arranged on the top of the semiconductor layer; a multi-functional blocking/wetting layer arranged on the top of the gate dielectric layer, and including a tantalum aluminum carbon nitride (TaAlCN); a work function layer arranged on the top of the multi-functional blocking/wetting layer; and a conductive layer arranged on the top of the work function layer.

Description

TaAlCN층을 갖는 금속 게이트 스택{METAL GATE STACK HAVING TaAICN LAYER}METAL GATE STACK HAVING < RTI ID = 0.0 > TaAICN LAYER < / RTI >

관련 출원의 상호 참조Cross reference of related application

본 출원은 2011년 9월 24일에 출원된 미국 특허 출원 제13/244,355호의 부분 계속 출원인, 2014년 7월 10일에 출원된 미국 특허 출원 제14/328,299호의 부분 계속 출원이고, 참조에 의해 그 전체 발명개시가 여기에 통합되는, 2014년 9월 26일에 출원된 미국 특허 출원 제62/056,278호에 우선권을 주장한다. This application is a continuation-in-part of U.S. Patent Application No. 14 / 328,299, filed on July 10, 2014, which is a continuation-in-part of U.S. Patent Application No. 13 / 244,355, filed September 24, 2011, Priority is claimed on U.S. Provisional Patent Application No. 62 / 056,278, filed September 26, 2014, the entire disclosure of which is incorporated herein by reference.

기술 분야Technical field

본 발명은 반도체 집적 회로에 관한 것이고, 보다 구체적으로는 TaAlCN층을 갖는 금속 게이트 스택에 관한 것이다.The present invention relates to a semiconductor integrated circuit, and more particularly to a metal gate stack having a TaAlCN layer.

반도체 집적 회로(integrated circuit, IC) 산업은 급속한 성장을 이루었다. IC 재료 및 설계에서의 기술 진보는 각 세대가 이전 세대보다 더 작고 더 복잡한 회로를 갖는 IC 세대를 생산하였다. 이러한 진보는 IC 처리 및 제조에서의 복잡성을 증가시켰고, 이러한 진보가 실현되기 위해서 IC 처리 및 제조에서 유사한 개발이 필요로 되었다. IC 진화의 과정에서 기하학적 크기[즉, 제조 공정을 사용하여 생성될 수 있는 최소 컴포넌트(또는 선)]는 감소되면서 기능적인 밀도(즉, 칩 면적당 상호 접속된 디바이스들의 개수)는 증가되었다. 이러한 스케일링 다운 공정은 일반적으로 생산 효율성을 증가시키고 관련 비용을 낮춤으로써 이점을 제공한다. 이러한 스케일링 다운은 또한 IC를 처리하고 제조하는데 있어서 복잡성을 증가시켜왔고, 이러한 진보들을 실현하기 위해서는, IC 처리 및 제조에 있어서 마찬가지의 개발이 필요로 되었다.The semiconductor integrated circuit (IC) industry has achieved rapid growth. Technological advances in IC materials and design have produced IC generations with each generation having smaller and more complex circuits than previous generations. This advancement has increased the complexity in IC processing and manufacturing, and similar advances in IC processing and manufacturing have been required for this advancement to be realized. In the course of IC evolution, the functional density (i.e., the number of interconnected devices per chip area) has been increased as the geometric size (i. E., The minimum component (or line) that can be created using the manufacturing process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering the associated costs. This scaling down has also increased the complexity in processing and manufacturing ICs, and similar developments in IC processing and manufacturing have been required to realize these advances.

종래의 게이트 스택은 게이트 유전체층, 게이트 유전체층 상부에 배치된 일함수층, 일함수층 상부에 배치된 탄탈 질화물(TaN) 차단층과 같은 차단층, 차단층 상부에 배치된 티타늄(Ti) 습윤층과 같은 습윤층, 및 습윤층 상부에 배치된 알루미늄(Al) 도전성 층과 같은 도전성 층을 포함한다. TaN 차단층은 바람직한 차단 능력 미만의 차단력을 제공하고, 처리 공정 동안에 알루미늄 도전층으로부터 알루미늄 불순물이 게이트 유전체층에 침투할 수 있다는 것이 관찰되었다. 또한, Ti 습윤층은 Al 도전성 층에 충분한 습윤성을 제공하지만, 처리 공정 동안에 Ti 습윤층과 Al 도전성 층 사이에서 상 변환(phase transformation)이 발생하고, 이것은 처리 공정 동안에 TaN 차단층의 부분들이 Ti와 상호작용하는 것으로 이어지고, 결국 TaN 차단층의 부분들이 누락하는 것으로 이어진다(다르게 말하면, 처리 공정 동안에 TaN 차단층의 부분들이 소모됨)는 것이 관찰되었다. TaN 차단층의 누락 부분들은 알루미늄 불순물이 게이트 유전체층에 침투하는 것을 방지하는 TaN 차단층의 능력을 더욱 최소화시킨다. 그러한 상 변환 및 TaN 차단층의 누락 부분들은 또한 게이트 스택이 탄탈 알루미늄(TaAl) 습윤층을 포함할 때 관찰되었다.Conventional gate stacks include a gate dielectric layer, a work function layer disposed over the gate dielectric layer, a barrier layer such as a tantalum nitride (TaN) barrier layer disposed over the work function layer, a titanium (Ti) wetting layer disposed over the barrier layer, The same wetting layer, and an aluminum (Al) conductive layer disposed over the wetting layer. It has been observed that the TaN barrier layer provides a blocking power below the desired blocking capability and aluminum impurities from the aluminum conductive layer can penetrate the gate dielectric layer during the processing process. In addition, while the Ti wetting layer provides sufficient wettability to the Al conductive layer, a phase transformation occurs between the Ti wetting layer and the Al conductive layer during the treatment process, (In other words, portions of the TaN barrier layer were consumed during the treatment process), leading to the interaction of the TaN barrier layer and eventually the portions of the TaN barrier layer. The missing portions of the TaN barrier layer further minimize the ability of the TaN barrier layer to prevent aluminum impurities from penetrating the gate dielectric layer. Such phase transitions and missing portions of the TaN barrier layer were also observed when the gate stack included a tantalum aluminum (TaAl) wetting layer.

이러한 문제를 해결하기 위해, 본 발명개시는 종래의 게이트 스택의 분리된 TaN 차단층 및 Ti 습윤층을 TaAlCN 다기능 차단/습윤층(242)으로 대체한다. TaAlN의 차단력은 TiN 및 TaN의 차단력을 초과한다(구체적으로, TaAlCN > TaAlC >> TaN의 차단력). 또한, TaAlCN은 Al 도전성 층에 대해 충분한 습윤성을 제공한다. 따라서, TaAlCN 다기능 차단/습윤층은 향상된 차단력 및 습윤성을 제공하고, 이것은 종래의 TaN 차단층/Ti 습윤층을 포함하는 게이트 스택에 비해 감소된 누설 전류 및 향상된 디바이스 성능으로 이어진다. 구체적으로는, TaAlCN는 다양한 실시예에 따른 집적 회로 디바이스(200) 및 그 제조 방법(100)에서 해결된 바와 같은, 형성, 입자/잔여물 문제의 관점에서, 예를 들어 티타늄 알루미늄 탄소 질화물(titanium aluminum carbon nitride; TiAlCN)을 포함하는, 다른 재료들보다 더 많은 도전 과제들을 갖는다. 상이한 실시예들이 상이한 이점을 가질 수 있고, 어떤 실시예에 반드시 필요로 되는 특별한 이점은 없다.To address this problem, the present disclosure replaces the isolated TaN barrier layer and the Ti wetting layer of a conventional gate stack with a TaAlCN multi-functional barrier / wetting layer 242. The blocking power of TaAlN exceeds the blocking power of TiN and TaN (concretely, the blocking power of TaAlCN> TaAlC >> TaN). In addition, TaAlCN provides sufficient wettability to the Al conductive layer. Thus, the TaAlCN multifunctional interfacial / wetting layer provides improved barrier and wettability, leading to reduced leakage current and improved device performance compared to gate stacks comprising a conventional TaN barrier layer / Ti wetting layer. Specifically, TaAlCN can be deposited on the surface of a substrate such as, for example, titanium aluminum carbon nitride (TiN), in view of formation, particle / residue problems, as resolved in integrated circuit device 200 and method 100 of manufacturing thereof, aluminum carbon nitride (TiAlCN)). Different embodiments may have different advantages, and there is no particular advantage that is necessarily required in certain embodiments.

본 발명개시는 많은 상이한 실시예들을 제공한다. 일부 실시예에 있어서, 집적 회로 디바이스는 반도체 기판 및 반도체 기판 상부에 배치된 게이트 스택을 포함한다. 게이트 스택은 반도체 기판 상부에 배치된 게이트 유전체층; 게이트 유전체층 상부에 배치된 다기능 차단/습윤층으로서 탄탈 알루미늄 탄소 질화물(tantalum aluminum carbon nitride; TaAlCN)을 포함하는 다기능 차단/습윤층; 다기능 차단/습윤층 상부에 배치된 일함수층; 및 일함수층 상부에 배치된 도전성 층을 더 포함한다.The present disclosure provides many different embodiments. In some embodiments, the integrated circuit device includes a semiconductor substrate and a gate stack disposed over the semiconductor substrate. The gate stack comprising: a gate dielectric layer disposed over the semiconductor substrate; A multifunctional blocking / wetting layer comprising tantalum aluminum carbon nitride (TaAlCN) as a multifunctional blocking / wetting layer disposed over the gate dielectric layer; A work function layer disposed on top of the multifunction interception / wetting layer; And a conductive layer disposed over the work function layer.

일부 다른 실시예에 있어서, 집적 회로 디바이스는 n채널 전계 효과 트랜지스터를 위한 제 1 영역, 및 p채널 전계 효과 트랜지스터를 위한 제 2 영역을 갖는 반도체 기판; 제 1 영역 내의 반도체 기판 상부에 배치된 제 1 게이트 스택; 및 제 2 영역 내의 반도체 기판 상부에 배치된 제 2 게이트 스택을 포함한다. 제 1 게이트 스택은 반도체 기판 상부에 배치된 하이-k 유전체층, 하이-k 유전체층 상부에 배치된 제 1 탄탈 알루미늄 탄소 질화물(tantalum aluminum carbon nitride; TaAlCN)층, 및 제 1 TaAlCN층 상에 직접 배치된 제 1 일함수를 갖는 n 일함수(n work function; nWF) 금속층을 포함한다. 제 2 게이트 스택은 반도체 기판 상부에 배치된 하이-k 유전체층, 하이-k 유전체층 상부에 배치된 제 1 TaAlCN층, 및 제 1 TaAlCN층 상에 직접 배치된 제 2 일함수를 갖는 p 일함수(p work function; pWF) 금속층을 포함하고, 제 2 일함수는 제 1 일함수보다 크다.In some other embodiments, an integrated circuit device includes a semiconductor substrate having a first region for an n-channel field effect transistor and a second region for a p-channel field effect transistor; A first gate stack disposed over the semiconductor substrate in the first region; And a second gate stack disposed over the semiconductor substrate in the second region. The first gate stack comprises a high-k dielectric layer disposed over the semiconductor substrate, a first tantalum aluminum carbon nitride (TaAlCN) layer disposed over the high-k dielectric layer, and a second tantalum aluminum nitride layer disposed directly on the first TaAlCN layer And a n work function (nWF) metal layer having a first work function. The second gate stack comprises a high-k dielectric layer disposed on top of the semiconductor substrate, a first TaAlCN layer disposed over the high-k dielectric layer, and a p-work function p (p) having a second work function disposed directly on the first TaAlCN layer. work function (pWF) metal layer, and the second work function is larger than the first work function.

일부 또다른 실시예에 있어서, 방법은 반도체 기판 상부에 게이트 스택을 형성하는 단계; 게이트 스택을 둘러싸는 층간 유전체(interlayer dielectric; ILD)층을 형성하는 단계; 게이트 스택을 적어도 부분적으로 제거하는 것에 의해 ILD층 내에 개구부를 형성하는 단계; 및 다기능 차단/습윤층, 다기능 차단/습윤층 상부의 일함수층, 및 일함수층 상부의 도전성 층을 형성하는 단계를 포함한다. 다기능 차단/습윤층, 일함수층, 및 도전성 층은 개구부를 충진한다. 다기능 차단/습윤층은 제 1 탄탈 알루미늄 탄소 질화물(TaAlCN)층을 포함한다.In some other embodiments, the method includes forming a gate stack over a semiconductor substrate; Forming an interlayer dielectric (ILD) layer surrounding the gate stack; Forming an opening in the ILD layer by at least partially removing the gate stack; And forming a multifunction intercepting / wetting layer, a workfunction layer over the multifunction interception / wetting layer, and a conductive layer over the workfunctioning layer. The multifunction interception / wetting layer, work function layer, and conductive layer fill the openings. The multi-functional barrier / wetting layer comprises a first tantalum aluminum carbon nitride (TaAlCN) layer.

본 개시는 첨부 도면과 함께 판독될 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 산업상 표준 시행에 따라 여러 도면은 일정한 비율로 그려지지 않았으며, 단지 예시의 목적으로만 사용됨이 강조된다. 실제, 여러 피쳐의 치수는 논의의 명료함을 위해 임의로 증감될 수 있다.
도 1은 본 개시의 다양한 양상에 따른 집적 회로 디바이스를 제조하는 방법의 흐름도이다.
도 2 내지 도 7은 본 개시의 다양한 양상에 의한 도 1의 방법의 여러 단계 동안의 집적 회로 디바이스의 개략 단면도이다.
도 8 내지 도 11은 다양한 실시예에 따라 구성된 집적 회로 디바이스의 개략 단면도이다.
도 12는 일부 실시예들에 따른 집적 회로 디바이스의 개략 상면도이다.
도 13 및 도 14는 일부 실시예들에 따른 도 12의 집적 회로 디바이스의 개략 단면도이다.
This disclosure is best understood from the following detailed description when read in conjunction with the accompanying drawings. According to industry standard practice, the drawings are not drawn to scale, emphasizing that they are used for illustrative purposes only. In practice, the dimensions of various features may be arbitrarily increased or decreased for clarity of discussion.
1 is a flow diagram of a method for manufacturing an integrated circuit device in accordance with various aspects of the present disclosure.
2 through 7 are schematic cross-sectional views of an integrated circuit device during various stages of the method of FIG. 1 according to various aspects of the present disclosure.
8-11 are schematic cross-sectional views of an integrated circuit device constructed in accordance with various embodiments.
12 is a schematic top view of an integrated circuit device in accordance with some embodiments.
Figures 13 and 14 are schematic cross-sectional views of the integrated circuit device of Figure 12 in accordance with some embodiments.

이하의 개시는 본 발명의 상이한 피쳐(feature)들을 구현하기 위한 많은 상이한 실시예 또는 예시들을 제공한다. 본 발명개시를 간략화하기 위해서 컴포넌트 및 배치의 구체적인 예들이 이하에 설명된다. 물론, 이들은 단지 예시를 위한 것이며 한정을 의도하는 것은 아니다. 예를 들면, 다음의 설명에서 제 2 피쳐 상부 또는 위에 제 1 피쳐를 형성하는 것은 제 1 피쳐와 제 2 피쳐가 직접 접촉하여 형성된 실시예를 포함할 수 있고, 또한 제 1 피쳐와 제 2 피쳐가 직접 접촉하지 않도록 제 1 피쳐와 제 2 피쳐 사이에 추가의 피쳐가 형성될 수 있는 실시예도 포함할 수 있다. 또한, 본 개시는 다양한 예시들에서 참조 부호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 위한 것이지, 그러한 반복 그 자체가 개시된 다양한 실시예들 및/또는 구성 사이의 관계를 설명하는 것은 아니다. The following disclosure provides many different embodiments or examples for implementing the different features of the present invention. Specific examples of components and arrangements for simplifying the disclosure of the present invention are described below. Of course, these are for illustrative purposes only and are not intended to be limiting. For example, in the following description, forming the first feature on or above the second feature may include an embodiment in which the first feature and the second feature are formed in direct contact, and the first feature and the second feature Embodiments may also include additional features that may be formed between the first feature and the second feature to avoid direct contact. In addition, the present disclosure may repeat the reference numerals and / or characters in various examples. Such repetition is for simplicity and clarity, and such repetition itself does not describe the relationship between the various embodiments and / or configurations disclosed.

도 1은 본 개시의 다양한 양상에 따른 집적 회로 디바이스를 제조하는 방법(100)의 부분적인 또는 전체적인 흐름도이다. 방법(100)은 기판 상부에 게이트 구조가 형성되는 블록(110)에서 시작한다. 게이트 구조는 기판 상부에 배치된 하이-k 유전체층, 및 하이-k 유전체층 상부에 배치된 더미 게이트를 포함하는 게이트 스택을 갖는다. 블록(120)에서, 게이트 구조로부터 더미 게이트가 제거되어 거기에 개구부를 형성한다. 블록(130)에서, 다기능 차단/습윤층, 일함수층, 및 도전층이 개구부를 채우도록 형성된다. 다기능 차단/습윤층은 하이-k 유전체층 상부에 형성되고, 일함수층은 다기능 차단/습윤층 상부에 형성되고, 도전층은 일함수층 상부에 형성된다. 다기능 차단/습윤층은 일함수층과 함께 충분한 습윤성(다르게 말하면, 소망의 계면 품질)을 제공하면서 처리 동안에 금속 불순물이 (예를 들면, 도전층으로부터) 하이-k 유전체층에 침투하는 것을 충분히 방지(또는 감소)하는 재료를 포함한다. 방법(100)은 블록(140)로 계속되어 집적 회로 디바이스의 제작을 완료할 수 있다. 방법(100) 이전, 도중 및 이후에 추가의 단계가 제공될 수 있고, 설명된 단계는 방법(100)의 추가의 실시예를 위해 대체 또는 제거될 수 있다. 1 is a partial or complete flowchart of a method 100 of manufacturing an integrated circuit device according to various aspects of the present disclosure. The method 100 begins at block 110 where a gate structure is formed over the substrate. The gate structure has a gate stack including a high-k dielectric layer disposed over the substrate, and a dummy gate disposed over the high-k dielectric layer. At block 120, the dummy gate is removed from the gate structure to form an opening therein. At block 130, a multifunctional blocking / wetting layer, a work function layer, and a conductive layer are formed to fill the openings. The multifunction interception / wetting layer is formed on the high-k dielectric layer, the work function layer is formed on the multifunction interception / wetting layer, and the conductive layer is formed on the work function layer. The multifunction intercepting / wetting layer is sufficient to prevent metal impurities from penetrating the high-k dielectric layer (e.g., from the conductive layer) during processing, while providing sufficient wettability (in other words, desired interface quality) Or reduced). The method 100 may continue to block 140 to complete the fabrication of the integrated circuit device. Additional steps may be provided before, during, and after method 100, and the steps described may be substituted or eliminated for further embodiments of method 100.

일부 실시예에 있어서, 동작(110)과 동작(120) 사이에서 소스 및 드레인 피쳐가 더미 게이트의 양측 상의 활성 영역 내에 형성될 수 있다. 일례에 있어서, 소스 및 드레인 피쳐를 형성하기 위해 도펀트(예를 들어, 인)를 도입하도록 이온 주입 공정이 수행되고, 어닐링 공정이 도판트를 활성화하기 위해 후속될 수 있다. 다른 실시예에 있어서, 소스 및 드레인을 형성하기 위한 절차가 구현될 수 있다. 상기 절차는 저농도 도핑 드레인(lightly doped drain; LDD) 피쳐를 형성하기 위해 제 1 이온 주입 공정을 수행하는 단계; 성막 및 이방성 에칭에 의해 게이트 스페이서를 형성하는 단계; 게이트 스페이서와 정렬된 고농도 도핑된 소스 및 드레인을 형성하기 위해 제 2 이온 주입 공정를 수행하는 단계를 포함할 수 있고; 도판트를 활성화 시키기 위해 어닐링 공정이 후속될 수 있다. 또 다른 예에서, 소스 및 드레인은 적절한 절차에 의해 스트레인(strain) 효과를 가지고 형성된다. 상기 절차는 소스 및 드레인 영역 내의 기판이 리세싱되도록 에칭되는 것, 및 기판과 상이한 반도체 재료가 인시튜(in-situ) 도핑으로 선택적 에피택시 성장에 의해 리세싱 내에 에피택셜 성장되는 것을 포함할 수 있다. 반도체 재료는 이동성 향상을 위해, 실리콘 탄화물의 이용에 의한 n채널 전계 효과 트랜지스터에 대한 인장성 스트레인 및 실리콘 게르마늄의 이용에 의한 p채널 전계 효과 트랜지스터에 대한 압축성 스트레인과 같은, 채널에 대한 적절한 스트레인을 제공하도록 선택된다.In some embodiments, between operation 110 and operation 120, source and drain features may be formed in the active area on either side of the dummy gate. In one example, an ion implantation process is performed to introduce a dopant (e.g., phosphorous) to form source and drain features, and an annealing process may be followed to activate the dopant. In another embodiment, procedures for forming source and drain may be implemented. The procedure may include performing a first ion implantation process to form a lightly doped drain (LDD) feature; Forming a gate spacer by film deposition and anisotropic etching; Performing a second ion implantation process to form a heavily doped source and drain aligned with the gate spacers; An annealing process may follow to activate the dopant. In yet another example, the source and drain are formed with a strain effect by appropriate procedures. The procedure may include etching the substrate in the source and drain regions to be recessed, and epitaxially growing the semiconductor material different from the substrate in recessing by selective epitaxial growth in-situ doping. have. Semiconductor materials provide suitable strains for channels, such as tensile strains for n-channel field effect transistors by use of silicon carbide and compressive strains for p-channel field effect transistors by the use of silicon germanium, for improved mobility .

방법(100)은 다양한 실시예들을 가질 수 있다. 일부 실시예에 있어서, 방법(100)은, 더미 게이트의 제거 이후에 하이-k 유전체층이 형성되는, 하이-k 라스트 공정을 대안적으로 구현할 수 있다. 본 실시예에서 나아가면, 블록(110)에서, 게이트 유전체(예를 들어, 실리콘 산화물) 및 게이트 전극(예를 들어, 폴리실리콘)을 포함하는 게이트 스택이 성막되고 패터닝된다. 블록(120)에서, 게이트 유전체와 게이트 전극 모두 제거되고, 그 결과 게이트 트렌치(gate trench)가 된다. 블록(130)에서 하이-k 유전체층 및 게이트 전극이 성막 및, 화학 기계적 연마(chemical mechanical polishing; CMP)와 같은 연마에 의해 게이트 트렌치 내에 형성된다. 게이트 전극은 다기능 차단/습윤층, 일함수층, 및 도전성 층을 포함한다. The method 100 may have various embodiments. In some embodiments, the method 100 may alternatively implement a high-krast process, in which a high-k dielectric layer is formed after removal of the dummy gate. Going forward to this embodiment, at block 110, a gate stack comprising a gate dielectric (e.g., silicon oxide) and a gate electrode (e.g., polysilicon) is deposited and patterned. In block 120, both the gate dielectric and the gate electrode are removed, resulting in a gate trench. At block 130, a high-k dielectric layer and a gate electrode are formed within the gate trench by deposition and polishing, such as chemical mechanical polishing (CMP). The gate electrode comprises a multifunctional blocking / wetting layer, a work function layer, and a conductive layer.

도 2 내지 도 7은 도 1의 방법(100)에 따른 여러 제조 단계에서의 집적 회로 소자(200)의 개략적인 단면도를 부분적으로 또는 전체적으로 나타낸다. 도 2 내지 도 7은 본 개시의 발명 개념을 더 잘 이해하기 위해서 명료함을 위해 간략화되었다. 집적 회로 디바이스(200)이 도 2 내지 도 7을 참조하여 설명되고, 방법(100)이 또한 일부 실시예에 따라 상세하게 잘 설명된다.2-7 illustrate, in part or in whole, a schematic cross-sectional view of an integrated circuit device 200 at various manufacturing stages according to the method 100 of FIG. 2 to 7 have been simplified for clarity in order to better understand the inventive concept of the present disclosure. The integrated circuit device 200 is described with reference to Figures 2-7, and the method 100 is also described in detail in accordance with some embodiments.

도시된 실시예에 있어서, 집적 회로 디바이스(200)는 n채널 전계 효과 트랜지스터(n-channel field effect transistor;NFET) 또는 p채널 전계 효과 트랜지스터(p-channel field effect transistor;PFET)와 같은 전계-효과 트랜지스터 디바이스를 포함한다. 집적 회로 소자(200)는 저항기, 캐패시터, 인덕터 및/또는 퓨즈와 같은 수동 컴포넌트; 금속 산화막 반도체 전계 효과 트랜지스터(metal-oxide-semiconductor field effect transistor, MOSFET), 상보형 금속 산화막 반도체 트랜지스터(complementary metal-oxide-semiconductor transistor, CMOS), 고전압 트랜지스터, 및/또는 고주파수 트랜지 스터와 같은 능동 컴포넌트; 다른 적합한 컴포넌트; 또는 그 조합을 포함하는 메모리 셀 및/또는 로직 회로에 포함될 수 있다. 추가의 피쳐가 집적 회로 디바이스(200)에서 추가될 수 있고, 이하 설명된 피쳐의 일부는 집적 회로 디바이스(200)의 다른 실시예에서 대체 또는 제거될 수 있다.In the illustrated embodiment, the integrated circuit device 200 includes a field-effect transistor (FET), such as an n-channel field effect transistor (NFET) or a p-channel field effect transistor Transistor device. The integrated circuit device 200 may include a passive component such as a resistor, a capacitor, an inductor and / or a fuse; Such as a metal oxide semiconductor field effect transistor (MOSFET), a complementary metal-oxide-semiconductor transistor (CMOS), a high voltage transistor, and / or a high frequency transistor component; Other suitable components; Or a memory cell and / or logic circuit that includes a combination thereof. Additional features may be added in the integrated circuit device 200 and some of the features described below may be substituted or eliminated in other embodiments of the integrated circuit device 200. [

도 2에서 집적 회로 디바이스(200)는 기판(210)을 포함한다. 도시된 실시예에 있어서, 기판(210)은 실리콘을 포함하는 반도체 기판이다. 대안적으로 또는 추가적으로, 기판(210)은 게르마늄과 같은 다른 원소 반도체; 실리콘 탄화물, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비소 및/또는 인듐 안티모나이드를 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 합금 반도체; 또는 그 조합을 포함할 수 있다. 또 다른 대안에 있어서, 기판(210)은 절연 기판 상의 반도체(semiconductor on insulator, SOI)이다. 다른 대안에 있어서, 반도체 기판(210)은 도핑된 에피(epi)층, 경사(gradient) 반도체층, 및/또는 실리콘 게르마늄층 상의 실리콘층과 같은 상이한 유형의 다른 반도체 위에 놓인 반도체층을 포함할 수 있다. 기판(210)은 집적 회로 디바이스(200)의 설계 요건에 의존한 각종 도핑 구성을 포함한다. 예를 들면, 기판(210)은 붕소 또는 BF2와 같은 p형 도펀트; 인 또는 비소와 같은 n형 도펀트; 또는 그 조합으로 도핑된 여러 도핑 영역을 포함할 수 있다. 도핑 영역은 P웰 구조물, N웰 구조물, 또는 듀얼웰 구조물 내의 반도체 기판 상에 형성될 수 있다.In FIG. 2, the integrated circuit device 200 includes a substrate 210. In the illustrated embodiment, the substrate 210 is a semiconductor substrate comprising silicon. Alternatively or additionally, the substrate 210 may comprise another elemental semiconductor, such as germanium; A compound semiconductor including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and / or indium antimonide; An alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and / or GaInAsP; Or a combination thereof. In yet another alternative, the substrate 210 is a semiconductor on insulator (SOI). The semiconductor substrate 210 may comprise a semiconductor layer overlying another type of different semiconductor, such as a doped epi layer, a gradient semiconductor layer, and / or a silicon layer on a silicon germanium layer have. The substrate 210 includes various doping configurations that depend on the design requirements of the integrated circuit device 200. For example, the substrate 210 may be a p-type dopant such as boron or BF 2 ; An n-type dopant such as phosphorus or arsenic; Or combinations thereof. ≪ RTI ID = 0.0 > The doped region may be formed on a semiconductor substrate in a P-well structure, an N-well structure, or a dual well structure.

격리 피쳐(212)는 기판(210)의 여러 영역 및/또는 디바이스들을 격리하도록 기판(210) 내에 배치된다. 격리 피쳐(212)는 여러 영역을 규정하고 전기적으로 격리하기 위해 실리콘의 국부 산화(local oxidation of silicon; LOCOS) 및/또는 쉘로우 트렌치 격리(shallow trench isolation; STI)와 같은 격리 기술을 이용한다. 격리 피쳐(212)는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 다른 적합한 유전체 재료, 또는 이들의 조합을 포함한다. 격리 피쳐(212)는 임의의 적합한 공정에 의해 형성된다. 일례에서, STI 피쳐를 형성하는 단계는, 리소그래피 공정을 이용하여 기판의 일부를 노출시키는 단계, (예를 들면, 건식 에칭 및/또는 습식 에칭을 이용함으로써) 기판의 노출된 일부에서 트렌치를 에칭하는 단계, (예를 들면, 화학적 기상 증착 공정을 이용함으로써) 하나 이상의 유전체 재료로 트렌치를 충진하는 단계, 및 CMP와 같은 연마 공정에 의해 유전체 재료(들)의 과잉 부분을 제거하는 단계를 포함한다. 일부 예시에 있어서, 충진된 트렌치는 실리콘 질화물 또는 실리콘 산화물로 충진된 열 산화물 라이너층과 같은 다층 구조를 가질 수 있다.Isolation features 212 are disposed within substrate 210 to isolate various regions and / or devices of substrate 210. Isolation features 212 utilize isolation techniques such as local oxidation of silicon (LOCOS) and / or shallow trench isolation (STI) to define and electrically isolate the various regions. Isolation features 212 include silicon oxide, silicon nitride, silicon oxynitride, other suitable dielectric materials, or combinations thereof. Isolation features 212 are formed by any suitable process. In one example, forming the STI feature includes exposing a portion of the substrate using a lithographic process, etching the trench at an exposed portion of the substrate (e.g., by using dry etch and / or wet etch) Filling the trench with one or more dielectric materials (e.g., by using a chemical vapor deposition process), and removing the excess portion of the dielectric material (s) by an abrasive process such as CMP. In some examples, the filled trench may have a multi-layer structure, such as a thermal oxide liner layer filled with silicon nitride or silicon oxide.

일부 실시예에 있어서, 반도체 기판은 핀형(fin-like) 활성 영역(또는 핀 구조물)과 같은 비평면(non-planar) 활성 영역을 갖는다. 핀형 활성 영역은 반도체 기판의 평면 위에 압출된 반도체 재료의 피쳐이고, 게이트와 대응하는 채널 사이에 다중 표면 커플링을 제공한다. 핀형 활성 영역의 반도체 재표는 반도체 기판과 동일한 반도체 재료이거나, 대안적으로는 기판과 상이한 반도체 재료일 수 있다. 일부 실시예에 있어서, 핀형 활성 영역은 STI 피쳐의 리세싱을 위해 에칭하거나, 선택성 에피택시 성장과 같은 적절한 기술을 이용하여 반도체 기판 상에 반도체 재료를 에피택셜 성장시킴으로써 형성될 수 있다.In some embodiments, the semiconductor substrate has a non-planar active region, such as a fin-like active region (or pin structure). The pinned active region is a feature of the semiconductor material that is extruded onto the plane of the semiconductor substrate and provides multiple surface coupling between the gate and the corresponding channel. The semiconductor matrix of the pinned active region may be the same semiconductor material as the semiconductor substrate, or alternatively it may be a semiconductor material different from the substrate. In some embodiments, the pinned active region may be formed by epitaxial growth of a semiconductor material on a semiconductor substrate using an appropriate technique, such as etching, or selective epitaxial growth, for recessing the STI feature.

게이트 구조물(220)이 기판(210) 상부에 배치된다. 도시된 실시예에 있어서, 게이트 구조물(220)은 계면 유전체층(222), 하이-k 유전체층(224), 및 더미 게이트층(226)을 갖는 게이트 스택을 포함한다. 계면 유전체층(222) 및 하이-k 유전체층(224)은 총괄하여 게이트 구조물(220)의 게이트 유전체층이라고 할 수 있다. 게이트 스택은 캐핑층, 확산/배리어층, 유전체층, 금속층, 다른 적합한 층, 또는 이들의 조합과 같은 추가의 층들을 포함할 수 있다. 게이트 구조물(220)은 성막 공정, 리소그래피 패터닝 공정, 에칭 공정, 다른 적합한 공정 또는 이들의 조합에 의해 형성된다. 성막 공정은 물리적 기상 증착(physical vapor deposition; PVD), 화학적 기상 증착(chemical vapor deposition; CVD), 원자층 증착(atomic layer deposition; ALD), 플라즈마 향상 CVD(plasma enhanced CVD; PECVD), 원격 플라즈마 CVD(remote plasma CVD; RPCVD), 분자 유기 CVD(molecular organic CVD; MOCVD), 스퍼터링, 도금, 다른 적합한 방법, 또는 이들의 조합을 포함한다. 리소그래피 패터닝 공정은 레지스트 코팅(예를 들면, 스핀-온 코팅), 소프트 베이킹, 마스크 정렬, 노광, 노광 후(post-exposure) 베이킹, 포토레지스트 현상, 린싱, 건조(예를 들면, 하드 베이킹), 다른 적합한 공정 또는 이들의 조합을 포함한다. 리소그래피 노광 공정은 마스크리스 리소그래피, 전자빔 쓰기(writing) 및 이온빔 쓰기, 및 분자 임프린트와 같은 다른 적절한 방법들에 의해 구현 또는 대체될 수 있다. 에칭 공정은 건식 에칭, 습식 에칭 또는 이들의 조합을 포함한다. 일부 실시예에 있어서, 게이트 구조물(220)은 다양한 게이트 재료층을 성막하는 단계; 리소그래피 패터닝 공정에 의해 패터닝된 레지스트층을 형성하는 단계; 에칭 마스크로서 패터닝된 레지스트층 이용하여 게이트 구조물(220)을 형성하도록 게이트 재료 층을 에칭하는 단계; 및 습식 박리 또는 플라즈마 애싱(ashing)에 의해 패터닝된 레지스트층을 제거하는 단계를 포함하는 절차에 의해 형성된다. 일부 다른 실시예에 있어서, 실리콘 질화물과 같은 하드 마스크층은 게이트 재료층을 패터닝하기 위해 에칭 공정 동안에 에칭 마스크로서 사용될 수 있다. 이 경우, 게이트 구조물(220)을 형성하기 위한 절차는, 다양한 게이트 재료층을 성막하는 단계; 게이트 재료층 상에 하드 마스크층을 성막하는 단계; 리소그래피 패터닝 공정에 의해 패터닝된 레지스트층을 형성하는 단계; 에칭 마스크로서 패터닝된 레지스트층을 이용하여 하드 마스크층을 패터닝하도록 에칭하는 단계; 및 에칭 마스크로서 패터닝된 하드 마스크층을 이용하여 게이트 구조물(220)을 형성하도록 게이트 재료층을 에칭하는 단계를 포함한다.A gate structure 220 is disposed over the substrate 210. In the illustrated embodiment, the gate structure 220 includes a gate stack having an interfacial dielectric layer 222, a high-k dielectric layer 224, and a dummy gate layer 226. The interface dielectric layer 222 and the high-k dielectric layer 224 may collectively be referred to as the gate dielectric layer of the gate structure 220. The gate stack may include additional layers such as a capping layer, a diffusion / barrier layer, a dielectric layer, a metal layer, another suitable layer, or a combination thereof. The gate structure 220 is formed by a deposition process, a lithographic patterning process, an etching process, another suitable process, or a combination thereof. The deposition process may be performed using physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma enhanced CVD (PECVD), remote plasma CVD (RPCVD), molecular organic CVD (MOCVD), sputtering, plating, other suitable methods, or combinations thereof. The lithographic patterning process may be performed by any suitable process known in the art, such as resist coating (e.g., spin-on coating), soft bake, mask alignment, exposure, post-exposure baking, photoresist development, Other suitable processes, or combinations thereof. The lithographic exposure process may be implemented or replaced by other suitable methods such as maskless lithography, electron beam writing and ion beam writing, and molecular imprint. The etching process includes dry etching, wet etching, or a combination thereof. In some embodiments, the gate structure 220 comprises depositing a variety of gate material layers; Forming a patterned resist layer by a lithography patterning process; Etching the gate material layer to form a gate structure (220) using a patterned resist layer as an etch mask; And removing the patterned resist layer by wet ablation or plasma ashing. In some other embodiments, a hard mask layer, such as silicon nitride, may be used as an etch mask during the etch process to pattern the gate material layer. In this case, the procedure for forming the gate structure 220 may include depositing various gate material layers; Depositing a hard mask layer on the gate material layer; Forming a patterned resist layer by a lithography patterning process; Etching the hard mask layer to pattern using the patterned resist layer as an etch mask; And etching the gate material layer to form the gate structure 220 using the patterned hard mask layer as the etch mask.

계면 유전체층(222)이 기판(210) 상부에 배치된다. 일례에서, 계면 유전체층(222)은 약 5Å 내지 약 20Å의 두께를 갖는다. 도시된 실시예에 있어서, 계면 유전체층(222)은 실리콘 산화물(SiO2)층 또는 실리콘 산질화물(SiON)층과 같은 산화물-함유층이다. 계면 유전체층(222)은 다른 적합한 재료를 포함할 수 있다. 계면 유전체층(222)은 화학적 산화 기술, 열적 산화 기술, 원자층 증착(ALD), 화학적 기상 층착(CVD), 또는 다른 적합한 기술에 의해 형성된다. [예를 들면, 플루오르화수소(HF)산 수용액을 사용한] HF-라스트 프리-게이트(HF-last pre-gate) 세정 공정과 같은 세정 공정이 계면 유전체층(222)이 기판(210) 상부에 형성되기 전에 수행될 수 있다.An interfacial dielectric layer 222 is disposed over the substrate 210. In one example, the interfacial dielectric layer 222 has a thickness of about 5 A to about 20 A. [ In the illustrated embodiment, the interfacial dielectric layer 222 is an oxide-containing layer such as a silicon oxide (SiO 2 ) layer or a silicon oxynitride (SiON) layer. The interfacial dielectric layer 222 may comprise other suitable materials. Interfacial dielectric layer 222 is formed by chemical oxidation techniques, thermal oxidation techniques, atomic layer deposition (ALD), chemical vapor deposition (CVD), or other suitable techniques. A cleaning process such as an HF-last pre-gate cleaning process (e.g., using a hydrogen fluoride (HF) acid aqueous solution) may be used to remove the interfacial dielectric layer 222 Can be performed before.

하이-k 유전체층(224)은 계면 유전체층(222) 상부에 배치되고, 더미 게이트층(226)은 하이-k 유전체층(224) 상부에 배치된다. 하이-k 유전체층(224) 및 더미 게이트층(226)의 두께는 집적 회로 디바이스(200)의 설계 요건에 의존한다. 일례에 있어서, 하이-k 유전체층(224)은 약 5Å 내지 약 30Å의 두께를 갖고, 더미 게이트층은 약 350Å 내지 약 700Å의 두께를 갖는다. 하이-k 유전체층(224)은 HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 지르코늄 산화물, 알루미늄 산화물, 하프늄 이산화물-알루미나(HfO2-Al2O3) 합금, 다른 적합한 하이-k 유전체 재료, 또는 이들의 조합과 같은 하이-k 유전체 재료를 포함한다. 더미 게이트층(226)은 게이트 대체 공정에 적합한 재료를 포함한다. 예를 들면, 도시된 실시예에 있어서, 더미 게이트층(226)은 폴리실리콘을 포함한다.A high-k dielectric layer 224 is disposed over the interface dielectric layer 222 and a dummy gate layer 226 is disposed over the high-k dielectric layer 224. [ The thickness of the high-k dielectric layer 224 and the dummy gate layer 226 depends on the design requirements of the integrated circuit device 200. In one example, the high-k dielectric layer 224 has a thickness of about 5 A to about 30 ANGSTROM, and the dummy gate layer has a thickness of about 350 ANGSTROM to about 700 ANGSTROM. High -k dielectric layer 224 is HfO 2, HfSiO, HfSiON, HfTaO , HfTiO, HfZrO, zirconium oxide, aluminum oxide, hafnium dioxide-alumina (HfO 2 -Al 2 O 3) alloy, other suitable high -k dielectric material, Or a combination thereof. The dummy gate layer 226 includes a material suitable for the gate replacement process. For example, in the illustrated embodiment, the dummy gate layer 226 comprises polysilicon.

게이트 구조물(220)은 적합한 공정에 의해 형성된 스페이서(228)를 더 포함한다. 예를 들면, 실리콘 산화물층과 같은 유전체층은 집적 회로 디바이스(200) 상부에 배치된 블랭킷이며, 그 다음에, 실리콘 산화물층은, 도 2에 예시된 바와 같이, 스페이서(228)를 형성하기 위해 실리콘 질화물층을 제거하도록 이방성으로(anisotropically) 에칭된다. 스페이서(228)는 게이트 구조물(220)의 게이트 스택[계면 유전체층(222), 하이-k 유전체층(224), 및 더미 게이트층(226)]의 측벽에 인접하여 위치결정된다. 대안적으로 또는 추가적으로, 스페이서(228)는 실리콘 산화물, 실리콘 탄소 질화물, 또는 이들의 조합과 같은 다른 유전체 재료를 포함한다.The gate structure 220 further includes a spacer 228 formed by a suitable process. For example, the dielectric layer, such as a silicon oxide layer, is a blanket disposed on top of the integrated circuit device 200, and the silicon oxide layer is then patterned to form a spacer 228, And is anisotropically etched to remove the nitride layer. Spacers 228 are positioned adjacent the sidewalls of the gate stacks (interfacial dielectric layer 222, high-k dielectric layer 224, and dummy gate layer 226) of gate structure 220. Alternatively or additionally, the spacers 228 include other dielectric materials such as silicon oxide, silicon carbon nitride, or combinations thereof.

다양한 소스/드레인 피쳐(230)들이 기판(210) 내에 배치될 수 있다. 소스/드레인 피쳐(230)는 게이트 구조물(220)에 의해 개재된다. 소스/드레인 피쳐(230)는 저농도 도핑된 소스 및 드레인(LDD) 영역 및/또는 고농도 도핑된 소스 및 드레인(HDD) 영역을 포함할 수 있다. LDD 및/또는 HDD 영역은 인이나 비소와 같은 n형 도펀트, 또는 붕소나 BF2와 같은 p형 도펀트의 이온 주입 또는 확산에 의해 형성될 수 있다. 급속 열 어닐링 및/또는 레이저 열 어닐링과 같은 어닐링 공정이 LDD 및/또는 HDD 영역의 도펀트를 활성화시키기 위해 수행될 수 있다. LDD 및/또는 HDD 영역은 도시된 실시예에서 언제라도 형성될 수 있다. 소스/드레인 피쳐(230)는 에피택셜 피쳐(예를 들면, 실리콘 게르마늄 에피택셜 피쳐 또는 실리콘 에피택셜 피쳐)와 같은 융기된 소스/드레인 피쳐들을 포함할 수 있다. 실리사이드 피쳐가 예를 들면, 콘택 저항을 감소시키기 위해 소스/드레인 피쳐(230) 상부에 배치될 수 있다. 실리사이드 피쳐가 자기-정렬 살리사이드(self-aligned salicide) 공정에 의해 소스 및 드레인 피쳐 상부에 형성될 수 있고, 이 공정은 금속층을 성막하는 단계; 금속층이 실리사이드를 형성하기 위해 실리콘과 반응할 수 있도록 금속층을 어닐링하는 단계; 및 그 다음에 반응하지 않은 금속층을 제거하는 단계를 포함할 수 있다.A variety of source / drain features 230 may be disposed within the substrate 210. Source / drain features 230 are interposed by gate structure 220. The source / drain feature 230 may include lightly doped source and drain (LDD) regions and / or heavily doped source and drain (HDD) regions. The LDD and / or HDD region may be formed by ion implantation or diffusion of an n-type dopant such as phosphorus or arsenic, or a p-type dopant such as boron or BF 2 . Annealing processes such as rapid thermal annealing and / or laser thermal annealing may be performed to activate dopants in the LDD and / or HDD regions. The LDD and / or HDD regions may be formed at any time in the illustrated embodiment. The source / drain features 230 may include raised source / drain features such as epitaxial features (e.g., silicon germanium epitaxial features or silicon epitaxial features). A silicide feature may be disposed over the source / drain feature 230, for example, to reduce contact resistance. A silicide feature may be formed on the source and drain features by a self-aligned salicide process, comprising depositing a metal layer; Annealing the metal layer such that the metal layer can react with silicon to form a silicide; And then removing the unreacted metal layer.

층간(또는 레벨간) 유전체[interlayer(inter-level) dielectric, ILD]층과 같은 유전체층(232)이 기판(210) 상부에 배치된다. 유전체층(232)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, TEOS(tetraethylorthosilicate) 형성 산화물, PSG(phosphosilicate glass), BPSG(borophosphosilicate glass), 로우-k 유전체 재료, 다른 적합한 유전체 재료, 또는 이들의 조합과 같은 유전체 재료을 포함한다. 예시적인 로우-k 유전체 재료는 FSG(fluorinated silica glass), 탄소 도핑된 실리콘 산화물, Black Diamond®(미국 캘리포니아 산타 클라라의 Applied Materials), Xerogel, Aerogel, 플루오르화 비정질 탄소, Parylene, BCB(bis-benzocyclobutenes), SiLK (미국 미시간 미들랜드의 Dow Chemical), 폴리이미드, 다른 적절한 재료 및/또는 이들의 조합을 포함한다. 유전체층(232)은 다중 유전체 재료를 포함하는 다층 구조물을 포함할 수 있다. 유전체층(232)은 CVD, 고밀도 플라즈마 CVD, 스핀-온 및/또는 다른 적합한 방법을 포함하는 적합한 공정에 의해 적합한 두께로 형성된다. 유전체층(232)의 성막에 후속하여, 화학 기계적 연마(chemical mechanical polishing; CMP) 공정이 게이트 구조물(220)의 상부가 도달/노출될 때까지 수행된다. 구체적으로는, 게이트 구조물(220)의 게이트 스택의 상부[여기서, 더미 게이트층(226)]는 도 2에 도시된 바와 같이 노출된다. 추가의 층들이 유전체층 위 및/또는 아래에 놓이도록 형성될 수 있다.A dielectric layer 232, such as an interlayer dielectric (ILD) layer, is disposed over the substrate 210. Dielectric layer 232 may be formed of any suitable dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, tetraethylorthosilicate (TEOS) oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG) And the same dielectric material. Exemplary low-k dielectric materials include fluorinated silica glass (FSG), carbon doped silicon oxide, Black Diamond (Applied Materials, Santa Clara, CA), Xerogel, Aerogel, fluorinated amorphous carbon, Parylene, BCB (bis-benzocyclobutenes ), SiLK (Dow Chemical of Midland, Mich.), Polyimide, other suitable materials, and / or combinations thereof. Dielectric layer 232 may comprise a multi-layer structure comprising multiple dielectric materials. Dielectric layer 232 is formed to a suitable thickness by a suitable process, including CVD, high-density plasma CVD, spin-on, and / or other suitable methods. Following the deposition of the dielectric layer 232, a chemical mechanical polishing (CMP) process is performed until the top of the gate structure 220 is reached / exposed. Specifically, the top of the gate stack of the gate structure 220 (here, the dummy gate layer 226) is exposed as shown in FIG. Additional layers may be formed to lie above and / or below the dielectric layer.

도 3 내지 도 7에서, 더미 게이트층(226)이 금속 게이트로 대체되는 게이트 대체 공정이 수행된다. 도 3에서, 더미 게이트층(226)이 게이트 구조물(220)의 게이트 스택으로부터 제거됨으로써 개구부(또는 게이트 트렌치)(240)를 형성한다. 개구부(240)는 하이-k 게이트 유전체층(224)을 노출시킨다. 더미 게이트층(226)은 에칭 공정, 다른 적합한 공정, 또는 이들의 조합에 의해 제거될 수 있다. 일례에 있어서, 에칭 공정은 더미 게이트층(226)을 선택적으로 에칭한다.3-7, a gate replacement process is performed in which the dummy gate layer 226 is replaced with a metal gate. In FIG. 3, dummy gate layer 226 is removed from the gate stack of gate structure 220 to form openings (or gate trenches) 240. The openings 240 expose the high-k gate dielectric layer 224. The dummy gate layer 226 may be removed by an etch process, another suitable process, or a combination thereof. In one example, the etch process selectively etches the dummy gate layer 226.

도 4에서, 다기능 차단/습윤층(242)이 개구부(240)를 부분적으로 충진하도록 다기능 차단/습윤층(242)이 기판(210) 상부에 형성된다. 다기능 차단/습윤층(242)은 개구부(240)를 규정하는 게이트 구조물(220)의 측벽을 따라 배치된다. 도시된 실시예에 있어서, 다기능 차단/습윤층(242)은 하이-k 유전체층(224) 상부에 배치된다. 일례에서, 다기능 차단/습윤층(242)은 약 30Å 내지 약 100Å의 두께를 갖는다. 다기능 차단/습윤층(242)은 처리 공정 동안에 차단(또는 배리어)층과 습윤층 모두로서 기능한다. 예를 들어, 다기능 차단/습윤층(242)은, 금속 불순물이 다기능 차단/습윤층(242) 아래에 배치된 임의의 유전체층[예를 들어, 게이트 구조물(220)의 게이트 스택의 게이트 유전체]에 침투하는 것을 방지하거나 감소시키면서, 그 아래의 층과 그 위의 층 사이의 접착력을 향상시킨다. 그것은 또한 다기능 차단/습윤층(242)과 다기능 차단/습윤층(242) 상부에 형성된 임의의 재료층 사이에 소망의 계면 품질을 제공한다. 따라서, 도시된 실시예에 있어서 다기능 차단/습윤층(242)은 금속 불순물이 하이-k 유전체층(224)과 계면 유전체층(222)으로 침투하는 것을 방지하거나 감소시키면서, 그 아래의 층과 그 위의 층, 예를 들어 하이-k 유전체층(224)과 다기능 차단/습윤층(242) 상부에 형성된 게이트 구조물(220)의 게이트 스택의 층[예를 들면, 일함수층(244)] 사이의 접착력을 향상시킨다. 그러한 기능성은 이하 더 상세하게 설명된다.In FIG. 4, a multifunctional blocking / wetting layer 242 is formed over the substrate 210 to partially fill the opening 240 with the multifunction interception / wetting layer 242. The multi-functional barrier / wetting layer 242 is disposed along the sidewalls of the gate structure 220 defining the openings 240. In the illustrated embodiment, the multifunction intercepting / wetting layer 242 is disposed over the high-k dielectric layer 224. In one example, the multifunction intercepting / wetting layer 242 has a thickness of from about 30 A to about 100 A. The multifunction interception / wetting layer 242 functions as both a barrier (or barrier) layer and a wetting layer during the treatment process. For example, the multifunction intercepting / wetting layer 242 may be formed by depositing a metal impurity on any dielectric layer (e. G., The gate dielectric of the gate stack of the gate structure 220) disposed below the multifunctional intercepting / Thereby enhancing the adhesion between the underlying layer and the layer thereon, while preventing or reducing penetration. It also provides the desired interface quality between the multifunction intercepting / wetting layer 242 and any material layers formed above the multifunction intercepting / wetting layer 242. Thus, in the illustrated embodiment, the multifunction intercepting / wetting layer 242 prevents or reduces metal impurities from penetrating into the high-k dielectric layer 224 and the interfacial dielectric layer 222, (For example, the work function layer 244) of the gate structure 220 formed on the high-k dielectric layer 224 and the multi-functional interfacial / wetting layer 242, . Such functionality is described in greater detail below.

도시된 실시예에 있어서, 다기능 차단/습윤층(242)은 탄탈 알루미늄 질화물(tantalum aluminum nitride; TaAlN)을 포함하고, 예시적인 실시예에 있어서 TaAlN은 탄탈 알루미늄 탄소 질화물(tantalum aluminum carbon nitride; TaAlCN)로서 존재한다. TaAlCN층의 질소 및 탄소의 원자 농도는, 다기능 차단/습윤층(242)이 집적 회로 디바이스(200)의 일함수에 최소한으로 영향을 미치면서 금속 불순물이 아래에 놓인 유전체층[예를 들면, 하이-k 유전체층(224) 및 계면 유전체층(222)]에 침투하는 것을 충분히 방지하거나 감소시키도록 최적화된다. 그러므로, 질소 원자 농도 및 탄소 원자 농도는 차단 능력과 소망의 일함수의 밸런스를 맞추도록 선택된다. 도시된 실시예에 있어서, TaAlCN층 약 5% 내지 약 15%의 질소 원자 농도, 및 약 5% 내지 약 20%의 탄소 원자 농도를 포함한다. 더 낮은(예를 들어, 약 5%보다 낮은) 질소 및 탄소 원자 농도에서, 소망의 일함수에 더 근접하면서, 차단 능력은 원하지 않는 방향으로 이동될 수 있다. 반면, 더 높은 질소 및 탄소 원자 농도(예를 들어, 15%보다 큰 질소 원자 농도 및 20%보다 큰 탄소 원자 농도)에서, 밸런스는 소망의 일함수로부터 멀어지면서 소망의 차단 능력으로 이동한다. 도시된 실시예에 있어서, TaAlCN 비율은, 다기능 차단/습윤층(242)과, 알루미늄을 포함한, 위에 놓인 층 사이의 계면 품질(습윤성이라고 말할 수 있음)을 향상시키는 Ta:Al 비율을 포함한다. 예를 들면, TaAlCN층(242)은 약 1:1 내지 약 1:3의 Ta:Al 비율을 포함한다.In the illustrated embodiment, the multifunction intercept / wet layer 242 comprises tantalum aluminum nitride (TaAlN), and in an exemplary embodiment, the TaAlN is tantalum aluminum carbon nitride (TaAlCN) Lt; / RTI > The atomic concentration of the nitrogen and carbon in the TaAlCN layer is such that the multifunctional blocking / wetting layer 242 minimally affects the work function of the integrated circuit device 200 while minimizing the dielectric constant of the dielectric layer (e. G., High- k dielectric layer 224 and the interfacial dielectric layer 222). Therefore, the nitrogen atom concentration and the carbon atom concentration are selected to balance the blocking ability with the desired work function. In the illustrated embodiment, the TaAlCN layer includes a nitrogen atom concentration of about 5% to about 15%, and a carbon atom concentration of about 5% to about 20%. At lower nitrogen and carbon atom concentrations (e.g., less than about 5%), the blocking ability may be moved in an undesired direction, closer to the desired work function. On the other hand, at higher nitrogen and carbon atom concentrations (e.g., nitrogen atom concentrations greater than 15% and greater than 20% carbon atom concentrations), the balance moves away from the desired work function to the desired blocking capacity. In the illustrated embodiment, the TaAlCN ratio comprises a Ta: Al ratio that improves the interface quality (which may be referred to as wettability) between the multifunction intercept / wetting layer 242 and the overlying layer, including aluminum. For example, the TaAlCN layer 242 includes a Ta: Al ratio of about 1: 1 to about 1: 3.

다기능 차단/습윤층(242), 여기서 TaAlCN층을 형성하는데 사용된 공정은 다기능 차단/습윤층(242)의 최적의 차단 및 습윤 기능성을 성취하도록 조정된다. 도시된 실시예에 있어서, 물리적 기상 증착(PVD)이 다기능 차단/습윤층(242)을 형성하는데 사용된다. 기판 온도, 가스 유형, 가스 흐름 속도, 챔버 압력, DC 전압, 바이어스 전압, 공정 시간, 다른 적합한 파라미터 또는 이들의 조합과 같은 PVD 공정의 각종 공정 파라미터는 소망의 차단 및 습윤 기능성을 성취하기 위해 조정된다. 대안적으로, 원자층 증착(ALD)이 다기능 차단/습윤층(242)을 형성하는데 사용된다. 기판 온도, 가스 유형, 가스 흐름 속도, 챔버 압력, 공정 시간, 다른 적합한 파라미터 또는 이들의 조합과 같은 ALD 공정의 각종 공정 파라미터는 소망의 차단 및 습윤 기능성을 성취하기 위해 조정된다. 대안적으로, 다기능 차단/습윤층(242)은 화학적 기상 증착(CVD), 플라즈마 향상 CVD(PECVD), 원격 플라즈마 CVD(RPCVD), 분자 유기 CVD(MOCVD), PVD, ALD, 도금, 다른 적합한 방법, 또는 이들의 조합과 같은 다른 공정에 의해 형성된다. 그러한 대안의 공정의 각종 공정 파라미터는 다기능 차단/습윤층(242)의 소망의 차단 및 습윤 기능성을 성취하기 위해 조정된다.The multifunction interception / wetting layer 242, wherein the process used to form the TaAlCN layer, is adjusted to achieve optimal blocking and wetting functionality of the multifunctional interfacial / wetting layer 242. In the illustrated embodiment, physical vapor deposition (PVD) is used to form the multifunctional blocking / wetting layer 242. Various process parameters of the PVD process, such as substrate temperature, gas type, gas flow rate, chamber pressure, DC voltage, bias voltage, process time, other suitable parameters, or combinations thereof, are adjusted to achieve desired blocking and wetting functionality . Alternatively, atomic layer deposition (ALD) is used to form the multifunctional blocking / wetting layer 242. Various process parameters of the ALD process, such as substrate temperature, gas type, gas flow rate, chamber pressure, process time, other suitable parameters, or combinations thereof, are adjusted to achieve desired blocking and wetting functionality. Alternatively, the multifunction intercepting / wetting layer 242 may be formed by any suitable method, including but not limited to chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), remote plasma CVD (RPCVD), MOCVD, PVD, ALD, , Or a combination thereof. Various process parameters of such alternative processes are adjusted to achieve the desired barrier and wetting functionality of the multifunctional barrier / wetting layer 242.

도시된 실시예에 있어서, 약 0.1Torr 내지 약 5mTorr의 챔버 압력을 유지하는 고압 PVD 공정이 약 250°C 내지 약 450°C의 온도에서 다기능 차단/습윤층(242)을 증착한다. 고압 PVD 공정은, 다기능 차단/습윤층(242)이 개구부(240)을 충분히 부분적으로 충진하는 것을 보증할 수 있다. 고압 PVD 공정은 개구부(240)와 같은 예를 들면 높은 종횡비의 개구부를 위한 적절한 커버리지를 제공한다. 예를 들면, 도시된 실시예에 있어서, 높은 종횡비의 개구부는 2.2보다 크거나 같은 높이 대 폭 비(높이/폭 ≥ 2.2)를 갖는 개구부를 말한다. 대안적으로, 높은 종횡비의 개구부는 다른 높이 대 폭 비에 의해 정의될 수도 있다.In the illustrated embodiment, a high pressure PVD process that maintains a chamber pressure of about 0.1 Torr to about 5 mTorr deposits a multifunction intercept / wet layer 242 at a temperature of about 250 ° C to about 450 ° C. The high pressure PVD process can ensure that the multifunction intercepting / wetting layer 242 fills the opening 240 sufficiently fully. The high pressure PVD process provides adequate coverage, e.g., for high aspect ratio openings, such as openings 240. For example, in the illustrated embodiment, a high aspect ratio opening refers to an opening having a height to width ratio (height / width > 2.2) greater than or equal to 2.2. Alternatively, the high aspect ratio openings may be defined by different height-to-width ratios.

다른 실시예에 있어서, 다기능 차단/습윤층(242)은 상이한 N%를 갖는 다중 TaAlCN층을 포함한다. 예를 들어, 하부 TaAlCN층은 약 5% 내지 약 15%와 같은 더 높은 N%를 갖고, 상부 TaAlCN층은 약 2% 내지 약 5%와 같은 더 낮은 N%를 갖는다. 이 경우, 하부 TaAlCN층은 주로 차단층으로서 역할을 하고, 상부 TaAlCN층은 주로 일함수층으로서 역할을 한다. 적절한 C% 및 N%를 선택함으로써, 최적화된 차단 능력이 성취된다. 일례에서, C%는 약 5% 내지 약 20%의 범위 내에 있고, N%는 약 5% 내지 15%의 범위 내에 있다.In another embodiment, the multifunction intercept / wetting layer 242 comprises multiple layers of TaAlCN having different N%. For example, the underlying TaAlCN layer has a higher N%, such as from about 5% to about 15%, and the upper TaAlCN layer has a lower N%, such as from about 2% to about 5%. In this case, the lower TaAlCN layer mainly serves as a barrier layer, and the upper TaAlCN layer mainly serves as a work function layer. By choosing the appropriate C% and N%, optimized blocking capabilities are achieved. In one example, C% is in the range of about 5% to about 20% and N% is in the range of about 5% to 15%.

도 5에서, 일함수층(244)이 개구부(240)를 부분적으로 충진하도록 일함수층(244)이 기판(210) 상부에 형성된다. 도시된 실시예에 있어서, 일함수층(244)은 다기능 차단/습윤층(242) 상부에 배치된다. 일례에 있어서, 일함수층(244)은 약 30Å 내지 약 100Å의 두께를 갖는다. 다른 예에 있어서, 다기능 차단/습윤층(242) 상에 배치된 일함수층(244)은 약 30Å 내지 약 100Å의 두께를 갖고, 개구부(240)의 측벽을 따라 배치된 일함수층(244)은 30Å 미만의 두께, 또는 약 30Å 내지 약 100Å의 두께를 가질 수 있다. 일함수층(244)은 관련된 디바이스의 향상된 성능을 위해 적절한 일함수를 갖도록 조정될 수 있는 재료를 포함한다. 예를 들어, p형 전계 효과 트랜지스터(PFET) 디바이스의 경우, 일함수층(244)은 PFET의 게이트 전극에 대해 소망의 일함수값(예를 들어, 5.2eV에 근접하거나, 또는 4.7eV 내지 5eV의 범위 내에 있음)을 갖도록 구성될 수 있는 p형 일함수 재료를 포함한다. 반면에, n형 전계 효과 트랜지스터(NFET) 디바이스의 경우,일함수층(244)은 NFET의 게이트 전극의 소망의 일함수값(예를 들어, 4.2eV에 근접하거나, 또는 4.1eV 내지 4.5eV의 범위 내에 있음)을 갖도록 구성될 수 있는 n형 일함수 재료(예를 들어, TaAlCN)를 포함한다. 일함수층(244)은 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 원자층 증착(ALD), 플라즈마 향상 CVD(PECVD), 원격 플라즈마 CVD(RPCVD), 분자 유기 CVD(MOCVD), 스퍼터링, 도금, 다른 적합한 방법, 또는 이들의 조합에 의해 형성된다.In Figure 5, a workfunction layer 244 is formed over the substrate 210 to partially fill the opening 240 with the work function layer 244. In the illustrated embodiment, the work-function layer 244 is disposed above the multifunctional interfacial / wetting layer 242. In one example, work function layer 244 has a thickness of from about 30 A to about 100 A. [ The work function layer 244 disposed on the multifunction intercepting / wetting layer 242 has a thickness of from about 30 A to about 100 A and has a work function layer 244 disposed along the sidewalls of the opening 240, May have a thickness of less than 30 ANGSTROM, or a thickness of from about 30 ANGSTROM to about 100 ANGSTROM. The work function layer 244 includes a material that can be adjusted to have an appropriate work function for improved performance of the associated device. For example, for a p-type field effect transistor (PFET) device, the workfunction layer 244 may have a desired work function value (for example, close to 5.2 eV, or from 4.7 eV to 5 eV Lt; RTI ID = 0.0 > p-type < / RTI > work function material. On the other hand, in the case of an n-type field effect transistor (NFET) device, the workfunction layer 244 may have a desired work function value (for example, close to 4.2 eV, or from 4.1 eV to 4.5 eV (E. G., TaAlCN) that can be configured to have a < / RTI > The workfunction layer 244 may be formed by any suitable process known in the art including but not limited to physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma enhanced CVD (PECVD), remote plasma CVD (RPCVD), molecular organic CVD , Plating, other suitable methods, or a combination thereof.

일부 실시예에 있어서, TaAlCN층은 탄탈계 화학물, 알루미늄계 화학물, 탄소계 가스 및 질소계 가스를 포함하는 전구체를 이용하여 형성된다. 일부 예에 있어서, 탄탈계 화학물은 펜타키스(디메틸아미노) 탄탈(PDMAT), 트리에틸알루미늄, 탄탈 염화물(TaCl5), 다른 적절한 Ta-함유 화학물 또는 이들의 조합을 포함한다. 일부 예에 있어서, 알루미늄계 화학물은 트리에틸알루미늄(TEAl), 트리메틸알루미늄(TMA), 알루미늄 수소화 붕소 트리메틸아민(AlBT), 다른 적절한 Al-함유 화학물 또는 이들의 조합을 포함한다. 일부 예에 있어서, 질소계 가스는 NH3, N2,, 다른 적절한 N-함유 화학물 또는 이들의 조합을 포함한다. 일부 예에 있어서, 탄소계 가스는 CH3와 같은 CHx를 포함한다.In some embodiments, the TaAlCN layer is formed using a precursor comprising a tantalum-based chemical, an aluminum-based chemical, a carbon-based gas, and a nitrogen-based gas. In some examples, the tantalum-based chemistry includes pentakis (dimethylamino) tantalum (PDMAT), triethylaluminum, tantalum chloride (TaCl 5 ), other suitable Ta-containing chemicals, or combinations thereof. In some examples, the aluminum-based chemistry includes triethyl aluminum (TEAl), trimethyl aluminum (TMA), aluminum borohydride trimethylamine (AlBT), other suitable Al-containing chemicals, or combinations thereof. In some examples, the nitrogen-based gas comprises NH 3 , N 2 , other suitable N-containing chemicals, or combinations thereof. In some examples, the carbon-based gas includes CH x such as CH 3 .

일부 실시예에 있어서, 일함수층(244)은 TaAlCN을 포함하는 n 일함수층이다. 도시된 실시예에 있어서, 일함수층(244)은 다기능 차단/습윤층(242)에서의 TaAlCN과 상이한 구성을 갖는 TaAlCN을 포함한다. 예를 들어, 일함수층(244)에서의 질소 원자 농도는 다기능 차단/습윤층(242)에서의 질소 원자 농도보다 낮다. 질소 원자 농도는 차단 능력과 소망의 일함수의 균형을 맞추도록 선택된다. 일실시예에 있어서, 일함수층(244)에서의 질소 원자 농도는 약 2% 내지 약 5%이다. 일함수층(244)에서의 알루미늄은 높은 이동성을 갖고, 질소 원자 농도가 더 낮을 때(예를 들어, 약 2% 미만) 아래 층에 쉽게 침투할 수 있다. 더 높은 질소 원자 농도에서(예를 들어, 약 2% 초과), 일함수층(244)에서의 질소는 알루미늄에 결합되고, 안정적인 상태를 형성하며, 아래 층으로의 알루미늄 침투를 감소시킬 수 있다. 그러나, 훨씬 높은 질소 원자 농도는(예를 들어, 약 5% 초과) 소망의 일함수 또는 타겟으로부터의 이동을 일으킬 수 있다.In some embodiments, work function layer 244 is a n-functional layer comprising TaAlCN. In the illustrated embodiment, work function layer 244 includes TaAlCN having a composition different from TaAlCN in multifunctional blocking / wetting layer 242. For example, the concentration of nitrogen atoms in the work function layer 244 is lower than the concentration of nitrogen atoms in the multifunctional blocking / wetting layer 242. The nitrogen atom concentration is chosen to balance the blocking ability and the desired work function. In one embodiment, the nitrogen atom concentration in the work function layer 244 is from about 2% to about 5%. Aluminum in the work function layer 244 has high mobility and can readily penetrate the lower layer when the nitrogen atom concentration is lower (e.g., less than about 2%). At a higher nitrogen atom concentration (e.g., greater than about 2%), the nitrogen in the work function layer 244 bonds to aluminum, forms a stable state, and can reduce aluminum penetration into the underlying layer. However, much higher nitrogen atom concentrations can cause a desired work function or migration from the target (e.g., greater than about 5%).

일부 실시예에 있어서, 일함수층(244)은 NFET을 위한 n 일함수층이고, 약 4.1eV 내지 약 4.5eV의 일함수 범위를 갖는다. 본 실시예에서 더 나아가면, n 일함수층은 티타늄(Ti), 알루미늄(Al), 티타늄 알루미늄(TiAl), 탄탈(Ta), 또는 지르코늄 실리콘(ZrSi2)을 포함한다.In some embodiments, work function layer 244 is a n-function layer for NFETs and has a work function range from about 4.1 eV to about 4.5 eV. Further in this embodiment, the n-work function layer comprises titanium (Ti), aluminum (Al), titanium aluminum (TiAl), tantalum (Ta), or zirconium silicon (ZrSi 2 ).

도 6에서, 도전성 층(246)이 개구부(240)를 부분적으로 충진하도록 기판(210) 상부에 도전성 층(246)이 형성된다. 도전성 층(246)은 일함수층(244) 상부에 배치된다. 일례에 있어서, 도전성 층(246)은 약 300Å 내지 약 1,500Å의 두께를 갖는다. 도시된 실시예에 있어서, 도전성 층(246)은 알루미늄을 포함한다. 대안적으로 또는 추가적으로, 도전성 층(246)은 구리, 텅스텐, 금속 합금, 금속 실리사이드, 다른 도전성 재료, 또는 이들의 조합을 포함한다. 도전성 층(246)은 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 원자층 증착(ALD), 플라즈마 향상 CVD(PECVD), 원격 플라즈마 CVD(RPCVD), 분자 유기 CVD(MOCVD), 스퍼터링, 도금, 다른 적합한 방법, 또는 이들의 조합에 의해 형성된다.In Figure 6, a conductive layer 246 is formed over the substrate 210 to partially fill the opening 240 with the conductive layer 246. [ The conductive layer 246 is disposed over the work-function layer 244. In one example, the conductive layer 246 has a thickness of about 300 ANGSTROM to about 1,500 ANGSTROM. In the illustrated embodiment, the conductive layer 246 comprises aluminum. Alternatively or additionally, the conductive layer 246 includes copper, tungsten, a metal alloy, a metal silicide, another conductive material, or a combination thereof. The conductive layer 246 may be formed by any suitable process known in the art such as physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma enhanced CVD (PECVD), remote plasma CVD (RPCVD), molecular organic CVD Plating, another suitable method, or a combination thereof.

도 7에서, 유전체층(232)이 도달 또는 노출될 때까지 화학 기계적 연마(CMP) 공정이 수행된다. 그러므로, CMP 공정은 유전체층(232) 상부에 배치된 다기능 차단/습윤층(242), 일함수층(244), 및 도전성 층(246)의 부분들을 제거한다. 다기능 차단/습윤층(242), 일함수층(244), 및 도전성 층(246)의 남은 부분들은, 게이트 구조물(220)의 게이트 스택이 계면 유전체층(222), 하이-k 유전체층(224), 다기능 차단/습윤층(242), 일함수층(244), 및 도전성 층(246)을 형성하도록, 개구부(240)를 충진하기 위해 결합된다. 다기능 차단/습윤층(242), 일함수층(244), 및 도전성 층(246)은 총괄적으로 게이트 구조물(200)의 게이트 전극이라고 말할 수 있다.7, a chemical mechanical polishing (CMP) process is performed until the dielectric layer 232 is reached or exposed. Thus, the CMP process removes portions of the multifunctional blocking / wetting layer 242, work function layer 244, and conductive layer 246 disposed over the dielectric layer 232. The remaining portions of the multifunction intercepting / wetting layer 242, work function layer 244, and conductive layer 246 may be formed such that the gate stack of the gate structure 220 is formed by the interfacial dielectric layer 222, the high-k dielectric layer 224, Wettable layer 242, work-function layer 244, and conductive layer 246, as shown in FIG. Multifunctional blocking / wetting layer 242, work function layer 244, and conductive layer 246 can be collectively referred to as the gate electrode of gate structure 200.

집적 회로 디바이스(200)는 다른 피쳐들을 포함할 수 있다. 예를 들면, 금속층 및 금속간 유전체층(inter-metal dielectric; IMD)층을 포함하는 다층 배선(multilayer interconnection; MLI)이 유전체층(232) 상부와 같은 기판(210) 상부에 형성되어 집적 회로 디바이스(200)의 여러 피쳐들 또는 구조물들을 전기적으로 접속시킬 수 있다. 다층 배선은 비아 또는 콘택과 같은 수직 배선, 및 금속선과 같은 수평 배선을 포함한다. 일례에 있어서, MLI는 소스/드레인 피쳐(230) 및/또는 게이트 구조물(220)의 게이트 스택으로의 배선 피쳐들을 포함한다. 다양한 배선 피쳐들은 알루미늄, 구리, 티타늄, 텅스텐, 이들의 합금, 실리사이드 재료, 다른 적합한 재료, 또는 이들의 조합을 포함하는 다양한 도전성 재료들을 포함한다. 일례에 있어서, 다마신 공정 또는 이중 다마신 공정이 사용되어 구리 또는 알루미늄 다층 배선 구조물을 형성한다.The integrated circuit device 200 may include other features. For example, a multilayer interconnection (MLI) including a metal layer and an intermetal dielectric (IMD) layer may be formed on the substrate 210, such as on top of the dielectric layer 232 to form an integrated circuit device 200 ) May be electrically connected to each other. The multilayer wiring includes vertical wiring such as via or contact, and horizontal wiring such as a metal wire. In one example, the MLI includes line features to the source / drain feature 230 and / or the gate stack of the gate structure 220. Various wiring features include various conductive materials including aluminum, copper, titanium, tungsten, alloys thereof, silicide materials, other suitable materials, or combinations thereof. In one example, a damascene process or a dual damascene process is used to form a copper or aluminum multilayer interconnection structure.

집적 회로 디바이스(200)는 감소된 누설 전류를 보이고, 그것은 향상된 디바이스 성능으로 이어진다. 그러한 감소된 누설 전류 및 향상된 디바이스 성능은 게이트 구조물(220)의 게이트 스택에서 다기능 차단/습윤층(242)에 의해 성취될 수 있다. 다기능 차단/습윤층(242)은 위에놓인 층들에 충분한 습윤성(계면 품질)을 제공하면서 금속 불순물이 아래놓인 유전체층에 침투하는 것을 충분히 차단할 수 있다.The integrated circuit device 200 exhibits reduced leakage current, which leads to improved device performance. Such reduced leakage current and improved device performance can be achieved by the multifunction blocking / wetting layer 242 in the gate stack of the gate structure 220. The multifunction intercepting / wetting layer 242 can adequately block metal impurities from penetrating the underlying dielectric layer while providing sufficient wettability (interface quality) to the overlying layers.

집적 회로 디바이스(200) 및 그 제조 방법(100)은 본 발명개시의 범위로부터 벗어남없이 다른 실시예들을 가질 수 있다. 몇몇 실시예들이 이하 제공된다. 유사한 피쳐 및 유사한 동작들은 간략함을 위해 반복되지 않는다.The integrated circuit device 200 and method of manufacturing 100 thereof may have other embodiments without departing from the scope of the present disclosure. Several embodiments are provided below. Similar features and similar operations are not repeated for simplicity.

도 8은 일부 실시예들에 따른 집적 회로 디바이스(200)를 예시한다. 집적 회로 디바이스(200)는 하이-k 유전체층(224)과 다기능 차단/습윤층(242) 사이에 배치된 캐핑층(250)을 더 포함한다. 캐핑층(250)은 또한 하이-k 유전체층을 보호하고, 그리고/또는 다기능 차단/습윤층(242)의 기능을 강화한다. 캐핑층(250)은 티타늄 질화물(TiN), 탄탈 질화물(TaN), 또는 이들의 조합을 포함한다. 캐핑층은 PVD와 같은 적합한 기술에 의해 형성될 수 있다. 캐핑층은 방법(100)의 동작(110)에서, 대안적으로는 동작(130)에서 형성될 수 있다. 일부 실시예에 있어서, 하이-k 유전체층(224)이 동작(110)에서 형성될 때, 캐핑층(250)도 마찬가지로 동작(110)에서 형성될 수 있으므로, 제조 동안에 하이-k 유전체층을 보호한다. 이러한 경우, 하이-k 유전체층(224) 및 캐핑층(250) 모두는 개구부(240)의 하부에서만 형성되지만, 도 8에 나타낸 바와 같이, 다기능 차단/습윤층(242) 및 일함수층(244)은 개구부(240)의 하부 및 측벽 상에 배치되거나, 다르게 말하면 U형상이다. 방법(100)이 하이-k 라스트 공정을 이용하면, 하이-k 유전체층은 또한 동작(130)에서 형성된다. 이러한 경우, 캐핑층(250)도 마찬가지로 동작(130)에서 형성된다. 그러므로, 하이-k 유전체층(224) 및 캐핑층(250)은 모두 측벽들 상에 형성되고, U형상이다.Figure 8 illustrates an integrated circuit device 200 in accordance with some embodiments. The integrated circuit device 200 further includes a capping layer 250 disposed between the high-k dielectric layer 224 and the multi-functional interfacial / wetting layer 242. The capping layer 250 also protects the high-k dielectric layer and / or enhances the function of the multifunctional blocking / wetting layer 242. The capping layer 250 includes titanium nitride (TiN), tantalum nitride (TaN), or a combination thereof. The capping layer may be formed by a suitable technique such as PVD. The capping layer may be formed in operation 110 of method 100, alternatively in operation 130. [ In some embodiments, when the high-k dielectric layer 224 is formed in operation 110, the capping layer 250 may likewise be formed in operation 110, thus protecting the high-k dielectric layer during fabrication. In this case, both the high-k dielectric layer 224 and the capping layer 250 are formed only at the bottom of the opening 240, but as shown in FIG. 8, the multi-functional barrier / wetting layer 242 and the work- Are disposed on the lower and side walls of the opening 240, or, in other words, U-shaped. If method 100 utilizes a high-krast process, a high-k dielectric layer is also formed at operation 130. [ In this case, capping layer 250 is likewise formed in operation 130. Therefore, both the high-k dielectric layer 224 and the capping layer 250 are formed on the sidewalls and are U-shaped.

도 9는 일부 실시예들에 따른 집적 회로 디바이스(200)를 예시한다. 방법(100)은 하이-k 라스트 공정을 사용하고, 하이-k 유전체층은, 동작(120)에 의한 더미 게이트 스택의 제거 후에, 동작(130)에서 형성된다. 이 경우, 동작(110)에 의해 형성된 더미 게이트는 실리콘 산화물층 및 실리콘 산화물층 상부의 폴리실리콘층을 포함할 수 있다. 동작(120)에서, 게이트 스택이 에칭에 의해 제거된다. 이어서, 동작(130)에서 게이트 유전체층이 개구부(240) 내에 형성된다. 다른 게이트 재료층들(예를 들어, 242, 246 및 248)이 상기 설명된 재료층(242, 246 및 248)을 형성하기 위한 단계들과 유사한 방법으로 개구부(240) 내에 형성된다. 따라서, 하이-k 유전체층도 마찬가지로 U형상이다. 다시, 캐핑층(250)은 하이-k 유전체층(224)과 다기능 차단/습윤층(242) 사이에 존재하고, 하이-k 유전체층(224) 및 캐핑층(250)은 모두 측벽 상에 형성되고, U형상이 된다. 계면층(222)은 동작(120)에서 제거될 수 있고, 예를 들어 열적 산화(U형상 아님) 또는 ALD(U형상)에 의해 동작(130)에서 재성막(re-deposited)될 수 있다.Figure 9 illustrates an integrated circuit device 200 in accordance with some embodiments. The method 100 uses a high-k.sub.rast process and the high-k dielectric layer is formed in operation 130 after removal of the dummy gate stack by operation 120. [ In this case, the dummy gate formed by operation 110 may comprise a silicon oxide layer and a polysilicon layer above the silicon oxide layer. In operation 120, the gate stack is removed by etching. Then, in operation 130, a gate dielectric layer is formed in the opening 240. Other gate material layers (e.g., 242, 246, and 248) are formed in the opening 240 in a manner similar to the steps for forming the material layers 242, 246, and 248 described above. Therefore, the high-k dielectric layer is also U-shaped. Again, the capping layer 250 is between the high-k dielectric layer 224 and the multifunction intercepting / wetting layer 242, and both the high-k dielectric layer 224 and the capping layer 250 are formed on the sidewalls, U shape. The interface layer 222 may be removed at operation 120 and re-deposited at operation 130 by, for example, thermal oxidation (not U-shaped) or ALD (U-shaped).

도 10은 일부 실시예들에 따른 집적 회로 디바이스(200)를 예시한다. 소스 및 드레인(S/D) 피쳐(230)가 스트레인 효과를 위한 상이한 반도체 재료로 형성되고, 그것은 채널 이동성 및 디바이스 성능을 향상시킨다. 동작(120)에서, 소스 및 드레인 피쳐(230)가 적절한 절차에 의해 형성된다. 예를 들어, 절차는 소스 및 드레인 영역 내의 기판이 리세싱되도록 에칭되는 것, 및 기판과 상이한 반도체 재료가 인시튜 도핑으로 선택적 에피택시 성장에 의해 리세싱 내에 에피택셜 성장되는 것을 포함할 수 있다. 반도체 재료는 이동성 향상을 위해, 실리콘 탄화물의 이용에 의한 n채널 전계 효과 트랜지스터에 대한 인장성 스트레인 및 실리콘 게르마늄의 이용에 의한 p채널 전계 효과 트랜지스터에 대한 압축성 스트레인과 같은, 채널에 대한 적절한 스트레인을 제공하도록 선택된다. 일례에 있어서, 디바이스(200)는 nFET이고, 반도체 재료는 S/D 피쳐(230)을 위해 인으로 도핑된 실리콘 탄화물이며, 기판(210)은 실리콘 기판이다. 일례에 있어서, 디바이스(200)는 pFET이고, 반도체 재료는 S/D 피쳐(230)을 위해 붕소로 도핑된 실리콘 게르마늄이며, 기판(210)은 실리콘 기판이다. 다른 예에 있어서, 집적 회로 디바이스(200)는 nFET 및 pFET을 포함하고, 인 도판트의 실리콘 탄화물이 nFET를 위한 S/D 피쳐(230)를 형성하기 위해 에피택셜 성장되고, 붕소 도판트의 실리콘 게르마늄이 pFET를 위한 S/D 피쳐(230)를 형성하기 위해 에피택셜 성장되며, 기판(210)은 실리콘 기판이다. S/D 피쳐(230)는, S/D 피쳐(230)의 상면이 반도체 기판(210)의 상면과 실질적으로 동일 평면 상에 있도록 에피택셜 성장될 수 있다. 대안적으로, S/D 피쳐(230)는 도 10에 예시된 바와 같이 반도체 기판(210)의 상면을 넘어 에피택셜 성장될 수 있다.FIG. 10 illustrates an integrated circuit device 200 in accordance with some embodiments. Source and drain (S / D) features 230 are formed of different semiconductor materials for strain effects, which improves channel mobility and device performance. In operation 120, the source and drain features 230 are formed by appropriate procedures. For example, the procedure may include etching the substrate in the source and drain regions to be recessed, and epitaxially growing the semiconductor material different from the substrate in recessing by selective epitaxial growth in situ doping. Semiconductor materials provide suitable strains for channels, such as tensile strains for n-channel field effect transistors by use of silicon carbide and compressive strains for p-channel field effect transistors by the use of silicon germanium, for improved mobility . In one example, device 200 is an nFET, the semiconductor material is phosphorus doped silicon carbide for S / D feature 230, and substrate 210 is a silicon substrate. In one example, the device 200 is a pFET, the semiconductor material is silicon germanium doped with boron for the S / D feature 230, and the substrate 210 is a silicon substrate. In another example, the integrated circuit device 200 includes an nFET and a pFET, and the silicon carbide of the phosphorous dopant is epitaxially grown to form the S / D feature 230 for the nFET, and the silicon of the boron dopant Germanium is epitaxially grown to form the S / D feature 230 for the pFET, and the substrate 210 is a silicon substrate. The S / D feature 230 may be epitaxially grown such that the top surface of the S / D feature 230 is substantially coplanar with the top surface of the semiconductor substrate 210. Alternatively, the S / D feature 230 may be epitaxially grown over the top surface of the semiconductor substrate 210 as illustrated in FIG.

도 11은 일부 실시예들에 따른 집적 회로 디바이스(200)를 예시한다. 도 11에서, 게이트 스택(220)은 하이-k 유전체층(224) 상의 다기능 차단/습윤층(242) 및 다기능 차단/습윤층(242) 상에 직접 배치된 도전성 층(246)을 포함한다. 도전성 층(246)은 예를 들어 알루미늄일 수 있다. 다기능 차단/습윤층(242)은 TaAlCN을 포함하고, 뿐만 아니라 n 일함수층으로서 기능하도록 조정된다. TaAlCN층의 질소 및 탄소의 원자 농도는, 다기능 차단/습윤층(242)이 약 4.1eV 내지 약 4.5eV 범위내의 일함수와 같이 적절한 일함수를 가지면서 금속 불순물이 아래에 놓인 유전체층[예를 들면, 하이-k 유전체층(224) 및 계면 유전체층(222)]에 침투하는 것을 충분히 방지하거나 감소시키도록 최적화된다. 도시된 실시예에 있어서, TaAlCN층은 약 3% 내지 약 10%의 질소 원자 농도를 포함한다. TaAlCN층은 약 5% 내지 약 20%의 탄소 원자 농도를 포함할 수 있다. TaAlCN 비율은, 다기능 차단/습윤층(242)과, 알루미늄을 포함한,위에놓인 층 사이의 계면 품질(습윤성이라고 말할 수 있음)을 향상시키는 Ta:Al 비율을 포함할 수 있다. 예를 들면, TaAlCN층(242)은 약 1:1 내지 약 1:3의 Ta:Al 비율을 포함한다.FIG. 11 illustrates an integrated circuit device 200 in accordance with some embodiments. In Figure 11, the gate stack 220 includes a multi-functional intercepting / wetting layer 242 on the high-k dielectric layer 224 and a conductive layer 246 disposed directly on the multifunction interception / wetting layer 242. The conductive layer 246 may be, for example, aluminum. The multifunction intercepting / wetting layer 242 comprises TaAlCN and is adjusted to function as well as an n-work function layer. The atomic concentration of the nitrogen and carbon in the TaAlCN layer is such that the multifunctional interfacial / wetting layer 242 has a dielectric constant with a metallic work function, such as a work function within the range of about 4.1 eV to about 4.5 eV, The high-k dielectric layer 224, and the interfacial dielectric layer 222). In the illustrated embodiment, the TaAlCN layer comprises a nitrogen atom concentration of about 3% to about 10%. The TaAlCN layer may comprise from about 5% to about 20% carbon atom concentration. The TaAlCN ratio may include a Ta: Al ratio that improves the interface quality (which may be referred to as wettability) between the multifunction intercepting / wetting layer 242 and the overlying layer, including aluminum. For example, the TaAlCN layer 242 includes a Ta: Al ratio of about 1: 1 to about 1: 3.

도 12 내지 도 14는 일부 실시예들에 따른 집적 회로(IC) 구조물(270)를 예시한다. 도 12는 일부 실시예들에 따른 IC 구조물(270)의 상면도이다. 도 13는 일부 실시예들에 따른, 파선 AA'를 따르는 IC 구조물(270)의 단면도이다. 도 14는 일부 실시예들에 따른, 파선 BB'를 따르는 IC 구조물(270)의 단면도이다. IC 구조물(270)은 반도체 기판(210) 상에 형성된 제 1 핀 활성 영역(272) 및 제 2 핀 활성 영역(274)을 포함한다. 핀 활성 영역(272 및 274)은 STI 피쳐(212)의 상면 위에 수직으로 연장된다. 핀 활성 영역의 반도체 재료는 반도체 기판(210)과 동일하거나, 대안적으로는 상이할 수 있다. 핀 활성 영역은 STI 피쳐를 리세싱하도록 에칭하는 것 또는 선택적 에피택시 성장에 의해 형성될 수 있다. 일실시예에 있어서, 제 1 핀 활성 영역(272)은 nFET을 위한 것이고, 제 2 핀 활성 영역(274)은 pFET을 위한 것이다. 본 실시예로 나아가서, 예를 들어 이온 주입에 의해 p형 도핑된 웰(276)이 제 1 핀 활성 영역(272) 내에 형성되고, n형 도핑된 웰(278)이 제 2 핀 활성 영역(274) 내에 형성된다. 따라서, 제 1 채널 영역(280) 및 제 2 채널 영역(282)이 각각 제 1 및 제 2 핀 활성 영역 내에 규정된다.12-14 illustrate an integrated circuit (IC) structure 270 in accordance with some embodiments. 12 is a top view of an IC structure 270 in accordance with some embodiments. 13 is a cross-sectional view of an IC structure 270 along dashed line AA ', in accordance with some embodiments. 14 is a cross-sectional view of an IC structure 270 according to broken line BB ', in accordance with some embodiments. The IC structure 270 includes a first pin active region 272 and a second pin active region 274 formed on a semiconductor substrate 210. The pin active regions 272 and 274 extend vertically above the top surface of the STI feature 212. The semiconductor material of the pin active region may be the same as, or alternatively may be different from, the semiconductor substrate 210. The pin active region may be formed by etching to recess the STI feature or by selective epitaxial growth. In one embodiment, the first pin active region 272 is for an nFET and the second pin active region 274 is for a pFET. Doped well 276 is formed in the first pin active region 272 and the n-doped well 278 is formed in the second pin active region 274 by ion implantation, for example, . Thus, the first channel region 280 and the second channel region 282 are defined in the first and second pin active regions, respectively.

일부 실시예에 있어서, S/D 피쳐(230)는, 도 10에 예시된 바와 같이, 스트레인 효과를 위해 에피택시 성장함으로써 형성된다. 게이트 스택이 핀 활성 영역 상부에 형성된다. 게이트 스택(220)은 다양한 실시예에서 도 7의 게이트 스택(220) 또는 도 8 내지 도 11 중 하나의 게이트 스택(220)과 유사하다. 일실시예에 있어서, 활성 영역(272 및 274)이 상이한 도전형일 때, 게이트 스택(220)은 상이한 재료 스택의 두 부분, 예를 들어 제 1 핀 활성 영역(272) 상부의 제 1 부분 및 제 2 핀 활성 영역(274) 상부의 제 2 부분을 포함한다. 두 부분은 일함수 금속층(244)을 제외한 구성에서 유사하다. 게이트 스택의 제 1 부분에서, 일함수 금속층은 nWF 금속층을 포함한다. 게이트 스택의 제 2부분에서, 일함수 금속층은 pWF 금속층을 포함한다.In some embodiments, the S / D feature 230 is formed by epitaxial growth for strain effects, as illustrated in FIG. A gate stack is formed above the pin active region. The gate stack 220 is similar to the gate stack 220 of FIG. 7 or the gate stack 220 of either of FIGS. 8-11, in various embodiments. In one embodiment, when the active areas 272 and 274 are of different conductivity types, the gate stack 220 may include two portions of a different material stack, for example, a first portion above the first pin active region 272, And a second portion above the two-pin active region 274. The two portions are similar in configuration except for the workfunction metal layer 244. In a first portion of the gate stack, the workfunction metal layer comprises an nWF metal layer. In the second portion of the gate stack, the workfunction metal layer comprises a pWF metal layer.

상이한 실시예들도 설명된다. 2개 이상의 상기 실시예를 조합한 또 다른 실시예의 집적 회로 디바이스가 있다. 예를 들어, 하나의 집접 회로 디바이스는 에피택시 성장 S/D 피쳐 및 U형상의 하이-k 유전체층 모두를 포함한다. 다른 예에 있어서, 하나의 집접 회로 디바이스는 핀 활성 영역과 추가의 캐핑층(250) 모두를 포함한다. Different embodiments are also described. There is an integrated circuit device of another embodiment in which two or more of the above embodiments are combined. For example, an integrated circuit device includes both an epitaxial growth S / D feature and a U-shaped high-k dielectric layer. In another example, one passive circuit device includes both a pin active region and an additional capping layer 250.

상기는 당업자가 본 발명개시의 양상들을 더 잘 이해할 수 있도록 다양한 실시예들의 특징을 개괄한 것이다. 당업자는 여기에 개시된 실시예들과 동일한 목적을 수행하고, 그리고/또는 동일한 이점를 성취하는 다른 공정들 및 구조들을 설계하거나 수정하기 위해 본 발명개시를 기초로서 쉽게 사용할 수 있다는 것을 인지해야 한다. 또한, 당업자는 그러한 동등한 구성이 본 발명개시의 사상 및 범주로부터 벗어나지 않으며, 다양한 수정, 대체 및 변경이 본 발명개시의 사상 및 범주로부터 벗어나지 않고 가능하다는 것을 인지해야 한다.The foregoing is a summary of features of various embodiments to enable those skilled in the art to more fully understand aspects of the disclosure of the present invention. Those skilled in the art should appreciate that the present invention can readily be used on the basis of the disclosure of the present invention to accomplish the same objects as the embodiments disclosed herein and / or to design or modify other processes and structures that achieve the same advantages. Also, those skilled in the art should appreciate that such equivalent constructions do not depart from the spirit and scope of the disclosure, and various modifications, substitutions and alterations are possible without departing from the spirit and scope of the disclosure.

Claims (10)

집적 회로 디바이스에 있어서,
반도체 기판; 및
상기 반도체 기판 상부에 배치된 게이트 스택
을 포함하고,
상기 게이트 스택은,
상기 반도체 기판 상부에 배치된 게이트 유전체층,
상기 게이트 유전체층 상부에 배치된 다기능 차단/습윤층(multi-function blocking/wetting layer)으로서, 탄탈 알루미늄 탄소 질화물(tantalum aluminum carbon nitride; TaAlCN)을 포함하는 상기 다기능 차단/습윤층,
상기 다기능 차단/습윤층 상부에 배치된 일함수층, 및
상기 일함수층 상부에 배치된 도전성 층
을 포함하는 것인 집적 회로 디바이스.
In an integrated circuit device,
A semiconductor substrate; And
And a gate stack
/ RTI >
Wherein the gate stack comprises:
A gate dielectric layer disposed on the semiconductor substrate,
A multi-functional blocking / wetting layer disposed over the gate dielectric layer, the multi-functional blocking / wetting layer comprising tantalum aluminum carbon nitride (TaAlCN)
A work function layer disposed above the multifunction interception / wetting layer, and
And a conductive layer
And an integrated circuit device.
제 1 항에 있어서,
상기 게이트 유전체층은 하이-k 유전체층을 포함하는 것인 집적 회로 디바이스.
The method according to claim 1,
Wherein the gate dielectric layer comprises a high-k dielectric layer.
제 2 항에 있어서,
상기 게이트 유전체층은 상기 하이-k 유전체층과 상기 반도체 기판 사이에 배치된 계면 유전체층을 포함하는 것인 집적 회로 디바이스.
3. The method of claim 2,
Wherein the gate dielectric layer comprises an interfacial dielectric layer disposed between the high-k dielectric layer and the semiconductor substrate.
제 1 항에 있어서,
상기 다기능 차단/습윤층은 금속 불순물이 상기 게이트 유전체층에 침투하는 것을 방지하는 질소 원자 농도 및 탄소 원자의 농도를 갖는 것인 집적 회로 디바이스.
The method according to claim 1,
Wherein the multifunction intercept / wet layer has a concentration of nitrogen atoms and a concentration of carbon atoms that prevent metal impurities from penetrating the gate dielectric layer.
제 1 항에 있어서,
상기 다기능 차단/습윤층은 상이한 질소 원자 농도를 갖는 다중 TaAlCN층을 포함하는 것인 집적 회로 디바이스.
The method according to claim 1,
Wherein the multifunctional blocking / wetting layer comprises multiple layers of TaAlCN having different nitrogen atom concentrations.
제 1 항에 있어서,
상기 다기능 차단/습윤층은 1:1 내지 1:3의 Ta:Al 비율을 갖는 것인 집적 회로 디바이스.
The method according to claim 1,
Wherein the multifunction intercept / wet layer has a Ta: Al ratio of 1: 1 to 1: 3.
제 1 항에 있어서,
상기 게이트 유전체층과 상기 다기능 차단/습윤층 사이에 배치된 캐핑층을 더 포함하고, 상기 캐핑층은 티타늄 질화물, 탄탈 질화물 및 이들의 조합 중 하나를 포함하는 것인 집적 회로 디바이스.
The method according to claim 1,
Further comprising a capping layer disposed between the gate dielectric layer and the multifunctional blocking / wetting layer, wherein the capping layer comprises one of titanium nitride, tantalum nitride, and combinations thereof.
제 1 항에 있어서,
상기 반도체 기판은 핀 활성 영역(fin active region)을 포함하고,
상기 게이트 스택은 상기 핀 활성 영역 상에 형성되는 것인 집적 회로 디바이스.
The method according to claim 1,
Wherein the semiconductor substrate comprises a fin active region,
Wherein the gate stack is formed on the pin active region.
집적 회로 디바이스에 있어서,
n채널 전계 효과 트랜지스터를 위한 제 1 영역, 및 p채널 전계 효과 트랜지스터를 위한 제 2 영역을 갖는 반도체 기판;
상기 제 1 영역 내의 상기 반도체 기판 상부에 배치된 제 1 게이트 스택; 및
상기 제 2 영역 내의 상기 반도체 기판 상부에 배치된 제 2 게이트 스택
을 포함하고,
상기 제 1 게이트 스택은 상기 반도체 기판 상부에 배치된 하이-k 유전체층, 상기 하이-k 유전체층 상부에 배치된 제 1 탄탈 알루미늄 탄소 질화물(tantalum aluminum carbon nitride; TaAlCN)층, 및 상기 제 1 TaAlCN층 상에 직접 배치된 제 1 일함수를 갖는 n 일함수(n work function; nWF) 금속층을 포함하고,
상기 제 2 게이트 스택은 상기 반도체 기판 상부에 배치된 상기 하이-k 유전체층, 상기 하이-k 유전체층 상부에 배치된 상기 제 1 TaAlCN층, 및 상기 제 1 TaAlCN층 상에 직접 배치된 제 2 일함수를 갖는 p 일함수(p work function; pWF) 금속층을 포함하고, 상기 제 2 일함수는 상기 제 1 일함수보다 큰 것인 집적 회로 디바이스.
In an integrated circuit device,
a semiconductor substrate having a first region for an n-channel field effect transistor and a second region for a p-channel field effect transistor;
A first gate stack disposed above the semiconductor substrate in the first region; And
A second gate stack disposed above the semiconductor substrate in the second region,
/ RTI >
Wherein the first gate stack comprises a high-k dielectric layer disposed over the semiconductor substrate, a first tantalum aluminum carbon nitride (TaAlCN) layer disposed over the high-k dielectric layer, And a n work function (nWF) metal layer having a first work function,
Wherein the second gate stack comprises a high-k dielectric layer disposed over the semiconductor substrate, the first TaAlCN layer disposed over the high-k dielectric layer, and a second work function disposed directly on the first TaAlCN layer Wherein the second work function comprises a p-work function (pWF) metal layer having a first work function, wherein the second work function is greater than the first work function.
방법에 있어서,
반도체 기판 상부에 게이트 스택을 형성하는 단계;
상기 게이트 스택을 둘러싸는 층간 유전체(interlayer dielectric; ILD)층을 형성하는 단계
상기 게이트 스택을 적어도 부분적으로 제거하는 것에 의해 상기 ILD층 내에 개구부를 형성하는 단계; 및
다기능 차단/습윤층, 상기 다기능 차단/습윤층 상부의 일함수층, 및 상기 일함수층 상부의 도전성 층을 형성하는 단계
을 포함하고,
상기 다기능 차단/습윤층, 상기 일함수층, 및 상기 도전성 층은 상기 개구부를 충진하고, 또한 상기 다기능 차단/습윤층은 제 1 탄탈 알루미늄 탄소 질화물(tantalum aluminum carbon nitride; TaAlCN)층을 포함하는 것인 방법.
In the method,
Forming a gate stack over the semiconductor substrate;
Forming an interlayer dielectric (ILD) layer surrounding the gate stack
Forming an opening in the ILD layer by at least partially removing the gate stack; And
Forming a multi-functional intercepting / wetting layer, a workfunction layer above said multifunction intercepting / wetting layer, and a conductive layer above said workfunctioning layer
/ RTI >
Wherein the multifunctional blocking / wetting layer, the work function layer, and the conductive layer fill the opening and the multifunctional intercepting / wetting layer comprises a first tantalum aluminum carbon nitride (TaAlCN) layer / RTI >
KR1020150026678A 2014-07-10 2015-02-25 METAL GATE STACK HAVING TaAICN LAYER KR101843227B1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US14/328,299 2014-07-10
US14/328,299 US9337303B2 (en) 2011-09-24 2014-07-10 Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer
US201462056278P 2014-09-26 2014-09-26
US62/056,278 2014-09-26
US14/532,228 US9337192B2 (en) 2011-09-24 2014-11-04 Metal gate stack having TaAlCN layer
US14/532,228 2014-11-04

Publications (2)

Publication Number Publication Date
KR20160007338A true KR20160007338A (en) 2016-01-20
KR101843227B1 KR101843227B1 (en) 2018-03-28

Family

ID=54866823

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150026678A KR101843227B1 (en) 2014-07-10 2015-02-25 METAL GATE STACK HAVING TaAICN LAYER

Country Status (3)

Country Link
KR (1) KR101843227B1 (en)
DE (1) DE102014119648B4 (en)
TW (1) TWI556429B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109473479A (en) * 2017-09-08 2019-03-15 三星电子株式会社 Semiconductor device
CN110690199A (en) * 2018-07-06 2020-01-14 三星电子株式会社 Semiconductor device with a plurality of transistors
KR20200010985A (en) * 2018-07-06 2020-01-31 삼성전자주식회사 Semiconductor device

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10340383B2 (en) * 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
WO2019014448A1 (en) * 2017-07-13 2019-01-17 Applied Materials, Inc. LOW THICKNESS DEPENDENT WORK-FUNCTION nMOS INTEGRATION FOR METAL GATE
US10541333B2 (en) * 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR20200133814A (en) * 2018-04-19 2020-11-30 어플라이드 머티어리얼스, 인코포레이티드 Tuning of the work function of P-metal work function films through vapor deposition
US11855163B2 (en) * 2020-06-23 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7985680B2 (en) 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US7871915B2 (en) * 2008-09-26 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metal gates in a gate last process
DE102009046245B4 (en) 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Production of Metallgateelektrodenstrukturen with a separate removal of Platzhaltermaterialien in transistors of different conductivity
US8597995B2 (en) * 2011-09-24 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate device with low temperature oxygen scavenging
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US8927377B2 (en) * 2012-12-27 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming FinFETs with self-aligned source/drain

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109473479A (en) * 2017-09-08 2019-03-15 三星电子株式会社 Semiconductor device
KR20190028061A (en) * 2017-09-08 2019-03-18 삼성전자주식회사 Semiconductor device and method for fabricating the same
CN109473479B (en) * 2017-09-08 2023-09-26 三星电子株式会社 Semiconductor device with a semiconductor device having a plurality of semiconductor chips
CN110690199A (en) * 2018-07-06 2020-01-14 三星电子株式会社 Semiconductor device with a plurality of transistors
KR20200010985A (en) * 2018-07-06 2020-01-31 삼성전자주식회사 Semiconductor device
CN110690199B (en) * 2018-07-06 2023-07-25 三星电子株式会社 Semiconductor device with a semiconductor layer having a plurality of semiconductor layers
US11888063B2 (en) 2018-07-06 2024-01-30 Samsung Electronics Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
DE102014119648A1 (en) 2016-01-14
TW201603269A (en) 2016-01-16
DE102014119648B4 (en) 2021-09-02
KR101843227B1 (en) 2018-03-28
TWI556429B (en) 2016-11-01

Similar Documents

Publication Publication Date Title
US10998194B2 (en) Metal gate stack having TaAlCN layer
KR101312858B1 (en) METAL GATE STACK HAVING TiAlN BLOCKING/WETTING LAYER
US11410877B2 (en) Source/drain contact spacers and methods of forming same
KR101843227B1 (en) METAL GATE STACK HAVING TaAICN LAYER
US9337303B2 (en) Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer
US20180166551A1 (en) Multi-Gate Device and Method of Fabrication Thereof
US8658525B2 (en) Methods for a gate replacement process
KR101334465B1 (en) Improved silicide formation and associated devices
US20220254687A1 (en) Threshold Voltage Tuning for Fin-Based Integrated Circuit Device
US8278196B2 (en) High surface dopant concentration semiconductor device and method of fabricating
US8476126B2 (en) Gate stack for high-K/metal gate last process
KR20140020712A (en) Gate electrode of field effect transistor
US20220375797A1 (en) Selective Dual Silicide Formation
KR101700496B1 (en) METAL GATE STACK HAVING TiAlCN AS WORK FUNCTION LAYER AND/OR BLOCKING/WETTING LAYER
CN106158932B (en) With TaAlCN layers of metal gate stacks part
US20230387220A1 (en) Process and structure for source/drain contacts

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
E701 Decision to grant or registration of patent right
GRNT Written decision to grant