KR20150134295A - Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors - Google Patents

Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors Download PDF

Info

Publication number
KR20150134295A
KR20150134295A KR1020150095645A KR20150095645A KR20150134295A KR 20150134295 A KR20150134295 A KR 20150134295A KR 1020150095645 A KR1020150095645 A KR 1020150095645A KR 20150095645 A KR20150095645 A KR 20150095645A KR 20150134295 A KR20150134295 A KR 20150134295A
Authority
KR
South Korea
Prior art keywords
graphyne
bending deformation
present
particles
fermi level
Prior art date
Application number
KR1020150095645A
Other languages
Korean (ko)
Inventor
이윤택
Original Assignee
이윤택
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이윤택 filed Critical 이윤택
Priority to KR1020150095645A priority Critical patent/KR20150134295A/en
Publication of KR20150134295A publication Critical patent/KR20150134295A/en

Links

Images

Classifications

    • H01L41/22
    • C01B31/043
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/095Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being Schottky barrier gate field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/812Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a Schottky gate
    • H01L41/187

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Micromachines (AREA)
  • Hall/Mr Elements (AREA)

Abstract

The present invention relates to a transistor for controlling one or more work functions by comprising one or more selections from one or more bending modifications and position movements of a graphyne.

Description

그래파인의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 일 함수를 하나 이상 조절하는 트랜지스터{Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors}Wherein the at least one bending deformation, the position movement, and the graphene are selected so that at least one of the at least one bending deformation, the at least one bending deformation, more of the work-function controlling of the transistors}

본 발명은 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터 및 제조방법에 관한 것으로, 보다 상세하게는 그래파인(Graphyne)을 마이크로단위, 나노단위 중 하나 이상 선택되는 단위를 구비하는 탄성, 신축성, 유연성 중 하나 이상 선택되는 것을 구비하는, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 하나 이상 구비하는 것이다.The present invention relates to a transistor and at least one method of manufacturing a transistor having at least one of bending strain and position shifting of Graphyne, One or more of bending deformation, position shifting of Graphyne, having at least one of elasticity, stretchability and flexibility selected from the group consisting of microunits, graphite units, And at least one transistor for adjusting at least one work function (work function).

1. 실리콘(Si) 소재의 트랜지스터가 수십 억개씩 들어가 있는 현행 반도체로는 `10나노급`이 미세공정의 한계로 꼽힌다. 1. As for the current semiconductors, which contain billions of transistors of silicon (Si), `10 nm` is considered to be the limit of microprocessing.

2. 하지만 그래파인(Graphyne) 소재로 반도체의 용량과 처리속도를 확 높이면 차세대 반도체 시장의 주도권을 쥘 수 있다. 2. But if you increase the capacity and processing speed of semiconductors with Graphyne material, you can take the lead of the next generation semiconductor market.

3. 그래파인(Graphyne)은 탄소 동소체의 구조의 물질로서 그래핀과 유사한 특성을 지니고 있다. 3. Graphyne is a material of the structure of carbon isotopes with properties similar to graphene.

4. 반도체 성능을 높이려면 트랜지스터 크기를 줄여 전자의 이동거리를 좁히거나 전자의 이동도가 더 높은 소재를 사용해 전자가 빠르게 움직이도록 해야 한다. 4. To improve semiconductor performance, we need to reduce the size of the transistor to narrow the moving distance of the electrons, or to move the electrons faster by using materials with higher electron mobility.

5. 높은 전자 이동도를 갖고 있는 그래파인(Graphyne)은 실리콘을 대체할 물질로 주목받고 있지만 문제는 그래파인(Graphyne)이 `도체` 특성을 갖고 있다는 점이다. 그래파인(Graphyne)이 금속성을 지니고 있어 전류를 차단할 수 없다는 얘기다. 트랜지스터는 전류의 흐름과 차단으로 디지털 신호인 0과 1을 나타낸다. 그래파인(Graphyne)을 사용하려면 이를 `반도체화`하는 과정이나 충분한 진공 gap(진공갭), gap(갭, 예를들어 절연층을 의미할 수 있음), Air gap(에어갭), 진공층, 에어층, 중 선택되는 것을 가져야 한다. 5. Graphyne, which has high electron mobility, is attracting attention as a substitute for silicon, but the problem is that Graphyne has a "conductor" property. Graphyne is metallic and can not interrupt current. The transistors represent digital signals 0 and 1 due to current flow and interruption. In order to use Graphyne, it is necessary to perform a process of `semiconductorization`, a sufficient vacuum gap, a gap (which may mean a gap, for example, an insulating layer), an air gap, Air layer, which is selected.

6. 그래파인(Graphyne)이 자랑하는 전자의 이동속도를 유지하면서도 그동안 난제로 인식됐던 대기 전력 문제를 쇼키 장벽(Schottky Barrier)의 높이, Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하여 해결하는데 있다. 6. Graphyne maintains the speed of the electron movement while the standby power problem, which has been recognized as a difficult problem, is selected to be one or more of the Schottky Barrier height, the Fermi level height, One or more bending deformation, and / or position shifting of graphene is selected to solve by adjusting at least one work function.

7. 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터가 기존 트랜지스터와 다른 점은 채널 전위조절(실리콘 트랜지스터 방식)이 아닌 하나 이상의 쇼키 장벽(Schottky Barrier)의 높이조절을 통해 전자 이동속도가 빠르면서도 전류를 차단할 수 있게 한 것이며 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하여 해결하는데 있다. 7. A transistor that controls one or more of the work function with at least one selected from among more than one bending deformation, positioning, etc. of Graphyne made of Graphyne is different from the conventional transistor The Schottky barrier height control of one or more Schottky barriers rather than the channel potential control (silicon transistor type) allows the electronic current to move at high speed while blocking the current. One or more bending deformation, And one or more of the work function (work function) is adjusted by solving the problem.

8. 또한 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터가 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하여 전자 이동속도가 빠르면서도 전류를 차단할 수 있게 한 것이다. 8. A transistor having at least one bending deformation and / or position shifting of at least one graphyne made of Graphyne and having at least one function selected from the group consisting of at least one Fermi level Fermi level) to adjust the height of at least one work function so that the current can be cut off while the electron movement speed is high.

본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)을 상부에 교차되어 지나가는 장벽조정용인 교차회로의 정전기적 준위로 인하여, 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 일으켜 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비할 수 있다.In one embodiment of the present invention, due to the electrostatic level of the crossover circuit, which is for adjusting the barrier crossing over one or more graphynes, one or more bending deformation, (At least one of Schottky Barrier), Fermi level (Fermi level), or the like, where Graphyne has been selected to be selected from one or more of the work function ) May be provided.

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 시켜 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하여 하여 Work function(일함수)를 하나 이상 조절하고자 하는 트랜지스터의 원리입니다. 하나 이상의 쇼키장벽(Schottky Barrier)는 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것으로 인하여 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하게 되며, 이는 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)를 하나 이상 조절하는 방법으로도 활용될 수 있습니다. 이는 상부의 교차되어 지나가는 회로(장벽조정)의 정전기적인 준위로 인하여 조절이 가능합니다. 이러한 구성은 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형을 일으켜 하나 이상의 굽힘변형이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하는 것으로 이해되어 질 수 있습니다. 이는 그래파인(Graphyne)의 빠른 전도도를 이용하여 트랜지스터를 개발 할 수 있으며, 충분한 진공 gap(진공갭), gap(갭, 예를들어 절연층을 의미할 수 있음), Air gap(에어갭), 진공층, 에어층, 중 선택되는 것을 구비한 상태로 종래 전계효과트랜지스터보다 전도속도가 빠른 트랜지스터를 개발 할 수 있습니다. In one embodiment of the invention, one or more of the Piezo (piezo) material, the magnetic particle, the charged particle, or the charged particle is selected so that one or more of them are selected at the lower end of the Graphyne, Due to the electrostatic level of the barrier-regulating circuit, one or more Piezo particles, magnetic particles, charged particles, or charged particles may be selected from one or more of Graphyne, , Or one or more of the Schottky barrier (Schottky barrier) by adjusting the height of one or more of the work function (work function) to control more than one transistor is the principle. One or more Schottky Barriers may have one or more Schottky Barriers at one or more elevations due to the selection of one or more Piezo material, magnetic particles, charged particles, or charged particles. This can also be used to adjust one or more work functions by adjusting one or more of the heights of one or more Fermi levels (Fermi level). This can be controlled by the electrostatic level of the crossing circuit (barrier adjustment) across the top. This configuration may cause one or more bending deformation of at least one graphyne having one or more Piezo (piezoe) material, magnetic particles, charged particles, or charged particles, Graphyne with more than one bending deformation can be understood as adjusting one or more of the height of one or more Schottky Barriers. This allows for the development of transistors using the fast conductivity of Graphyne and allows for the creation of sufficient vacuum gaps, gaps (which can mean gaps, for example insulating layers), air gaps, It is possible to develop a transistor with a conduction speed higher than that of a conventional field effect transistor in a state of being selected from a vacuum layer and an air layer.

본 발명의 한 실시형태에서, 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.
In one embodiment of the present invention, it is possible to utilize the curvature characteristics of Graphyne to select one or more of Piezo (piezo) material, magnetic particle, charged particle or charged particle, At least one of a Piezo material, a magnetic particle, a particle having a charge, or a particle having an electric charge is selected because of the electrostatic level of the barrier control circuit crossed with at least one of the lower portions of the graphyne. And at least one of a bending deformation, a position shifting, and the like of the graphyne is selected.

1. 실리콘(Si) 소재의 트랜지스터가 수십 억개씩 들어가 있는 현행 반도체로는 `10나노급`이 미세공정의 한계로 꼽힌다. 1. As for the current semiconductors, which contain billions of transistors of silicon (Si), `10 nm` is considered to be the limit of microprocessing.

2. 하지만 그래파인(Graphyne) 소재로 반도체의 용량과 처리속도를 확 높이면 차세대 반도체 시장의 주도권을 쥘 수 있다. 2. But if you increase the capacity and processing speed of semiconductors with Graphyne material, you can take the lead of the next generation semiconductor market.

3. 그래파인(Graphyne)은 탄소 동소체 구조의 물질로 실리콘보다 빠르게 전자를 전달하는 특성을 지니고 있다. 3. Graphyne is a carbon isostructure material that has the property of transporting electrons faster than silicon.

4. 반도체 성능을 높이려면 트랜지스터 크기를 줄여 전자의 이동거리를 좁히거나 전자의 이동도가 더 높은 소재를 사용해 전자가 빠르게 움직이도록 해야 한다.
4. To improve semiconductor performance, we need to reduce the size of the transistor to narrow the moving distance of the electrons, or to move the electrons faster by using materials with higher electron mobility.

그러나, 상기 그래파인(Graphyne)의 뛰어난 전도도를 활용하고자 하는 경우, 너무 뛰어난 전도도로 인해 종래의 트랜지스터 방식으로는 전류의 흐름과 차단을 조절하기가 어려운 문제점이 발생하였다.However, in order to utilize the excellent conductivity of Graphyne, there has been a problem that it is difficult to control the flow and interruption of the current in the conventional transistor method due to an excessively high conductivity.

따라서, 본 발명은 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, Fermi level(페르미레벨)의 높이를 하나 이상 조절, 중 하나 이상 선택되는 것으로 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를 갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 제공함에 그 목적이 있다.      Accordingly, the present invention provides a method of controlling at least one height of one or more Schottky barriers, at least one of bending strain, position shifting, or the like of Graphyne, selected from a Fermi level At least one of a Piezo substance, a magnetic particle, a charged particle, or an electric charge particle selected from one or more of a height, a height, Wherein at least one of Piezo, Magnetic, Charged, or Charged particles is selected due to the electrostatic level of the intersecting barrier regulating circuit being selected from one or more bends of Graphyne A work function, and a position and a movement of the work function.

또한, 본 발명은 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, Fermi level(페르미레벨)의 높이를 하나 이상 조절, 중 하나 이상 선택되는 것을 교차되는 장벽조정회로의 정전기적 준위로 인하여 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 제공함에 그 목적이 있다. The present invention also provides a method of adjusting at least one height of one or more Schottky Barriers, one or more of bending strain, position shifting of Graphyne, selected at Fermi level One or more of the height adjustment may be selected. One or more of the barrier switching circuits are crossed. Due to the electrostatic level, at least one of Graphyne, bending deformation, and position movement is selected. The present invention has been made to solve the above problems.

1. 그래파인(Graphyne)이 자랑하는 전자의 이동속도를 유지하면서도 그동안 난제로 인식됐던 대기 전력 문제를 쇼키 장벽(Schottky Barrier)의 높이, Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하여 해결하는데 있다. 1. Graphine's proud standby power, while maintaining the speed of the electron movement, has been selected as one of more than one of the Schottky Barrier height, Fermi level height, One or more bending deformation, and / or position shifting of graphene is selected to solve by adjusting at least one work function.

2. 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터가 기존 트랜지스터와 다른 점은 채널 전위조절(실리콘 트랜지스터 방식)이 아닌 쇼키 장벽(Schottky Barrier)의 높이를 통해 전자 이동속도가 빠르면서도 전류를 차단할 수 있게 한 것이며 이는 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하여 해결하는데 있다.2. One or more bending deformation and / or position shifting of Graphyne made of Graphyne, and having at least one selected from among the above, a transistor which adjusts at least one work function is different from the conventional transistor The Schottky barrier height, rather than the channel potential control (silicon transistor type), allows the electron to move faster and cut off the current, which is due to one or more bends of Graphyne made of Graphyne A work function, and a displacement, a position movement, or the like.

3. 또한 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터가 하나 이상의 쇼키 장벽(Schottky Barrier)의 높이, Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하여 전자 이동속도가 빠르면서도 전류를 차단할 수 있게 한 것이다.3. The method of claim 1, wherein the transistor further comprises at least one of bending deformation, position shifting, and / or one or more bending deformation of the graphyne made of graphyne, Schottky Barrier), Fermi level (height of Fermi level), or the like, by adjusting at least one of the work function (work function) so as to block the current at a high speed of electron movement.

4. 또한 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터가 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하여 전자 이동속도가 빠르면서도 전류를 차단할 수 있게 한 것이다.4. One or more Fermi levels (at least one bending deformation, position shifting, etc.) of the Graphyne made of Graphyne and at least one Fermi level Fermi level) to adjust the height of at least one work function so that the current can be cut off while the electron movement speed is high.

5. 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하여 전자 이동속도가 빠르면서도 전류를 차단할 수 있게 한 것이다.5. At least one bending deformation and position shifting of Graphyne made of Graphyne is selected so that at least one of the work function is adjusted so that the electron movement speed is high and the current And the like.

발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.In one embodiment of the invention, there is provided a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and adjusting at least one of the Work function.

발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.In one embodiment of the invention, one or more of bending deformation, position shifting, etc. of Graphyne is selected to adjust one or more of the height of one or more Schottky Barriers, ).

발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.In one embodiment of the invention, at least one of bending deformation, position shifting of Graphyne is selected so that at least one height of at least one Fermi level (Fermi level) ).

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 시켜 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하여 Work function(일함수)를 하나 이상 조절하고자 하는 트랜지스터의 원리입니다. 하나 이상의 쇼키장벽(Schottky Barrier)는 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것으로 인하여 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하게 되며, 이는 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)를 하나 이상 조절하는 방법으로도 활용될 수 있습니다. 이는 상부의 교차되어 지나가는 회로(장벽조정)의 정전기적인 준위로 인하여 조절이 가능합니다. 이러한 구성은 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형을 일으켜 하나 이상의 굽힘변형이 가해진 하나 이상의 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier)의 높이, Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 하나 이상 조절하는 것으로 이해되어 질 수 있습니다. 이는 그래파인(Graphyne)의 빠른 전도도를 이용하여 트랜지스터를 개발 할 수 있으며, 종래의 구조가 어려웠던 그래파인(Graphyne)을 충분한 진공 gap(진공갭), gap(갭, 예를들어 절연층을 의미할 수 있음), Air gap(에어갭), 진공층, 에어층, 중 선택되는 것을 구비한 상태로 종래 전계효과트랜지스터보다 전도속도가 빠른 트랜지스터를 개발 할 수 있습니다. In one embodiment of the invention, one or more of the Piezo (piezo) material, the magnetic particle, the charged particle, or the charged particle is selected so that one or more of them are selected at the lower end of the Graphyne, Due to the electrostatic level of the barrier-regulating circuit, one or more Piezo particles, magnetic particles, charged particles, or charged particles may be selected from one or more of Graphyne, , Or more than one of the Schottky Barrier (Schottky Barrier) to adjust the height of at least one of the work function (work function) to control more than one transistor is the principle. One or more Schottky Barriers may have one or more Schottky Barriers at one or more elevations due to the selection of one or more Piezo material, magnetic particles, charged particles, or charged particles. This can also be used to adjust one or more work functions by adjusting one or more of the heights of one or more Fermi levels (Fermi level). This can be controlled by the electrostatic level of the crossing circuit (barrier adjustment) across the top. This configuration may cause one or more bending deformation of at least one graphyne having one or more Piezo (piezoe) material, magnetic particles, charged particles, or charged particles, One or more of the graphynes to which more than one bending deformation has been applied can be understood as adjusting one or more of one or more of the height of one or more Schottky barriers (Schottky Barrier), the height of the Fermi level (Fermi level) This allows us to develop transistors using the fast conductivity of Graphyne, and it is possible to develop transistors that have difficulty in conventional structures by means of a sufficient vacuum gap (vacuum gap), gap (gap, (Air gap), a vacuum layer, and an air layer, it is possible to develop a transistor having a higher conduction speed than that of a conventional field effect transistor.

본 발명의 한 실시형태에서, 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. In one embodiment of the present invention, it is possible to utilize the curvature characteristics of Graphyne to select one or more of Piezo (piezo) material, magnetic particle, charged particle or charged particle, At least one of a Piezo material, a magnetic particle, a particle having a charge, or a particle having an electric charge is selected because of the electrostatic level of the barrier control circuit crossed with at least one of the lower portions of the graphyne. And at least one of a bending deformation, a position shift, and the like of the graphyne is selected so as to control at least one work function.

상기에서 설명한 바와 같이 이루어진 본 발명에 따르면, 대기 전력 문제를 하나 이상의 쇼키 장벽(Schottky Barrier)의 높이, Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하여 해결하면 종래의 트랜지스터보다 처리속도가 빠른 트랜지스터를 개발 할 수 있는 효과가 있게 된다.According to the present invention as described above, the atmospheric power problem can be reduced to one or more bends of Graphyne being selected from one or more of the height of one or more Schottky barriers (Schottky Barrier), the height of Fermi level (Fermi level) A work function and a work function can be solved by adjusting at least one of a work function and a work function so that a transistor having a higher processing speed than a conventional transistor can be developed.

또한 상기에서 설명한 바와 같이 이루어진 본 발명에 따르면, 대기 전력 문제를 하나 이상의 쇼키 장벽(Schottky Barrier)의 높이, Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하여 해결하면 종래의 트랜지스터보다 처리속도가 빠른 트랜지스터를 개발 할 수 있는 효과가 있게 된다. In addition, according to the present invention as described above, the standby power problem can be reduced to one or more Piezo materials selected from one or more of a height of one Schottky barrier (Schottky barrier), a height of a Fermi level (Fermi level) A work function (work function) is provided by having at least one of magnetic particles, particles having electric charge, particles having electric charge and particles having electric charge selected from at least one of Graphyne, bending deformation, It is possible to develop a transistor having a higher processing speed than a conventional transistor.

도 1
a. 1 내지 3 으로 구성되는, 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)이 상부에 구비된)이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
b. 1 내지 3 으로 구성되는, 교차되어 지나가는 300(빗금쳐져 있는 부위)의 정전기적 준위로 인하여 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)이 상부에 구비된)이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
c. 1 내지 3 으로 구성되는, 하나 이상의 그래파인(Graphyne)이 하나 이상의 300(빗금쳐져 있지 않은)과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 구성하고, 교차되어 지나가는 300(빗금쳐져 있는 부위)의 정전기적 준위로 인하여 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)이 상부에 구비된)이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면
d. 1 내지 3 으로 구성되는, 하나 이상의 그래파인(Graphyne)이 하나 이상의 300(빗금쳐져 있지 않은)과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 구성하고, 교차되어 지나가는 300(빗금쳐져 있는 부위)의 정전기적 준위로 인하여 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)이 상부에 구비된)이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면
e. 1 내지 3 으로 구성되는, 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)이 상부에 구비된)이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다. 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)이 상부에 구비된)이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다.
f. 상기 a 내지 e 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다. 본 발명의 한 실시형태에서, 본 도면의 구성은 하나 이상의 쿨롱 봉쇄(Coulomb blockade)로서 하나 이상 재구성되어 설명될 수 있다.
도 2
a. 하나 이상의 그래파인(Graphyne)(200)이 하나 이상의 300과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 구성하고, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
b. 하나 이상의 그래파인(Graphyne)(200)이 하나 이상의 300과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 구성하고, 교차되어 지나가는 300(도면에는 없지만 도면속의 구성이 교차되어 포함되어 있는)의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 300으로 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하여 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
c. 하나 이상의 그래파인(Graphyne)(200)이 하나 이상의 300과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 구성하고, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
d. 도면의 구성이 다음과 같이 설명될 수 있다. 하나 이상의 그래파인(Graphyne)(200)이 하나 이상의 300과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이, 하나 이상의 Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 구성하고, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
e. 도면의 설명과는 무관하게 도면의 구성이 다음과 같이 설명될 수 있다. 하나 이상의 그래파인(Graphyne)(200)이 하나 이상의 300과의 하나 이상의 Fermi level(페르미레벨)의 높이를 구성하고, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
f. 상기 a 내지 e 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다. 본 발명의 한 실시형태에서, 본 도면의 구성은 하나 이상의 쿨롱 봉쇄(Coulomb blockade)로서 하나 이상 재구성되어 설명될 수 있다.
도 3
a. 1 내지 3 으로 구성되는, 90 또는 100(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)이 상부에 구비된)이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
b. 1 내지 3 으로 구성되는, 300(빗금쳐져 있는 부위)의 정전기적 준위로 인하여 90 또는 100(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)이 상부에 구비된)이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
c. 1 내지 3 으로 구성되는, 도면에서 통로는 접착물질, 엘라스토머, 액체고분자, 부도체, 절연층, 중 선택되는 것을 의미하며, 본 발명의 한 실시형태에서, 도면에서 빈공간은 진공층, Air층(에어층), 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 의미한다.
d. 1 내지 3 으로 구성되는, 하나 이상의 그래파인(Graphyne)이 하나 이상의 300(빗금쳐져 있지 않은)과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 구성하고, 교차되어 지나가는 300(빗금쳐져 있는 부위)의 정전기적 준위로 인하여 90 또는 100(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)이 상부에 구비된)이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면
e. 1 내지 3 로 구성되는, 하나 이상의 그래파인(Graphyne)은 90 또는 100(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)의 위에 구비되어 있지만 도면에는 90 또는 100으로 같이 설명한다. 작동내용은 아래와 같이 설명된다. 90 또는 100(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다. 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 90 또는 100(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다.
f. 상기 a 내지 e 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다. 본 발명의 한 실시형태에서, 본 도면의 구성은 하나 이상의 쿨롱 봉쇄(Coulomb blockade)로서 하나 이상 재구성되어 설명될 수 있다.
도 4
a. 하나 이상의 그래파인(Graphyne)(200)이 하나 이상의 300(빗금쳐져 있지 않은)과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 구성하고, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
b. 하나 이상의 그래파인(Graphyne)(200)이 하나 이상의 300(빗금쳐져 있지 않은)과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 구성하고, 교차되어 지나가는 300(도면에는 없지만 도면속의 구성이 교차되어 포함되어 있는)의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
c. 하나 이상의 그래파인(Graphyne)(200)이 하나 이상의 300(빗금쳐져 있지 않은)과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 구성하고, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
d. 도면의 구성이 다음과 같이 설명될 수 있다. 하나 이상의 그래파인(Graphyne)(200)이 하나 이상의 300과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이, 하나 이상의 Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 구성하고, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
e. 도면의 설명과는 무관하게 도면의 구성이 다음과 같이 설명될 수 있다. 하나 이상의 그래파인(Graphyne)(200)이 하나 이상의 300(빗금쳐져 있지 않은)과의 하나 이상의 Fermi level(페르미레벨)의 높이를 구성하고, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
f. 상기 a 내지 e 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다. 본 발명의 한 실시형태에서, 본 도면의 구성은 하나 이상의 쿨롱 봉쇄(Coulomb blockade)로서 하나 이상 재구성되어 설명될 수 있다.
도 5
a. 1 내지 3 으로 구성되는, 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
b. 1 내지 3 으로 구성되는, 교차되어 지나가는 300(도면속의 구성이 교차되어 포함되어 있는 빗금쳐져 있는 부위-장벽조정)의 정전기적 준위로 인하여 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 그래파인(Graphyne)(200)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다.
c. 1 내지 3 으로 구성되는, 도면에서 통로는 접착물질, 엘라스토머, 액체고분자, 부도체, 절연층, 중 선택되는 것을 의미하며, 본 발명의 한 실시형태에서, 도면에서 빈공간은 진공층, Air층(에어층), 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 의미한다.
d. 1 내지 3 으로 구성되는, 하나 이상의 그래파인(Graphyne)이 하나 이상의 300(빗금쳐져 있지 않은)과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 구성하고, 교차되어 지나가는 300(빗금쳐져 있는 부위)의 정전기적 준위로 인하여 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하나 이상의 200(그래파인(Graphyne))을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면
e. 1 내지 3 로 구성되는, 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하나 이상의 200(그래파인(Graphyne))을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다. 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하나 이상의 200(그래파인(Graphyne))을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 하나 이상의 300으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다.
f. 상기 a 내지 e 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다. 본 발명의 한 실시형태에서, 본 도면의 구성은 하나 이상의 쿨롱 봉쇄(Coulomb blockade)로서 하나 이상 재구성되어 설명될 수 있다.
도 6
a. 1 내지 4 로 구성되는, 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하나 이상의 200(그래파인(Graphyne))을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300(도면에 작성되어 있지는 않으나 도면의 회로구성이 포함되어 있는)으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다. 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하나 이상의 200(그래파인(Graphyne))을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 하나 이상의 300(도면에 작성되어 있지는 않으나 도면의 회로구성이 포함되어 있는)으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다.
b. 1 내지 4 로 구성되는, 교차되어 지나가는 300(도면에 작성되어 있지는 않으나 도면의 회로구성이 포함되어 있는)의 정전기적 준위로 인하여 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하나 이상의 200(그래파인(Graphyne))을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300(도면에 작성되어 있지는 않으나 도면의 회로구성이 포함되어 있는)으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나의 회로로만도 전자의 이동이 가능함을 의미한다. 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하나 이상의 200(그래파인(Graphyne))을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 하나 이상의 300(도면에 작성되어 있지는 않으나 도면의 회로구성이 포함되어 있는)으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다.
c. 1 내지 4 로 구성되는, 하나 이상의 200(그래파인(Graphyne))이 하나 이상의 300(도면에 작성되어 있지는 않으나 도면의 회로구성이 포함되어 있는)과의 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 구성하고, 교차되어 지나가는 300(도면에 작성되어 있지는 않으나 도면의 회로구성이 포함되어 있는)의 정전기적 준위로 인하여 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하나 이상의 200(그래파인(Graphyne))을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 하나 이상의 300(도면에 작성되어 있지는 않으나 도면의 회로구성이 포함되어 있는)으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면. 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 110(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하나 이상의 200(그래파인(Graphyne))을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 하나 이상의 300(도면에 작성되어 있지는 않으나 도면의 회로구성이 포함되어 있는)으로 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다.
d. 상기 a 내지 c 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다. 본 발명의 한 실시형태에서, 본 도면의 구성은 하나 이상의 쿨롱 봉쇄(Coulomb blockade)로서 하나 이상 재구성되어 설명될 수 있다.
도 7
a. 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 하나 이상의 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것(맨 밑에 하단부에 구비되어 있는)이 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 우측면의 회로로 Work funiction(일함수)의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다.
b. 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 하나 이상의 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것(맨 밑에 하단부에 구비되어 있는)이 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여, Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다.
c. 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것(맨 밑에 하단부에 구비되어 있는)이 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여, Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다.
d. 상기 a 내지 c 에서, 본 도면은 교차되어 지나가는 회로(장벽조정)과 그래파인(Graphyne)이 하나의 전지에 연결되어 있으며, 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 하나 이상의 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것(맨 밑에 하단부에 구비되어 있는)이 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다.
e. 상기 a 내지 c 에서, 본 도면은 교차되어 지나가는 회로(장벽조정)과 그래파인(Graphyne)이 하나의 전지에 연결되어 있으며, 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것(맨 밑에 하단부에 구비되어 있는)이 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다.
f. 본 도면의 설정과 관계없이, 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 하나 이상의 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다.
g. 상기 a 내지 f 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다.
h. 상기 a 내지 e 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다. 본 발명의 한 실시형태에서, 본 도면의 구성은 하나 이상의 쿨롱 봉쇄(Coulomb blockade)로서 하나 이상 재구성되어 설명될 수 있다.
도 8
a. 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 하나 이상의 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것(맨 밑에 하단부에 구비되어 있는)이 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 우측면의 회로로 Work funiction(일함수)의 조절으로 연결되는 도면, 여기서는 하나 이상의 회로로 연결될 수 있음을 의미한다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다
b. 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 하나 이상의 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것(맨 밑에 하단부에 구비되어 있는)이 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여, Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다
c. 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것(맨 밑에 하단부에 구비되어 있는)이 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여, Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다
d. 상기 a 내지 c 에서, 본 도면은 교차되어 지나가는 회로(장벽조정)과 그래파인(Graphyne)이 하나의 전지에 연결되어 있으며, 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 하나 이상의 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것(맨 밑에 하단부에 구비되어 있는)이 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다
e. 상기 a 내지 c 에서, 본 도면은 교차되어 지나가는 회로(장벽조정)과 그래파인(Graphyne)이 하나의 전지에 연결되어 있으며, 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것(맨 밑에 하단부에 구비되어 있는)이 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다
f. 본 도면의 설정과 관계없이, 교차되어 지나가는 회로(장벽조정)의 정전기적 준위로 인하여 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 하나 이상의 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 도면을 의미할 수 있다. 이는 일반적인 트랜지스터의 원리로서 하나 이상의 회로로도 전자의 이동이 가능함을 의미한다.
g. 상기 a 내지 f 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다.
h. 상기 a 내지 e 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다. 본 발명의 한 실시형태에서, 본 도면의 구성은 하나 이상의 쿨롱 봉쇄(Coulomb blockade)로서 하나 이상 재구성되어 설명될 수 있다.
도 9
a. 본 도면은, 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로로 인하여 하나 이상의 Piezo(피에조) 물질이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하되, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터의 주요 회로도를 설명하는 도면이다.
b. 본 도면은, 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로로 인하여 하나 이상의 Piezo(피에조) 물질이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하되, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터의 주요 회로도를 설명하는 도면으로도 이해될 수 있다.
c. 상기 a 내지 b 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다.
d. 상기 a 내지 c 중 하나 이상 선택되는 것에서, 본 발명의 한 실시형태에서, 본 도면에서 제시되는 구성요소는 일면에서 제시하는 설명의 범위 안에서 본 발명에서 제시하는 물리적 치수를 하나 이상 구비하며 그 크기나 모양이 달라질 수 있다. 본 발명의 한 실시형태에서, 본 도면의 구성은 하나 이상의 쿨롱 봉쇄(Coulomb blockade)로서 하나 이상 재구성되어 설명될 수 있다.
1
a. (With one or more Piezo particles, magnetic particles, charged particles or charged particles, and Graphyne at the top), each consisting of one to three Wherein at least one of more than one bending deformation, position shifting, or the like is selected and connected to at least one adjustment of the Work funiction (work function), wherein at least one of the bending deformation, . This means that electrons can move only by one circuit as a general transistor principle.
b. (One or more Piezo (piezo) material, magnetic particles, charged particles, or charged particles) due to the electrostatic levels of the crossed 300 (hatched areas) One or more bending deformation, and / or position shifting of at least one graphyne (with the selected Graphyne at the top) Quot; means connected to one or more adjustments of < / RTI > This means that electrons can move only by one circuit as a general transistor principle.
c. One or more Graphyne's consisting of 1 to 3 constitute the height of one or more Schottky Barriers with one or more 300 (not hatched), and 300 (hatched areas) (One or more Piezo particles, magnetic particles, charged particles or charged particles, and Graphyne on top) due to the electrostatic level of one or more Drawing connected to one or more adjustments of Work funiction (work function) with more than one of Graphine's bending deformation,
d. 1 to 3, wherein one or more Graphyne can control one or more of the height of one or more Schottky Barriers with one or more 300 (not hatched), Fermi level (Fermi level) One or more of which can be controlled by one or more of the height, and the electrostatic level of the crossed 300 (hatched area) 110 (one or more Piezo material, magnetic particles, charge) (Graphyne) having at least one selected from the group consisting of grains having at least one grains or grains having grains, and at least one graphyne having at least one of bending deformation and position shifting. A drawing that leads to one or more adjustments of Work funiction (work function) to one or more 300
e. (With one or more Piezo particles, magnetic particles, charged particles or charged particles, and Graphyne at the top), each consisting of one to three Wherein at least one of more than one bending deformation, position shifting, or the like is selected and connected to at least one adjustment of the Work funiction (work function), wherein at least one of the bending deformation, . This means that electrons can move only by one circuit as a general transistor principle. In one embodiment of the present invention, one or more of the height of one or more Schottky barriers can be adjusted, or one or more of the height of a Fermi level (Fermi level) (Graphyne) having one or more Piezo particles, one or more Piezo particles, a magnetic particle, a charged particle or a charged particle, and one or more Graphyne Bending deformation, position shifting, or the like, and is connected to one or more adjustments of the Work funiction (work function) with one or more of 300s.
f. In an embodiment of the present invention, at least one of the above a to e is selected. In the drawings, the constituent elements shown in the drawings are provided with one or more physical dimensions suggested by the present invention within the scope of the description given in one aspect, The shape may vary. In one embodiment of the present invention, the configuration of the figures may be described as one or more Coulomb blockades and / or one or more reconstructions.
2
a. One or more Graphyne 200s constitute the height of one or more Schottky Barriers with 300 and are made up of one or more Piezo materials, magnetic particles, charged particles, Particles may be selected by one or more of at least one graphyne (200) and at least one of more than one bending deformation, It is meant herein to be connected to one or more circuits. This means that electrons can move only by one circuit as a general transistor principle.
b. One or more Graphyne 200s constitute the height of one or more Schottky Barriers with 300 and the intersections of the passing 300 (not included in the figure, One or more of the Piezo particles, magnetic particles, charged particles, or charged particles may be selected from one or more of the graphynes 200 due to the miraculous level, , One or more of which may be connected to one or more adjustments of the Work funiction by adjusting one or more of the height of one or more schottky barriers to 300, it means. This means that electrons can move only by one circuit as a general transistor principle.
c. One or more Graphyne 200s constitute the height of one or more Schottky Barriers with 300 and are made up of one or more Piezo materials, magnetic particles, charged particles, Particles may be selected from at least one of at least one Graphyne 200 and at least one bending deformation and positional movement so that one or more of the at least one Fermi level Means that at least 300 can be connected to more than one control of the work function (work function), in this case more than one circuit. This means that electrons can move only by one circuit as a general transistor principle.
d. The configuration of the drawings can be described as follows. Wherein at least one graphyne 200 comprises at least one of a height of one or more Schottky Barriers with one or more 300 and a height of one or more Fermi levels, (Graphite) material, magnetic particles, charged particles, or charged particles is selected from one or more of graphite (b), graphite (b), graphite (b) This means that more than one Fermi level height can be connected to more than one circuit, with one or more adjustments to one or more 300 adjustments of Work funiction (work function). This means that electrons can move only by one circuit as a general transistor principle.
e. The configuration of the drawings can be described as follows regardless of the description of the drawings. One or more Graphyne 200s constitute a height of one or more Fermi levels with one or more 300 and are made of one or more Piezo materials, magnetic particles, charged particles, Particles may be selected from at least one of at least one Graphyne 200 and at least one bending deformation and positional movement so that one or more of the at least one Fermi level Means that at least 300 can be connected to more than one control of the work function (work function), in this case more than one circuit. This means that electrons can move only by one circuit as a general transistor principle.
f. In an embodiment of the present invention, at least one of the above a to e is selected. In the drawings, the constituent elements shown in the drawings are provided with one or more physical dimensions suggested by the present invention within the scope of the description given in one aspect, The shape may vary. In one embodiment of the present invention, the configuration of the figures may be described as one or more Coulomb blockades and / or one or more reconstructions.
3
a. (With Graphyne on top) of 90 or 100 (one or more Piezo material, magnetic particles, charged particles, or charged particles, consisting of 1 to 3) Wherein at least one of the at least one graphyne is connected to at least one adjustment of the Work funiction with at least one of more than one bending deformation, It can be connected. This means that electrons can move only by one circuit as a general transistor principle.
b. One or more of 90 or 100 (one or more Piezo material, magnetic particles, charged particles, or charged particles) may be selected because of the electrostatic level of 300 (hatched area) And one or more bending deformation and / or position shifting of at least one graphyne, each of which is provided at the top thereof, and at least one of which is provided with a graphyne, Quot; means connected to one or more adjustments, in this case to one or more circuits. This means that electrons can move only by one circuit as a general transistor principle.
c. 1 to 3, the passages in the drawings are selected from among an adhesive material, an elastomer, a liquid polymer, an insulator and an insulating layer. In one embodiment of the present invention, Air layer), one or more of the height of the Schottky barrier (Fermi level), or one or more of the height of the Fermi level (Fermi level).
d. One or more Graphyne's consisting of 1 to 3 constitute the height of one or more Schottky Barriers with one or more 300 (not hatched), and 300 (hatched areas) (With one or more Piezo particles, magnetic particles, charged particles or charged particles, and Graphyne on top) due to the electrostatic level of the particles Drawing connected to one or more adjustments of Work funiction (work function) with one or more of at least one bending deformation, position shifting, or one or more selected Graphyne
e. 1 to 3, at least one Graphyne is formed on the top of a 90 or 100 (one or more Piezo material, magnetic particles, charged particles, or charged particles) However, 90 or 100 will be described as follows. The operation is described as follows. 90, or 100 (one or more of Piezo, a magnetic particle, a charged particle, or a charged particle) may comprise one or more bend strains, Means that at least one of 300 can be connected to one or more adjustments of the Work funiction (work function), where one or more circuits can be connected. This means that electrons can move only by one circuit as a general transistor principle. In one embodiment of the present invention, one or more of the height of one or more Schottky Barriers (the height of the Fermi level), 90 or more of the height of one or more Schottky Barriers, One or more of 100 (Piezo) materials, magnetic particles, charged particles, or charged particles) may have one or more bends, And may be connected to one or more adjustments of the Work funiction (work function) with more than one 300.
f. In an embodiment of the present invention, at least one of the above a to e is selected. In the drawings, the constituent elements shown in the drawings are provided with one or more physical dimensions suggested by the present invention within the scope of the description given in one aspect, The shape may vary. In one embodiment of the present invention, the configuration of the figures may be described as one or more Coulomb blockades and / or one or more reconstructions.
4
a. One or more Graphyne 200s constitute the height of one or more Schottky Barriers with one or more 300 (not hatched), and one or more Piezo (Piezo) materials, magnetic particles, Particles or charged particles may be selected from one or more of at least one graphyne (200) and at least one of bending deformation, Quot; means connected to one or more adjustments, in this case to one or more circuits. This means that electrons can move only by one circuit as a general transistor principle.
b. One or more Graphyne 200 constitutes the height of one or more Schottky Barriers with one or more 300 (not hatched), and the intersection of 300 and 300 (the configuration in the drawing is crossed One or more of the Piezo material, the magnetic particle, the charged particle, or the charged particle may be selected from one or more of Graphyne 200 due to the electrostatic level of the material Means that at least one of more than one of 300 or more bending deformation, positional bending, or the like is connected to one or more adjustments of Work function (work function), in this case, to more than one circuit. This means that electrons can move only by one circuit as a general transistor principle.
c. One or more Graphyne 200s constitute the height of one or more Schottky Barriers with one or more 300 (not hatched), and one or more Piezo (Piezo) materials, magnetic particles, One or more of the particles or electrified particles may be selected from at least one of more than one graphyne (200) and at least one of the bending deformation and the position shifting. The height of one or more Fermi levels Means that one or more adjustments can be made to one or more of the drawings, here connected to one or more adjustments of the Work funiction (work function). This means that electrons can move only by one circuit as a general transistor principle.
d. The configuration of the drawings can be described as follows. Wherein at least one graphyne 200 comprises at least one of a height of one or more Schottky Barriers with one or more 300 and a height of one or more Fermi levels, (Graphite) material, magnetic particles, charged particles, or charged particles is selected from one or more of graphite (b), graphite (b), graphite (b) This means that more than one Fermi level height can be connected to more than one circuit, with one or more adjustments to one or more 300 adjustments of Work funiction (work function). This means that electrons can move only by one circuit as a general transistor principle.
e. The configuration of the drawings can be described as follows regardless of the description of the drawings. One or more Graphyne 200 constitutes the height of one or more Fermi levels with one or more 300 (not hatched), and one or more Piezo materials, magnetic particles, One or more of the particles or electrified particles may be selected from at least one of more than one graphyne (200) and at least one of the bending deformation and the position shifting. The height of one or more Fermi levels Means that one or more adjustments can be made to one or more of the drawings, here connected to one or more adjustments of the Work funiction (work function). This means that electrons can move only by one circuit as a general transistor principle.
f. In an embodiment of the present invention, at least one of the above a to e is selected. In the drawings, the constituent elements shown in the drawings are provided with one or more physical dimensions suggested by the present invention within the scope of the description given in one aspect, The shape may vary. In one embodiment of the present invention, the configuration of the figures may be described as one or more Coulomb blockades and / or one or more reconstructions.
5
a. (One or more Piezo particles, magnetic particles, charged particles, or charged particles) selected from one or more of Graphyne 200, one or more Means that at least one of 300 or more of the work functions may be connected to one or more adjustments of the work function, in this case one or more circuits. This means that electrons can move only by one circuit as a general transistor principle.
b. Due to the electrostatic levels of the crossed passing 300 (the hatched areas where the configurations in the figure are crossed and the hatched areas - the barrier adjustment), consisting of 1 to 3, one or more Piezo (piezoe) materials, magnetic particles, Or more than one of at least one of the graphyne (200) and the at least one of the bending deformation, the position shifting, and the work function (work function) Quot; means connected to one or more adjustments of < / RTI > This means that electrons can move only by one circuit as a general transistor principle.
c. 1 to 3, the passages in the drawings are selected from among an adhesive material, an elastomer, a liquid polymer, an insulator and an insulating layer. In one embodiment of the present invention, Air layer), one or more of the height of the Schottky barrier (Fermi level), or one or more of the height of the Fermi level (Fermi level).
d. One or more Graphyne's consisting of 1 to 3 constitute the height of one or more Schottky Barriers with one or more 300 (not hatched), and 300 (hatched areas) (At least one Piezo substance, a magnetic particle, a charged particle, or a charged particle) due to an electrostatic level of at least one of 200 (Graphyne) An ideal bending deformation, a position movement, or the like, and is connected to one or more adjustments of Work funiction (work function)
e. (One selected from among at least one Piezo material, magnetic particles, charged particles, or charged particles) consisting of 1 to 3 of at least 200 (Graphyne) Means that at least one of more than one 300 is connected to one or more adjustments of the Work funiction (work function), with at least one of more than one bending deformation, position shifting being selected, wherein more than one circuit can be connected. This means that electrons can move only by one circuit as a general transistor principle. In one embodiment of the present invention, one or more of the height of one or more Schottky barriers can be adjusted, or one or more of the height of a Fermi level (Fermi level) One or more Piezo particles, magnetic particles, charged particles, or charged particles), one or more of 200 (Graphyne), one or more of bending, locating, May refer to a drawing having one or more selected and connected to one or more adjustments of the Work funiction to one or more of the 300s.
f. In an embodiment of the present invention, at least one of the above a to e is selected. In the drawings, the constituent elements shown in the drawings are provided with one or more physical dimensions suggested by the present invention within the scope of the description given in one aspect, The shape may vary. In one embodiment of the present invention, the configuration of the figures may be described as one or more Coulomb blockades and / or one or more reconstructions.
6
a. (At least one of Piezo material, magnetic particles, charged particles, or charged particles) consisting of 1 to 4 of at least 200 (Graphyne) (One or more bending deformation, position shifting, or the like), and is connected to one or more adjustments of the work funiction (work function) to one or more 300 It is meant herein to be connected to one or more circuits. This means that electrons can move only by one circuit as a general transistor principle. In one embodiment of the present invention, one or more of the height of one or more Schottky barriers can be adjusted, or one or more of the height of a Fermi level (Fermi level) One or more Piezo particles, magnetic particles, charged particles, or charged particles), one or more of 200 (Graphyne), one or more of bending, locating, May refer to a drawing having one or more selected and connected to one or more adjustments of Work funiction (work function) to one or more 300 (not drawn in the figure but including the circuit configuration of the drawing).
b. (One or more Piezo substances, magnetic particles, particles having electric charge) due to the electrostatic levels of the crossing 300 (not shown in the figure but including the circuit configuration of the figure) Or charged particles) having at least one of more than one 200 (Graphyne) selected from one or more of bending deformation, position shifting, Means that one or more circuits may be connected to one or more circuits connected to one or more adjustments of the work function (not including the circuit configuration of the drawing but not shown). This means that electrons can move only by one circuit as a general transistor principle. In one embodiment of the present invention, one or more of the height of one or more Schottky barriers can be adjusted, or one or more of the height of a Fermi level (Fermi level) One or more Piezo particles, magnetic particles, charged particles, or charged particles), one or more of 200 (Graphyne), one or more of bending, locating, May refer to a drawing having one or more selected and connected to one or more adjustments of Work funiction (work function) to one or more 300 (not drawn in the figure but including the circuit configuration of the drawing).
c. (Height) of one or more Schottky Barriers with one or more 200 (Graphyne), made up of 1 to 4, with one or more 300 (not shown in the figure but including the circuitry of the drawing) One or more adjustable ones, one or more adjustable heights of Fermi level (Fermi level), and a crossed 300 (which is not shown in the drawing, (At least one of Piezo material, magnetic particles, charged particles, or charged particles) due to the electrostatic level of one or more 200 (Graphyne) One or more of bending deformation, positional movement, or the like is selected, and one or more 300 (including not shown in the figure but including the circuit configuration of the drawing) k < / RTI > In one embodiment of the present invention, one or more of the height of one or more Schottky barriers can be adjusted, or one or more of the height of a Fermi level (Fermi level) One or more Piezo particles, magnetic particles, charged particles, or charged particles), one or more of 200 (Graphyne), one or more of bending, locating, May refer to a drawing having one or more selected and connected to one or more adjustments of Work funiction (work function) to one or more 300 (not drawn in the figure but including the circuit configuration of the drawing).
d. In an embodiment of the present invention, at least one of the above-mentioned items a to c is selected. In the drawings, the constituent elements shown in the drawings have one or more physical dimensions suggested by the present invention, The shape may vary. In one embodiment of the present invention, the configuration of the figures may be described as one or more Coulomb blockades and / or one or more reconstructions.
7
a. At least one of magnetic particles, charged particles or charged particles due to the electrostatic level of the passing circuit (barrier adjustment) is selected (at the bottom of the bottom) (Upper layer where strain is applied) is connected to control of work funiction by one or more of bending deformation, position movement, and right side circuit, which means that it can be connected to more than one circuit do. This means that electrons can move to one or more circuits as a general transistor principle.
b. In one embodiment of the present invention due to the electrostatic level of the crossing circuit (barrier adjustment), one or more of the height of one or more schottky barriers can be adjusted, the height of the Fermi level One or more of which may be selected from one or more of magnetic particles, charged particles, or charged particles, selected from Graphyne (located at the bottom of the bottom) (I.e., an upper layer to which a deformation is applied) may be selected from one or more of bending deformation and position shifting, and connected to one or more adjustments of the work function. This means that electrons can move to one or more circuits as a general transistor principle.
c. In one embodiment of the present invention due to the electrostatic level of the crossing circuit (barrier adjustment), one or more of the height of one or more schottky barriers can be adjusted, the height of the Fermi level One or more of which may be selected from one or more of the following: a piezoelectric material, a magnetic particle, a charged particle, or a charged particle, May refer to a drawing connected to one or more adjustments of the work funiction by having at least one of Graphyne (upper layer subjected to deformation) selected from at least one of bending deformation and position shifting. This means that electrons can move to one or more circuits as a general transistor principle.
d. In the above a to c, the figure shows that the crossed circuit (barrier adjustment) and the graphyne are connected to one cell, and due to the electrostatic level of the passing circuit (barrier adjustment) In embodiments, one or more of the magnetic particles selected from one or more of the one or more of the Schottky Barrier (height of the Schottky Barrier) and the Fermi level (the Fermi level) (At the bottom of the bottom) of one or more of the grains (grafted or charged grains), grainy (Graphyne) And may be associated with one or more adjustments of the work function. This means that electrons can move to one or more circuits as a general transistor principle.
e. In the above a to c, the figure shows that the crossed circuit (barrier adjustment) and the graphyne are connected to one cell, and due to the electrostatic level of the passing circuit (barrier adjustment) In embodiments, one or more of the Piezo (s) may be selected from one or more of which one or more of the height of the Schottky Barrier can be adjusted, or one or more of which the height of the Fermi level (s) At least one of the particles, the magnetic particles, the charged particles, or the charged particles, selected at the bottom (at the bottom of the bottom), is subjected to at least one bending deformation of this graphyne (top layer with deformation) Position shifting, and the like, and may be connected to one or more adjustments of the work function (work function). This means that electrons can move to one or more circuits as a general transistor principle.
f. Regardless of the setting of this figure, in one embodiment of the present invention due to the electrostatic level of the crossed circuit (barrier adjustment), one or more of the height of one or more Schottky Barriers can be adjusted, the Fermi level (Fermi level), one or more of which may be selected from one or more of Graphine (upper layer subjected to deformation), or one or more of bending deformation and position shifting Quot; work " to < / RTI > one or more adjustments of the work function. This means that electrons can move to one or more circuits as a general transistor principle.
g. In one embodiment of the present invention, at least one of the above items a to f is selected. In the drawings, the constituent elements shown in this drawing have one or more physical dimensions suggested by the present invention, The shape may vary.
h. In an embodiment of the present invention, at least one of the above a to e is selected. In the drawings, the constituent elements shown in the drawings are provided with one or more physical dimensions suggested by the present invention within the scope of the description given in one aspect, The shape may vary. In one embodiment of the present invention, the configuration of the figures may be described as one or more Coulomb blockades and / or one or more reconstructions.
8
a. At least one of magnetic particles, charged particles or charged particles due to the electrostatic level of the passing circuit (barrier adjustment) is selected (at the bottom of the bottom) (Upper layer where strain is applied) is connected to control of work funiction by one or more of bending deformation, position movement, and right side circuit, which means that it can be connected to more than one circuit do. This means that electrons can move to one or more circuits as a general transistor principle
b. In one embodiment of the present invention due to the electrostatic level of the crossing circuit (barrier adjustment), one or more of the height of one or more schottky barriers can be adjusted, the height of the Fermi level One or more of which may be selected from one or more of magnetic particles, charged particles, or charged particles, selected from Graphyne (located at the bottom of the bottom) (I.e., an upper layer to which a deformation is applied) may be selected from one or more of bending deformation and position shifting, and connected to one or more adjustments of the work function. This means that electrons can move to one or more circuits as a general transistor principle
c. In one embodiment of the present invention due to the electrostatic level of the crossing circuit (barrier adjustment), one or more of the height of one or more schottky barriers can be adjusted, the height of the Fermi level One or more of which may be selected from one or more of the following: a piezoelectric material, a magnetic particle, a charged particle, or a charged particle, May refer to a drawing connected to one or more adjustments of the work funiction by having at least one of Graphyne (upper layer subjected to deformation) selected from at least one of bending deformation and position shifting. This means that electrons can move to one or more circuits as a general transistor principle
d. In the above a to c, the figure shows that the crossed circuit (barrier adjustment) and the graphyne are connected to one cell, and due to the electrostatic level of the passing circuit (barrier adjustment) In embodiments, one or more of the magnetic particles selected from one or more of the one or more of the Schottky Barrier (height of the Schottky Barrier) and the Fermi level (the Fermi level) (At the bottom of the bottom) of one or more of the grains (grafted or charged grains), grainy (Graphyne) And may be associated with one or more adjustments of the work function. This means that electrons can move to one or more circuits as a general transistor principle
e. In the above a to c, the figure shows that the crossed circuit (barrier adjustment) and the graphyne are connected to one cell, and due to the electrostatic level of the passing circuit (barrier adjustment) In embodiments, one or more of the Piezo (s) may be selected from one or more of which one or more of the height of the Schottky Barrier can be adjusted, or one or more of which the height of the Fermi level (s) At least one of the particles, the magnetic particles, the charged particles, or the charged particles, selected at the bottom (at the bottom of the bottom), is subjected to at least one bending deformation of this graphyne (top layer with deformation) Position shifting, and the like, and may be connected to one or more adjustments of the work function (work function). This means that electrons can move to one or more circuits as a general transistor principle
f. Regardless of the setting of this figure, in one embodiment of the present invention due to the electrostatic level of the crossed circuit (barrier adjustment), one or more of the height of one or more Schottky Barriers can be adjusted, the Fermi level (Fermi level), one or more of which may be selected from one or more of Graphine (upper layer subjected to deformation), or one or more of bending deformation and position shifting Quot; work " to < / RTI > one or more adjustments of the work function. This means that electrons can move to one or more circuits as a general transistor principle.
g. In one embodiment of the present invention, at least one of the above items a to f is selected. In the drawings, the constituent elements shown in this drawing have one or more physical dimensions suggested by the present invention, The shape may vary.
h. In an embodiment of the present invention, at least one of the above a to e is selected. In the drawings, the constituent elements shown in the drawings are provided with one or more physical dimensions suggested by the present invention within the scope of the description given in one aspect, The shape may vary. In one embodiment of the present invention, the configuration of the figures may be described as one or more Coulomb blockades and / or one or more reconstructions.
9
a. This figure shows that, due to the curvature characteristics of Graphyne, one or more Piezo materials may be provided at the lower end of Graphyne and one or more Piezo One or more bending deformation of at least one graphyne and at least one of bending deformation and position shifting, adjusting at least one work function, but adjusting one or more heights of one or more Fermi levels And a main circuit diagram of a transistor for adjusting at least one work function (work function).
b. This figure shows that, due to the curvature characteristics of Graphyne, one or more Piezo materials may be provided at the lower end of Graphyne and one or more Piezo One or more bending deformation of one or more graphynes and bending deformation and position shifting of the at least one Schottky barrier to adjust at least one height of one or more Schottky barriers, And adjusting at least one height of one or more Fermi levels (Fermi level) to select at least one of a work function (work function) and a work function .
c. In an embodiment of the present invention, at least one of the above-mentioned items a to b is selected. In the drawings, the constituent elements shown in the drawings have one or more physical dimensions suggested by the present invention within the scope of the description given in one aspect. The shape may vary.
d. In an embodiment of the present invention, at least one of the above-mentioned items a to c is selected. In the drawings, the constituent elements shown in the drawings have one or more physical dimensions suggested by the present invention, The shape may vary. In one embodiment of the present invention, the configuration of the figures may be described as one or more Coulomb blockades and / or one or more reconstructions.

본 발명에 적용된 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 일 함수를 하나 이상 조절하는 트랜지스터는 도 1 내지 도 9 에 도시된 바와 같이 구성되는 것이다.A transistor for adjusting at least one work function with at least one selected from among at least one bending deformation, position shift, and the like of Graphyne applied to the present invention is configured as shown in Figs.

하기에서 본 발명을 설명함에 있어, 관련된 공지 기능 또는 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명은 생략할 것이다. In the following description of the present invention, detailed description of known functions and configurations incorporated herein will be omitted when it may make the subject matter of the present invention rather unclear.

그리고 후술되는 용어들은 본 발명에서의 기능을 고려하여 일반적으로 통용되는 용어들로서 이는 생산자의 의도 또는 관계에 따라 달라질 수 있으므로 그 정의는 본 명세서에서 전반에 걸친 내용을 토대로 내려져야 할 것이다. It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory and are intended to provide further explanation of the invention as claimed.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 시켜 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하여 Work function(일함수)를 하나 이상 조절하고자 하는 트랜지스터의 원리이다. 하나 이상의 쇼키장벽(Schottky Barrier)은 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것으로 인하여 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하게 되며, 이는 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)를 하나 이상 조절하는 방법으로도 활용될 수 있다. 상기 설명은 상부의 교차되어 지나가는 회로(장벽조정)의 정전기적인 준위로 인하여 조절이 가능합니다. 이러한 구성은 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형을 일으켜 하나 이상의 굽힘변형이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키 장벽(Schottky Barrier)의 높이, Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 하나 이상 조절하는 것으로 이해되어 질 수 있습니다. 상기 설명의 내용을 토대로 검토하면 그래파인(Graphyne)의 빠른 전도도를 이용하여 트랜지스터를 개발 할 수 있으며, 그래파인(Graphyne)을 충분한 진공 gap(진공갭), gap(갭, 예를들어 절연층을 의미할 수 있음), Air gap(에어갭), 진공층, 에어층, 중 선택되는 것을 구비한 상태로 종래 전계효과트랜지스터보다 전도속도가 빠른 트랜지스터를 개발 할 수 있다.In one embodiment of the invention, one or more of the Piezo material, the magnetic particle, the charged particle, or the charged particle is selected so that one or more of them are selected at the lower end of the Graphyne, Due to the electrostatic level of the barrier-regulating circuit, one or more Piezo particles, magnetic particles, charged particles, or charged particles may be selected from one or more of Graphyne, , Or more than one of the Schottky barrier (Schottky barrier) to adjust the height of one or more of the work function (work function) to adjust more than one is the principle of the transistor. One or more Schottky Barriers may have one or more Schottky Barriers at one or more elevations due to the selection of one or more Piezo material, magnetic particles, charged particles, or charged particles. , Which can be used as a method of adjusting one or more work functions by adjusting one or more of the height of the Fermi level (Fermi level). The above description can be adjusted due to the electrostatic level of the crossed circuit (barrier adjustment) at the top. This configuration may cause one or more bending deformation of at least one graphyne having one or more Piezo (piezoe) material, magnetic particles, charged particles, or charged particles, Can be understood as controlling one or more of the following: one or more of a Schottky barrier height, a Fermi level height, Based on the above discussion, it is possible to develop transistors using the fast conductivity of Graphyne and to use Graphyne as a sufficient vacuum gap (gap), gap (Air gap), a vacuum layer, and an air layer. The transistor having a conduction rate higher than that of the conventional field effect transistor can be developed.

본 발명은 그래파인(Graphyne)이 자랑하는 전자의 이동속도를 유지하면서도 그동안 난제로 인식됐던 대기 전력 문제를 하나 이상의 쇼키 장벽(Schottky Barrier)의 높이, Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하여 해결한다. The present invention relates to an apparatus and a method for controlling a standby power problem that has been recognized as a difficulty while maintaining the speed of movement of electrons that Graphine is proud of is at least one of a height of a Schottky barrier and a height of a Fermi level One or more bending deformation of the graphyne, position movement, or the like is selected so that at least one of the bending deformation and the position movement is selected to solve by adjusting at least one work function.

그리고, 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터가 기존 트랜지스터와 다른 점은 채널 전위조절(실리콘 트랜지스터 방식)이 아닌 하나 이상의 쇼키 장벽(Schottky Barrier)의 높이를 통해 전자 이동속도가 빠르면서도 전류를 차단할 수 있게 한 것이며 상기 설명은 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하여 해결한다. A transistor that controls at least one work function with at least one selected from at least one bending deformation and position movement of Graphyne made of Graphyne is different from the conventional transistor The above description is based on the graphyne-made graphyne structure, which allows a current to flow at a high speed of electron movement through the height of one or more schottky barriers other than the channel potential control (silicon transistor type) Or more than one of the bending deformation, the bending deformation, and the movement of the workpiece.

또한, 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터가 기존 트랜지스터와 다른 점은 채널 전위조절(실리콘 트랜지스터 방식)이 아닌 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 것을 통해 전자 이동속도가 빠르면서도 전류를 차단할 수 있게 한 것이다. In addition, one or more of bending deformation, position shifting, or the like of Graphyne made of Graphyne is selected so that the transistor which controls at least one work function is different from the conventional transistor By adjusting one or more of the heights of one or more Fermi levels rather than the channel potential control (silicon transistor type), it is possible to block currents at a high electron mobility rate.

또한, 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터가 하나 이상의 쇼키 장벽(Schottky Barrier)의 높이, Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하여 전자 이동속도가 빠르면서도 전류를 차단할 수 있게 한 것이다. Also, one or more of bending deformation, position shifting, etc. of the Graphyne made of Graphyne may be selected so that the transistor controlling at least one work function may be provided with one or more Schottky barriers The height of the barrier, the height of the Fermi level, or the height of the Fermi level, thereby adjusting the work function to at least one of the currents.

또한, 그래파인(Graphyne)으로 만든 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터로 이해되어 질 수 있음이다.Further, it can be understood as a transistor having one or more bending deformation and position shifting of Graphyne made of Graphyne and selecting one or more of them to adjust one or more work function (work function) .

본 발명의 한 실시예에서, 본 발명은 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 제공한다. In one embodiment of the present invention, the present invention provides a transistor comprising at least one of at least one bending deformation, a position shift, of Graphyne selected and adjusting at least one Work function.

본 발명의 한 실시예에서, 본 발명은 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 제공한다. In one embodiment of the present invention, the present invention includes at least one selected from at least one bending deformation, position shifting of Graphyne to adjust the height of the Schottky Barrier Function). ≪ / RTI >

본 발명의 한 실시예에서, 본 발명은 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 제공한다. In one embodiment of the present invention, the present invention includes at least one selected from at least one bending deformation, position shift, or the like of Graphyne to adjust the height of the Fermi level (Fermi level) Function). ≪ / RTI >

본 발명의 한 실시예에서, 본 발명은 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 제공한다. In one embodiment of the present invention, the present invention utilizes the curvature characteristics of Graphyne to select one or more Piezo material, magnetic particles, charged particles, or charged particles, One or more of a Piezo material, a magnetic particle, a particle having a charge, or a particle having a charge due to an electrostatic level of an intersecting barrier regulating circuit having at least one transistor at the bottom of the Graphyne Provides one or more transistors that control one or more of the work functions by selecting one or more of bending, locating, and / or graffing the graphyne.

본 발명의 한 실시예에서, 본 발명은 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를 갖는 입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 시켜 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하여 Work function(일함수)를 하나 이상 조절하고자 하는 트랜지스터의 원리이다. 하나 이상의 쇼키장벽(Schottky Barrier)는 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것으로 인하여 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하게 되며, 이는 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)를 하나 이상 조절하는 방법으로도 활용될 수 있다. 이는 상부의 교차되어 지나가는 회로(장벽조정)의 정전기적인 준위로 인하여 조절이 가능하다. 이러한 구성은 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 일으켜 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것으로 이해되어 질 수 있다. 이는 그래파인(Graphyne)의 빠른 전도도를 이용하여 트랜지스터를 개발 할 수 있으며, 그래파인(Graphyne)을 충분한 진공 gap(진공갭), gap(갭, 예를들어 절연층을 의미할 수 있음), Air gap(에어갭), 진공층, 에어층, 중 선택되는 것을 구비한 상태로 종래 전계효과트랜지스터보다 전도속도가 빠른 트랜지스터를 개발 할 수 있다. In one embodiment of the present invention, the present invention provides one or more of a Piezo (piezoe) material, a magnetic particle, a charged particle, or a charged particle, selected at the lower end of the Graphyne The electrostatic level of the intersecting barrier regulating circuit causes one or more Piezo particles, magnetic particles, charged particles, or charged particles to be selected from one or more of the graphynes, , And position shifting, so that one or more of the Schottky barriers can be adjusted by adjusting one or more heights of the Schottky barrier to adjust one or more work functions. One or more Schottky Barriers may have one or more Schottky Barriers at one or more elevations due to the selection of one or more Piezo material, magnetic particles, charged particles, or charged particles. , Which can be used to adjust one or more work functions by adjusting one or more of the height of one or more Fermi levels (Fermi level). This can be controlled by the electrostatic level of the crossing circuit (barrier adjustment) at the top. Such a configuration may include one or more bending deformation of at least one graphyne having at least one selected from Piezo (piezoe) material, magnetic particles, charged particles, or charged particles, Of the at least one of the one or more Schottky barriers, the Fermi level, the graphyne to which one or more of the bending deformation, Or < / RTI > This allows us to develop transistors using the fast conductivity of Graphyne, and we can use Graphyne to create enough vacuum gaps, gaps (which can mean gaps, for example insulating layers), air a gap (air gap), a vacuum layer, and an air layer.

본 발명의 한 실시예에서, 본 발명은 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. In one embodiment of the present invention, the present invention relates to a process for the production of at least one of a Piezo material, a magnetic particle, a charged particle or a charged particle, wherein at least one selected from Graphyne is at least one bending deformation, Position shifting, and one or more of a Schottky barrier to adjust at least one height of a Schottky barrier to adjust one or more work functions.

본 발명의 한 실시예에서, 본 발명은 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. In one embodiment of the present invention, the present invention relates to a process for the production of at least one of a Piezo material, a magnetic particle, a charged particle or a charged particle, wherein at least one selected from Graphyne is at least one bending deformation, Position shifting, and one or more of a work function (work function) by adjusting one or more heights of one or more Fermi levels (Fermi level).

본 발명의 한 실시예에서, 본 발명은 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를 갖는 입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. In one embodiment of the present invention, the present invention utilizes the curvature characteristics of Graphyne to select one or more Piezo material, magnetic particles, charged particles, or charged particles, One or more of a Piezo material, a magnetic particle, a particle having a charge, or a particle having a charge due to an electrostatic level of an intersecting barrier regulating circuit having at least one transistor at the bottom of the Graphyne And at least one of a bending deformation, a position shifting, and a graphene (Graphyne) is selected.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하는 것은 그래파인(Graphyne)의 상단부에 접착물질, 엘라스토머, 액체고분자, 부도체, 절연체(절연층), 중 선택되는 것이 구비되어 있는 상황에서도 그래파인(Graphyne)과 함께 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 할 수 있는 것을 의미한다. In one embodiment of the present invention, one or more of the Piezo material, the magnetic particle, the charged particle, or the charged particle is selected from one or more bend strains, (Graphyne), even when the upper end of the graphyne is provided with an adhesive material, an elastomer, a liquid polymer, a non-conductor, and an insulator (insulating layer) Transformation, position movement, and so on.

본 발명의 한 실시예에서, 그래파인(Graphyne)를 구비하는데 있어서 전사기술, 리소그래피기술을 사용할 수 있으며, 교차되는 회로를 꾸미는데 있어서, 오버레이 기술등 통용되는 종래의 반도체 제조기술을 사용하여 제작 할 수 있음이다. 본 발명의 한 실시예에서, 그래파인(Graphyne)이 전사되는데 있어서 하나 이상의 자성입자가 함께 구비되어 있는 상태로 같이 전사되어 회로를 구성할 수 있다. In one embodiment of the present invention, transcription technology, lithography techniques can be used to provide Graphyne, and in order to make crossed circuits, it is possible to fabricate using conventional semiconductor manufacturing techniques such as overlay technology Yes. In one embodiment of the present invention, in transferring Graphyne, one or more magnetic particles may be transferred together to form a circuit.

본 발명의 한 실시예에서, 진공층, Air층(에어층), 중 선택되는 것을 구비하는데 있어서 해체층으로서 구비할 수 있으며, 해체층은 분열이나 분해시켜 사용할 수 있는 종래의 반도체 공정에서 사용하는 해체층을 의미한다. In an exemplary embodiment of the present invention, a vacuum layer and an air layer (air layer) may be provided as a disassembly layer, and the disassembly layer may be used in a conventional semiconductor process Disintegration layer.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는, 하나 이상의 그래파인(Graphyne)의 상부에 접착물질, 엘라스토머, 액체고분자, 부도체, 절연체(절연층), 중 선택되는 것이 구비되는 다층상태에서 하나 이상의 굽힘변형이 구비되어, 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것으로 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. 이는 도면에서 접착물질, 엘라스토머, 액체고분자, 부도체, 절연체(절연층), 중 선택되는 것이 통로로 이어지는 것을 의미할 수 있다. In one embodiment of the present invention, one or more of the upper portions of Graphyne, where one or more of Piezo, Magnetic, Charged, or Charged, (At least one Schottky barrier), a Fermi level (a Fermi level), or a combination of at least two bending deformations in a multi-layer state in which a conductive material, an adhesive, an elastomer, a liquid polymer, And a transistor that adjusts one or more work functions by adjusting one or more of the heights of the selected ones. This may mean that in the drawing, the adhesive material, the elastomer, the liquid polymer, the non-conductive material, the insulator (insulating layer), or the like is connected to the passage.

본 발명의 한 실시예에서, 굽힘변형은 영률(Young's modulus)로서 설명될 수 있다. 본 발명의 한 실시예에서, 굽힘변형은 곡률반경 1/2 R 값(구부러짐과 관계된 곡률 반경(r)의 두배로 나누어줌으로써 표면 변형률이 결정되는 박막, 초박막, 초경박 중 하나 이상 선택되는 것)으로서도 이해되어 질 수 있다. In one embodiment of the present invention, the bending strain may be described as Young's modulus. In one embodiment of the present invention, the bending deformation is selected from one or more of a curvature radius 1/2 R value (a thin film, an ultra thin film, and a carbide thin film whose surface strain is determined by dividing by the curvature radius r which is related to the bending) As shown in FIG.

본 발명의 한 실시예에서, 자성입자는 하나 이상의 나노 자성입자를 의미한다. In one embodiment of the invention, the magnetic particles mean one or more nanomagnetic particles.

본 발명의 한 실시예에서, 자성입자는 Magnet(자석) 성질을 구비하는 모든 합성물질을 포함하는 것으로 이해되어져야 한다.       In one embodiment of the present invention, the magnetic particles should be understood to include all synthetic materials having a Magnet character.

본 발명의 한 실시예에서, 자성입자는 Magnet(자석) 성질을 구비하는 모든 나노 합성물질을 포함하는 것으로 이해되어져야 한다.      In one embodiment of the present invention, the magnetic particles should be understood to include all nanocomposites having a Magnet character.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를 갖는 입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 비선형 탄성 물리학적 원리와 관련된 복잡한 하나 이상의 형태, 하나 이상의 레이아웃를 하나 이상 구비할 수 있으며, 하나 이상의 초기변형률(prestrain)의 크기(εpre)가 증가할수록 상기 비선형 탄성 물리학적 원리와 관련된 복잡한 하나 이상의 형태, 하나 이상의 레이아웃 중 하나 이상 선택되는 것을 하나 이상 구비한다. In one embodiment of the present invention, one or more of the Piezo material, the magnetic particle, the charged particle, or the charged particle is selected from one or more bend strains, May include one or more complex shapes or more than one layout related to the nonlinear elastic physical principles, and the more the at least one initial prestrain size? One or more complex one or more forms, one or more layouts selected.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 구부러짐과 관계된 곡률 반경(r)의 두배로 나누어줌으로써 표면 변형률이 결정되는 박막, 초박막, 초경박 중 하나 이상 선택되는 것에서의 기초적인 굽힘 역학을 하나 이상 set theory(조합이론), Combinatorics(조합론), Geometry(기하학), Group(그룹), 조절 중 하나 이상 선택되는 것으로 하나 이상 구비하여 유도된다. In one embodiment of the present invention, one or more of the Piezo material, the magnetic particle, the charged particle, or the charged particle is selected from one or more bend strains, One or more of the set bending dynamics of one or more of thin film, ultra thin film, and carbide thin film whose surface strain is determined by dividing the bending radius by the curvature radius (r) , Combinatorics (Combinatorics), Geometry (Geometry), Groups (Group), and Control.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 하나 이상 구비하는 하나 이상의 층에서 하나 이상의 구부림 모멘트<M>은 하나 이상 규칙적, 불규칙적, 균일, 불균일, 다공성 중 하나 이상 선택되는 것으로, 하나 이상 1차원적, 2차원적, 3차원적, n차원적 중 하나 이상 선택되는 것으로, 하나 이상 동일, 비동일, 전체적, 부분적, 지속적, 비지속적, set theory(조합이론), Combinatorics(조합론), Geometry(기하학), Group(그룹), 조절 중 하나 이상 선택되는 것으로 하나 이상 구비하는 하나 이상의 곡률로부터 얻어지고, 그것은 하나 이상 동일, 비동일, set theory(조합이론), Combinatorics(조합론), Geometry(기하학), Group(그룹), 중 하나 이상 선택되는 것으로 하나 이상 구비하는 하나 이상의 <u>의 2차 도함수이다. In one embodiment of the present invention, one or more of the Piezo material, the magnetic particle, the charged particle, or the charged particle is selected from one or more bend strains, At least one bending moment <M> in at least one layer having at least one selected from among at least one layer selected from at least one of regular, irregular, uniform, heterogeneous, It can be selected from one or more of three dimensional, n dimensional, and one or more same, non-identical, whole, partial, continuous, non-continuous, set theory, Combinatorics, Geometry, ), And adjustment are obtained from one or more curvatures that are selected from one or more curvatures, which may be one or more identical, non-uniform, set theory, Combinatorics, ), The second derivative of at least one <u> comprising Group (Group), one or more to be selected one or more of.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)을 하나 이상 구비하는 것은, 하나 이상 구비하는 평면에서 수행되며, 종래 전자장치 제조공정과 하나 이상 선택적으로 호환될 수 있다.In one embodiment of the invention, one or more of at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, and at least one of Graphyne, And may be optionally compatible with one or more of the prior art electronic device manufacturing processes.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 하나 이상 구비하는 평면에서 수행되며, 본 발명의 한 실시예에서, 종래 전자장치 제조공정과 하나 이상 선택적으로 호환될 수 있다. In one embodiment of the present invention, one or more of the Piezo material, the magnetic particle, the charged particle, or the charged particle is selected from one or more bend strains, Is performed in one or more planes and, in one embodiment of the invention, may be optionally compatible with one or more of the prior art electronic device manufacturing processes.

본 발명의 한 실시예에서, 종래 평면 형상 제조 시스템을 비선형 형태를 필요로 하는 적용에 사용하기 위해 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 평면으로 제조되는 기하학적 한계를 극복할 수 있는 형태를 구비할 수 있다.      In one embodiment of the present invention, one or more of Piezo (piezoe) material, magnetic particles, charged particles, or charged particles, for use in applications requiring a non-linear configuration, The selection of at least one of Graphine's bending deformation and position shifting is selected so that it can have a shape that can overcome the geometric limit made in plane.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 하나 이상의 양의 곡률을 하나 이상 구비하는 표면과 관련됨에 불구하고 음의 곡률을 하나 이상 구비하는 것들 역시 가능하다. In one embodiment of the present invention, one or more of the Piezo material, the magnetic particle, the charged particle, or the charged particle is selected from one or more bend strains, Quot; is selected relative to the surface having at least one positive curvature, it is also possible to have at least one negative curvature.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 하나 이상의 비동일 평면을 하나 이상 구비하고 하나 이상 상호연결된 형태를 구비할 수 있다. In one embodiment of the present invention, one or more of the Piezo material, the magnetic particle, the charged particle, or the charged particle is selected from one or more bend strains, May have one or more non-coplanar faces and may have one or more interconnected shapes.

본 발명의 한 실시예에서, 그래파인(Graphyne)을 하나 이상 구비하는데 있어서, 하나 이상의 캐리어 유동체와 같은 하나 이상의 캐리어 매개물로 확산되는 공정을 구비할 수 있다. In one embodiment of the invention, one or more of the graphynes may be provided with a process that diffuses into one or more carrier media, such as one or more carrier fluids.

본 발명의 한 실시예에서, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 mobility(이동도)로서 설명된다. In one embodiment of the present invention, at least one graphyne having at least one selected from magnetic particles, particles having electric charges, or particles having electric charges selected at the bottom thereof is subjected to at least one bending deformation, The fact that the above is selected is described as mobility.

본 발명의 한 실시예에서, mobility(이동도)는 에어층, 진공층, 기체층, 액체층, 고체층, 중 선택되는 것 내에서 이온, 전자, 콜로이드입자 등 전하를 가진 입자가 전기장 때문에 힘을 받을 때, 그 평균이동속도 v와 전기장의 세기 E의 관계 v=uE로 정의되는 계수 u이다. 전기장의 세기가 크지 않을 때만 성립하며, u의 단위는 cm2 s-1 V-1이다. 본 발명의 한 실시예에서, 이 비례관계는 E가 그다지 크지 않을 때 성립하며, 등방성 매질에서는 u는 스칼라상수이다. u의 단위는 cm2 s-1 V-1이다. 특히 홀이동도(Hall mobility)와 구분할 때는 유동이동도라 한다. 단위부피당의 입자수를n, 입자의 전하를 e라 하면, 입자의 운동에 따른 전기전도도 σ는 σ=neu이다. 입자의 확산계수 D는 일반적으로 아인슈타인의 관계식 u=eD/kT(k는 볼츠만상수, T는 절대온도)가 성립한다.       In one embodiment of the present invention, the mobility is defined as the ratio of particles having charge, such as ions, electrons, colloidal particles, etc., selected from the air layer, the vacuum layer, the gas layer, the liquid layer, Is a coefficient u defined by the relationship v = uE between the mean moving velocity v and the magnitude E of the electric field. It is established only when the electric field intensity is not large, and the unit of u is cm2 s-1 V-1. In one embodiment of the present invention, this proportional relationship is established when E is not very large, and in an isotropic medium, u is a scalar constant. The unit of u is cm2 s-1 V-1. In particular, when it is distinguished from the Hall mobility, it is called the flow mobility. When the number of particles per unit volume is n, and the charge of the particles is e, the electric conductivity σ according to the motion of the particles is σ = neu. The diffusion coefficient D of a particle is generally defined by Einstein's relation u = eD / kT, where k is a Boltzmann constant and T is an absolute temperature.

본 발명의 한 실시예에서, mobility(이동도)는 임피던스의 역수를 말한다. 단(單)진동하는 기계시스템의 어떤 점 속도와 같은 점 또는 다른 점의 힘과의 복소수 비를 이동도라 한다. (1) 세기 E의 전장에서 전하를 갖는 입자가 힘을 받을 때 평균 이동속도 V와 E의 비 V/E를 이동도 라고 한다. (2) 주파수 응답 함수의 일종이며, 어떤 점의 속도와 그와 같은 점 또는 다른 점의 여자력의 비이다. 기계 임피던스의 역수이며 주파수의 복소 함수가 된다. In one embodiment of the invention, mobility refers to the reciprocal of the impedance. The complex ratio of a point to a point of a single vibrating mechanical system or to a point at another point is called the motion. (1) When the particles carrying charge in the whole field of intensity E are subjected to force, the ratio V / E of the average moving velocity V and E is called the mobility. (2) A type of frequency response function, which is the ratio of the velocity of a point to the excitation force of such point or other point. It is the inverse of the mechanical impedance and is a complex function of frequency.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할때 발생하는 그래파인(Graphyne)의 굽힘역학은 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것에 비하여 그래파인(Graphyne)이 영률(Young's modulus)를 구비하는 것으로 이해되어 질 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, The bending mechanics of Graphyne that occurs when at least one of bending deformation, position shifting, etc. is selected can be achieved by one or more Piezo material, magnetic particles, particles having charge or charged particles, It can be understood that Graphyne has a Young's modulus in comparison with that selected above.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 (1) 하나 이상의 1 마이크로미터 이상 100 마이크로미터 이하의 범위, (2) 하나 이상의 0.1 나노미터 이상 100 나노미터 이하의 범위, 로 구성되는 상기 (1) 내지 (2) 중 하나 이상 선택되는 범위를 구비할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, (1) a range of not less than 1 micrometer but not more than 100 micrometers, and (2) a range of not less than 0.1 nanometer but not more than 100 nanometers. May have a range selected from one or more of the above (1) to (2).

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할때 발생하는 변형으로부터 자유로운 변형 고립층(예를들어 진공층, 에어층, 중 선택되는 것)을 본 발명의 트랜지스터는 하나 이상 구비할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, One or more of the transistors of the present invention may be provided with a modified isolation layer (e.g., selected from a vacuum layer and an air layer) that is free from deformation that occurs when at least one of bending, .

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 구부러짐과 관계된 곡률 반경(r)의 두배로 나누어줌으로써 표면 변형률이 결정되는 박막, 초박막, 초경박 중 하나 이상 선택되는 것에서의 기초적인 굽힘 역학으로 해석되어 질 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, and position shifting can be obtained by selecting at least one of a thin film, an ultra thin film, and a hard thin film whose surface strain is determined by dividing by the curvature radius r which is related to the bending, Can be interpreted as.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것이 구비할때 발생될 수 있는, 박리 등의 치명적인 변형을 피하기 위하여 변형이 영인 구조(예를들어, 충분히 단단한 구조)를 본 발명의 트랜지스터는 구비할 수 있다. 본 발명의 한 실시예에서, 상기 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 기하학적인 형태를 구비할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, (E.g., a sufficiently rigid structure) in order to avoid lethal deformation such as peeling, which may occur when one or more of the bending deformation, bending deformation, . In one embodiment of the present invention, one or more of the Piezo particles, the magnetic particles, the charged particles, or the charged particles, Ideal bending deformation, positional displacement, or the like may be provided in a geometric shape.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 다층구조, 단일, 중 하나 이상 선택되는 것으로 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것으로 이해되어 질 수 있다.
In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like can be understood as having at least one of at least one multi-layer structure, at least one of at least one selected, and at least one bending deformation, .

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것은 하나 이상의 변형이 발생하는 면적으로 인하여 조절되어지지만, 본 발명의 한 실시예에서, 변형이 발생하지 않는 면적에 의하여 영향을 받을 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like, and adjusting at least one height of the selected one or more of at least one Schottky barrier (Schottky barrier), Fermi level (Fermi level) , But in an embodiment of the present invention, it can be influenced by the area where deformation does not occur.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것은 공간적으로 균일하지 않은 특성을 구비하여 구비된다. 본 발명의 한 실시예에서, 이미 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 공간적으로 균일한 특성을 구비하는 것으로 해석되어 질 수 있다. 상기 공간적으로 균일한 특성, 공간적으로 뷸균일한 특성은 (평면-변형) 계수들로서 설명되어 진다. 본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것은 하나 이상 공간적으로 균일하지 않은 특성, 하나 이상 공간적으로 균일한 특성, 중 하나 이상 선택되는 특성을 하나 이상 구비한다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, and adjusting at least one height of the selected one or more of one or more Schottky Barrier, Fermi level, Respectively. In one embodiment of the present invention, having at least one of more than one bending deformation, locating, or the like of one or more graphynes may be interpreted as having spatially uniform characteristics. The spatially uniform properties and the spatially uniform properties are described as (plane-strain) coefficients. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Adjusting at least one height of at least one selected from one or more of Schottky Barrier, Fermi level, having at least one of bending deformation, position shifting selected, At least one spatially uniform property, at least one spatially uniform property, and at least one spatially uniform property.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 상부 표면으로부터 변형이 발생하는 거리(d)로서 설명되어 진다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like is selected as the distance (d) at which deformation occurs from the upper surface.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 구부림 강성도 및 효과적인 신장성을 가지는 합성보(또는 보, 또는 플레이트)의 굽힘역학으로 설명되어 진다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like is explained by the bending dynamics of the composite beam (or beam or plate) having at least one bending stiffness and effective elongation.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 구부림 모멘트<M> (하나 이상의 굽힘 에너지, 축방향 힘 F 중 하나 이상 선택되는 것)은 플레이트 이론을 통하여 그것의 하나 이상 동일, 비동일, 전체적, 부분적, 지속적, 비지속적, set theory(조합이론), Combinatorics(조합론), Geometry(기하학), Group(그룹), 조절 중 하나 이상 선택되는 것으로 하나 이상 구비하는 하나 이상의 평면밖 변위 <u>의 항으로 얻어진다. 또한 그것의 변형 에너지는 <u>의 항으로 얻어진다. 더하여 상기 변위 <u>는 전체 에너지를 최소화하는 것에 의해 결정되어질 계수들과 함께 푸리에 급수로 확장된다. 본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 곡률로부터 얻어지고, 그것은 하나 이상 동일, 비동일, 전체적, 부분적, 지속적, 비지속적, set theory(조합이론), Combinatorics(조합론), Geometry(기하학), Group(그룹), 조절 중 하나 이상 선택되는 것으로 하나 이상 구비하는 하나 이상의 <u>의 2차 도함수이다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, (At least one bending energy, at least one of the bending energy, the axial force F being selected) of one or more of the bending moments < RTI ID = 0.0 > One or more out-of-plane displacements that include one or more of at least one of non-identical, global, partial, persistent, non-persistent, set theory, Combinatorics, geometry, lt; u &gt;. Its strain energy is also obtained in terms of <u>. In addition, the displacement &lt; u &gt; extends to the Fourier series with the coefficients to be determined by minimizing the total energy. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, and position shifting are obtained from one or more curvatures, which may be one or more identical, non-identical, whole, partial, continuous, non-continuous, set theory, Combinatorics, , Geometry, Group, and Adjustment, which are one or more selected from one or more of <u> and <u>.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것은 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것이 하나 이상 가깝게, 붙으며, 인접하게 위치시키는, 근접하게, 충분히 가깝게, 밀접하게 붙는, 중 하나 이상 선택되는 것을 하나 이상 구비할 수 있다. 상기 하나 이상 가깝게, 붙으며, 인접하게 위치시키는, 근접하게, 충분히 가깝게, 밀접하게 붙는, 중 하나 이상 선택되는 것은 100 ㎛, 1 ㎛, 100 ㎚, 1 ㎚ 중 하나 이상 선택되는 수치보다 적은 수학 값, 물리적 치수 중 하나 이상 선택되는 것으로 정의된다. 본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 10 ㎛, 1 ㎛, 100 ㎚, 1 ㎚ 중 하나 이상 선택되는 수치보다 적은 수학 값, 물리적 치수 중 하나 이상 선택되는 것으로 정의된다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Adjusting at least one height of a selected one or more of at least one of a Schottky barrier and a Fermi level by selecting at least one of bending, One or more of which may be selected from one or more, one or more selected from among, one or more selected from one or more selected from the group consisting of: One or more of the above selected at least one of, close to, adjoining, adjacently located adjacent to, sufficiently close to, closely attached means that at least one of 100 m, 1 m, 100 nm, , And physical dimensions. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like is defined as being selected from at least one of a mathematical value less than a selected numerical value of at least one of 10 탆, 1 탆, 100 nm, 1 nm, and physical dimensions.

본 발명의 한 실시예에서, 본 발명의 트랜지스터는 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것을 구비할때 발생하는 변형에 민감한 층을 보호하기 위하여 충분한 단단한 재질이 사용될 수 있다. In one embodiment of the present invention, the transistor of the present invention comprises one or more graphene (s) having at least one selected from one or more of Piezo, Magnetic, Charged, or Charged, (Schmitky Barrier), Fermi level (Fermi level) with at least one selected from the group consisting of at least one bending deformation, Sufficient rigid material can be used to protect the strain sensitive layer that occurs when the &lt; RTI ID = 0.0 &gt;

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 그래파인(Graphyne)을 25%, 20%, 10%, 25% 내지 0.1% 의 범위, 중 하나 이상 선택되는 범위에서 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것으로 해석되어 질 수 있으나 이에 한정되지는 않는다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like may be selected from the group consisting of bending deformation in the range of one or more selected from the range of 25%, 20%, 10%, 25% to 0.1% Position shifting, and / or position shifting.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 다층구조, 단일, 중 하나 이상 선택되는 것으로 구비하되, 등가 장력 강도(Equivalent tensile strength) 및 등가 굽힘 강도(Equivalent bending strength)로서 설명될 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, and position shifting may be selected from one or more of multi-layered structure, single, or more selected from among equivalent tensile strength and equivalent bending strength. .

본 발명의 한 실시예에서, 하나 이상의 해체층은 하나 이상의 폴리메틸메타크릴레이트(PMMA)를 하나 이상 구비, 이용 중 하나 이상 선택되는 것으로, 하나 이상의 분열, 분해, 제거 중 하나 이상 선택되는 것을 하나 이상 구비하는 것으로 해석되어 질 수 있다. In one embodiment of the present invention, one or more disintegration layers are selected from one or more of one or more polymethyl methacrylate (PMMA), one or more of which are selected from one or more of splitting, Or more.

본 발명의 한 실시예에서, 본 발명의 제조방법은 전자 구성 요소 배열 또는 요소의 패턴 배열과 같이(예를 들어, 반도체) 고정확성 리프트오프 인쇄 요소들을 가능하게 하기 위해 하나 이상의 고정 및 지지 구조(fixture)를 구비할 수 있다. In one embodiment of the present invention, the manufacturing method of the present invention includes one or more stationary and support structures (e.g., semiconductors) to enable high accuracy lift-off printing elements, such as electronic component arrangements or pattern arrangements of elements a fixture may be provided.

본 발명의 한 실시형태에서, 하나 이상의 접착층, 접착영역, 접착물질은 본 발명에서 제시하는 접착영역, 접착제, 접착 전구체 중 하나 이상 선택되는 것의 결합력보다 적은 결합력을 의미할 수 있다. In one embodiment of the present invention, one or more of the adhesive layer, the adhesive region, and the adhesive material may mean a bonding force that is less than the bonding force of the adhesive region, adhesive, or bonding precursor selected in the present invention.

본 발명의 한 실시형태에서, 하나 이상의 접착층, 접착영역, 접착물질은 접착영역, 접착제, 접착 전구체 중 하나 이상 선택되는 것이 구비되는 것을 의미할 수 있다. In one embodiment of the present invention, it may mean that at least one of the adhesive layer, the adhesive region, the adhesive material is provided to be selected from at least one of an adhesive region, an adhesive, and an adhesive precursor.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 유한 요소 시뮬레이션을 만족하는 하나 이상의 구조, 형태, 구성요소 중 하나 이상 선택되는 것을 하나 이상 구비한다. 본 발명의 한 실시예에서, 유한 요소 시뮬레이션은 하나 이상의 8-노드, 4-노드 요소 중 선택되는 것을 가지는 요소를 구비하여 실행될 수 있다. 본 발명의 한 실시형태에서, 상기 유한 요소 시뮬레이션은 유사한 맴브레인 변형 패턴을 나타낼 수 있다. 본 발명의 한 실시형태에서, 유한 요소 시뮬레이션은, 역학적으로 독립적 방식으로 행동하기 위해 하나 이상의 유한요소법(FEM), 유한차분법(FDM), 유한체적법(Finite Volume Method), 다구찌 기법(Taguchi method), 로버스트 설계(Robust Design) 중 하나 이상 선택되는 것을 구비한다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like may include at least one selected from one or more structures, shapes, and components satisfying one or more finite element simulations. In one embodiment of the present invention, the finite element simulation may be performed with an element having a choice of one or more 8-node, 4-node elements. In one embodiment of the present invention, the finite element simulation may represent a similar membrane strain pattern. In one embodiment of the present invention, the finite element simulation is performed using one or more finite element method (FEM), finite difference method (FDM), finite volume method, Taguchi method ), And a robust design.

본 발명의 한 실시예에서, 본 발명의 트랜지스터는, 본 발명의 트랜지스터를 제조하기 위해서 정렬 유지 소자를 사용할 수 있다. In one embodiment of the present invention, the transistor of the present invention may use an alignment maintaining element to fabricate the transistor of the present invention.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 버클링변형을 구비할 수 있다. 본 발명의 한 실시예에서, 하나 이상의 버클링변형은 작은 다수의 파장들이 함께 융합되는 것과 같이 발생할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like may be provided with one or more buckling deformations. In one embodiment of the present invention, one or more buckling deformations may occur such that a small number of wavelengths are fused together.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것의 상부에 구비되는 하나 이상의 그래파인(Graphyne)은 상기 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 충분히 덮는 형태로 구비될 수 있다. In one embodiment of the present invention, one or more graphynes provided on top of one or more of Piezo (piezo) material, magnetic particles, particles with charge or charged particles, Or more of a piezoelectric material, a magnetic particle, a particle having a charge, or a particle having a charge.

본 발명의 한 실시예에서, 본 발명의 트랜지스터는 a. 그래파인(Graphyne)의 하나 이상의 비동일 평면의 설계를 위하여, b. 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할때 발생하는 하나 이상의 기계적인 변형격리를 위하여, 로 구성되는 상기 a 내지 b, 중 하나 이상 선택되는 것을 위하여, 본 발명에서 제시하는 제조방법을 하나 이상 사용할 수 있다. In one embodiment of the present invention, the transistor of the present invention comprises: a. For one or more non-coplanar designs of Graphyne, b. At least one graphine having at least one selected from the group consisting of at least one Piezo substance, magnetic particles, particles having electric charge, or particles having electric charge is selected from one or more bending deformation, One or more of the manufacturing methods proposed in the present invention may be used for the purpose of selecting at least one of the above-mentioned a to b constituted by:

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 하나의 층, 다층상태, 중 선택되는 층의 상태로 하나 이상의 점, 리본, 나노리본, 띠, 파형, 언덕(hill), 작은 면, 작은 선, 면, 선, 중 하나 이상 선택되는 형태를 구비할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like can be selected from one or more of dots, ribbons, nano ribbons, strips, waveforms, hills, small faces, small lines, A surface, a line, or the like.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 아래와 같이 의미될 수 있다. 본 발명의 한 실시예에서, 하나 이상의 그래파인(Graphyne)은 하나 이상의 1). 그래파인(Graphyne), 2). 그래파인(Graphyne)과 상기 그래파인(Graphyne)이 드레인에 연결되는 부분에 전도성물질을 구비하는 형태, 3). 그래파인(Graphyne)과 전도성물질이 다층상태를 구비하되 굽힘변형을 구비할 수 있는 형태, 4). 그래파인(Graphyne)과 낮은 영률(Young's modulus)의 물질이 다층상태를 구비하되 굽힘변형을 구비할 수 있는 형태, 5). 그래파인(Graphyne)과 낮은 영률(Young's modulus)의 전도성 물질이 다층상태를 구비하되 굽힘변형을 구비할 수 있는 형태, 로 구성되는 상기 1) 내지 5) 중 선택되는 것을 의미할 수 있으나 기본적으로 그래파인(Graphyne)의 뛰어난 전도도와 큰 기계적 변형에도 파괴되지 않는다는 점 및 큰 기계적 변형에도 전도도가 변화되지 않는다는 점을 이용한다는 측면에서 다양한 변형형태가 구비될 수 있다. 본 발명의 한 실시예에서, 상기 전도성물질은 하나 이상의 원자형태 또는 분자형태의 전도성물질을 의미할 수 있다. 본 발명의 한 실시예에서, 상기 전도성물질은 전도성 폴리머(conducting polymer)를 의미할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position movement, or the like can be selected as follows. In one embodiment of the present invention, at least one graphyne is one or more of 1). Graphyne, 2). A form in which a conductive material is provided at a portion where the graphyne and the graphyne are connected to the drain; Graphyne and a conductive material having a multi-layered structure but capable of being provided with bending deformation; 5) Graphyne and Young's modulus materials have multi-layered structure, but can have bending deformation. The conductive material of Graphyne and Young's modulus may be selected from among the above 1) to 5) composed of a conductive material having a multi-layered state, but having a bending deformation, Various modifications can be made in terms of taking advantage of the fact that graphyne is not destroyed by its excellent conductivity and large mechanical deformation and that conductivity does not change even with large mechanical deformation. In one embodiment of the present invention, the conductive material may mean a conductive material in one or more atomic forms or in the form of a molecule. In one embodiment of the present invention, the conductive material may refer to a conducting polymer.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 a. 변형두께가 대략 0.1 나노미터 ~ 100 마이크로미터, b. 변형폭이 대략 1 나노미터 ~ 1 밀리미터 c. 변형길이가 대략 1 나노미터 ~ 100 마이크로미터, d. 변형길이가 1 마이크로미터 이상 또는 이하, e. 변형폭이 1 마이크로미터 이상 또는 이하, f. 마이크로스트립변형(두께 340 나노미터, 폭 5 마이크로미터, 길이 1밀리미터 이하), g. 변형간격(1 마이크로미터 이상 또는 이하), h. 하나 이상의 변형길이, 변형넓이, 변형면적, 변형부피, 변형폭, 변형높이, 변형두께, 변형단면적, 변형간격, 표면 거칠기, 표면 변형범위, 표면 비변형범위, 편평도, 중 하나 이상 선택되는 것의 하나 이상의 물리적 치수가 0.1 나노미터 ~ 200 마이크로미터, 로 구성되는 상기 a 내지 h, 로 구성되는 것중 하나 이상 선택되는 것을 구비하지만 상기 하나 이상의 물리적 치수에 한정되지 않고 하나 이상 구비될 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like is selected a. A deformation thickness of about 0.1 nanometers to 100 micrometers, b. The deformation width is approximately 1 nanometer to 1 millimeter c. A strain length of about 1 nanometer to 100 micrometers, d. Deformation length greater than or equal to 1 micrometer, e. Deformation width not less than 1 micrometer, f. Microstrip strain (340 nanometers in thickness, 5 micrometers wide, 1 millimeter or less in length), g. Deformation intervals (more than 1 micrometer or less), h. One of which is selected from one or more of at least one of a deformation length, a deformation width, a deformation area, a deformation volume, a deformation width, a deformation height, a deformation thickness, a deformation sectional area, a deformation interval, a surface roughness, a surface deformation range, And a to h, each of which has a physical dimension of 0.1 nanometer to 200 micrometer, but it is not limited to the one or more physical dimensions but may be one or more.

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것은 (a). 4 ~ 20 ppma(parts per million atoms) 미만의 포함도, (b). 100만 원자 당 대략 1 ~ 4 ppma 미만의 포함도, (c). 대략 1 ppma 이하의 포함도, (d). 바람직하게는 일부 제품을 위해 대략 100 ppba(parts per billionatoms) 이하의 포함도, (e). 더 바람직하게는 일부 제품을 위해 대략 1 ppba 이하의 포함도를 하나 이상 구비할 수 있다. (f). 더 바람직하게는 일부 제품을 위해 대략 1 내지 10 ppba 이하의 포함도의 범위중 하나 이상 선택되는 것을 하나 이상 구비할 수 있다, (g). 더 바람직하게는 일부 제품을 위해 대략 1 내지 10 ppmv(parts per million by volume) 이하의 포함도의 범위중 하나 이상 선택되는 것을 하나 이상 구비할 수 있다, (h). 더 바람직하게는 일부 제품을 위해 대략 1 내지 10 ppbv(parts per billion by volume) 이하의 포함도의 범위중 하나 이상 선택되는 것을 하나 이상 구비할 수 있다, 로 구성되는 상기 (a) 내지 (h) 중 하나 이상 선택되는 것을 구비한다. In one embodiment of the present invention, (a) at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle is selected. Including less than 4 to 20 ppma (parts per million atoms), (b). An inclusion of less than about 1 to less than 4 ppma per million atoms, (c). Including less than about 1 ppma, (d). (E) an inclusion angle of not more than about 100 ppba (parts per billion atoms), preferably for some products; More preferably at least about 1 ppba inclusions for some products. (f). More preferably, one or more selected from the range of inclusions below about 1 to 10 ppba for some products, (g). (H) more preferably at least one of a range of inclusions of less than or equal to about 1 to 10 parts per million by volume for some products. (A) to (h) consisting of one or more selected from the range of inclusions below about 1 to 10 ppbv (parts per billion by volume), more preferably for some products. Or more.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 a. 적어도 하나 이상의 100 나노미터 미만의 평균 표면 위치에서 편차를 하나 이상 구비하며, b. 바람직하게는 하나 이상의 10 나노미터 미만의 평균 표면 위치에서 편차를 하나 이상 구비하며, c. 좀더 바람직하게는 하나 이상의 1 나노미터 미만의 평균 표면 위치에서 편차를 하나 이상 구비하며, d. 더 바람직하게는 일부 제품을 위해 하나 이상의 1 Angstrom(옹스트롬) 이상의 평균 표면 위치에서 편차를 하나 이상 구비하며, 로 구성되는 상기 a 내지 d 로 구성되는 것 중 하나 이상 선택되는 것을 하나 이상 구비한다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like is selected a. At least one deviation from an average surface location of less than 100 nanometers; b. Preferably one or more deviations at an average surface location of less than one ten nanometers, c. More preferably one or more deviations at an average surface location of less than one nanometer, d. More preferably one or more deviations at an average surface position of at least one Angstrom or more, for some products, and at least one selected from the above a to d constituted by.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 하나 이상의 버클링 변형, 맴브레인 변형, 굽힘 변형, 중 하나 이상 선택되는 하나 이상의 변형을 하나 이상 구비한다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, The bending deformation, the bending deformation, the bending deformation, the bending deformation, the bending deformation, the bending deformation, the bending deformation, the bending deformation, and the bending deformation.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 하나의 층, 다층상태, 중 선택되는 층의 상태로 하나 이상의 사인파, 가우시안(Gaussian)파, 로렌츠형(Lorentzian)파, 주기적인파, 비주기적인파, 중 하나 이상 선택되는 파 형태를 구비할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, and position movement can be selected from one or more sine waves, Gaussian waves, Lorentzian waves, periodic waves, acyclic waves, Or a wave form in which at least one of the wave forms is selected.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 하나 이상의 사인파, 가우시안(Gaussian)파, 로렌츠형(Lorentzian)파, 주기적인파, 비주기적인파, 중 하나 이상 선택되는 파 형태를 하나 이상 구비하되, 상기 파 형태는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로부터 본 발명에서 제시하는 물리적 치수의 변형간격을 두고 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것이 파 형태로 구비될 수 있다. 본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 운동하는 지점으로부터 본 발명에서 제시하는 물리적 치수의 변형간격을 두고 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것이 하나 이상의 사인파, 가우시안(Gaussian)파, 로렌츠형(Lorentzian)파, 주기적인파, 비주기적인파, 중 하나 이상 선택되는 파 형태로 구비될 수 있다.In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Wherein at least one selected from the group consisting of at least one of a sine wave, a bending deformation, and a position movement is one or more of a sine wave, a Gaussian wave, a Lorentzian wave, a periodic wave and an aperiodic wave, The wave shape may be selected from one or more of bending deformation, position deformation, and one or more bending deformation, position deformation, May be provided in wave form. In one embodiment of the present invention, at least one of the Piezo material, the magnetic particles, the particles having charge, or the particles having charge, One or more of bending deformation and position movement may be provided in the form of at least one selected from at least one of a sine wave, a Gaussian wave, a Lorentzian wave, a periodic wave, and an aperiodic wave, .

본 발명의 한 실시예에서, 하나 이상의 그래파인(Graphyne) 및 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것은 나노결정과 같은 "상향식" 공정 기술에 의해 생성된 반도체 재료 기반 장치에 관한 강화된 신뢰성을 보이는 기능적인 장치의 제조를 용이하게 하는 공정 플랫폼을 하나 이상 구비하여 하나 이상 제조할 수 있다. 더하여 상기 신뢰성은 확장된 작동 기간에 걸쳐 우수한 전자 특성을 보이기 위한 기능적인 장치의 성능을 나타내고, 본 발명의 방법 및 조성을 사용하여 제조된 장치의 총체의 전기적 특성에 관한 각각에 대한(piece-to-piece) 획일성을 나타낼 수 있다. In one embodiment of the invention, one or more of at least one of Graphyne and one or more Piezo materials, magnetic particles, charged particles, or charged particles is selected from the group consisting of "bottom-up" One or more process platforms that facilitate the fabrication of functional devices that exhibit enhanced reliability with respect to semiconductor material-based devices produced by "process technology. &Quot; In addition, the reliability is indicative of the performance of functional devices to exhibit good electronic properties over extended operating periods, and is based on a piece-to-piece design of the overall electrical characteristics of devices manufactured using the methods and compositions of the present invention. piece) uniformity.

본 발명의 한 실시예에서, 하나 이상의 그래파인(Graphyne) 및 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것은 "하향식" 공정 기술에 의해 생성된 반도체 재료 기반 장치에 관한 강화된 신뢰성을 보이는 기능적인 장치의 제조를 용이하게 하는 공정 플랫폼을 하나 이상 구비하여 하나 이상 제조할 수 있다. In one embodiment of the present invention, at least one of Graphyne and one or more Piezo materials, magnetic particles, charged particles, or charged particles is selected from a "top-down" process technique One or more process platforms that facilitate fabrication of functional devices that exhibit enhanced reliability with respect to semiconductor material-based devices produced by the process.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 a. 하나 이상 물리적으로 접촉하지 않고(예를들어, 밀접하게 붙거나 오버랩되지 않는), 하나 이상의 제1 및 제2 전극과 전기적으로 하나 이상 접촉하는 구성, b. 하나 이상 물리적으로 접촉하고, 하나 이상의 제1 및 제2 전극과 전기적으로 하나 이상 접촉하는 구성, c. 하나 이상의 제1 및 제2 전극과 전기적으로 하나 이상 접촉하는 구성, 로 구성되는 상기 a 내지 c 중 하나 이상 선택되는 것으로 이해될 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like is selected a. A configuration in which one or more electrodes are in electrical contact with at least one of the first and second electrodes without being in physical contact with one another (e.g., closely attached or non-overlapping); b. At least one physical contact and at least one electrical contact with at least one of the first and second electrodes, c. It is understood that at least one of a to c, which is constituted by at least one electrically contacting one or more first and second electrodes, is selected.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 하나 이상의 기울기를 구비하는 형태로 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것으로 이해되어 질 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, It is understood that at least one of the bending deformation, the position movement, and the like may be selected to include at least one selected from at least one bending deformation and at least one bending deformation of at least one graphyne in the form of having at least one inclination .

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터는 a. 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하되, b. 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. 상기 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터는 CPU, 메모리, 반도체 집적회로, 마이크로프로세서, 베터리가 구비되는 전자장치, 전자부품, 전자장치, 로 구성되는 것 중 하나 이상 선택되는 것에 하나 이상 1차원적, 2차원적, 3차원적, 중 하나 이상 선택되는 것으로 하나 이상 구비될 수 있다. In one embodiment of the present invention, a transistor having at least one of bending strain, position shifting, etc. of Graphyne selected and adjusting at least one of the work function (s) comprises: a. Using the curvature properties of Graphyne, one or more of Piezo, Magnetic, Charged, or Charged particles can be selected at the bottom of Graphyne, Due to the electrostatic level of the intersecting barrier-regulating circuit, one or more Piezo particles, magnetic particles, charged particles, or charged particles may be selected from one or more of Graphyne Bending deformation, position movement, or one or more of the work function (b), b. One or more of a height of at least one Schottky barrier (at least one Fermi level), at least one height of at least one Fermi level (at a Fermi level), and a work function And a transistor for regulating abnormality. A transistor having at least one of bending deformation and position movement of the graphine selected to control at least one work function includes a CPU, a memory, a semiconductor integrated circuit, a microprocessor, and a battery One or more of one-dimensional, two-dimensional, or three-dimensional, one or more of which is selected from at least one of an electronic device, an electronic device, and an electronic device.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터는 CPU, 메모리, 반도체 집적회로, 마이크로프로세서, 베터리가 구비되는 전자장치, 전자부품, 전자장치, 로 구성되는 것 중 하나 이상 선택되는 것에 하나 이상 1차원적, 2차원적, 3차원적, 중 하나 이상 선택되는 것으로 하나 이상 구비될 수 있다. In one embodiment of the present invention, a transistor having at least one of bending deformation, position shifting, etc. of Graphyne selected and adjusting at least one of the work function (work function) is a CPU, memory, semiconductor integrated circuit , One or more one or more one-dimensional, two-dimensional, three-dimensional, or one or more selected from among at least one selected from the group consisting of a microprocessor, an electronic device having a battery, .

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터는 a. 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하되, b. 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것이 이미 구비되어 있는 상태에서 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것이 구비되는 것을 의미할 수 있다. 덧붙여 설명하자면 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하는데 있어서 도움을 주는것으로 해석될 수 있다. In one embodiment of the present invention, a transistor having at least one of bending strain, position shifting, etc. of Graphyne selected and adjusting at least one of the work function (s) comprises: a. Using the curvature properties of Graphyne, one or more of Piezo, Magnetic, Charged, or Charged particles can be selected at the bottom of Graphyne, Due to the electrostatic level of the intersecting barrier-regulating circuit, one or more Piezo particles, magnetic particles, charged particles, or charged particles may be selected from one or more of Graphyne Bending deformation, position movement, or one or more of the work function (b), b. Having at least one selected from the group consisting of at least one height adjustment of one or more Schottky Barriers, at least one height adjustment of one or more Fermi levels (Fermi level), and one or more Schottky Barrier ) One or more Fermi levels (Fermi level), one or more of which may be at least one selected from the group consisting of at least one graphine (Graphyne) with more than one bending One or more of the one or more of the at least one Fermi level (Fermi level), one or more of the at least one Schottky barrier, the at least one Fermi level, May be provided. In addition, one or more of Piezo, Magnetic, Charged, or Charged particles may be selected from one or more of Graphine, bending deformation, Select one or more of the one or more Schottky Barriers to adjust the height of one or more barriers, one or more Fermi levels to adjust the height of one or more Fermi levels, It can be interpreted as helping to control more than one.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터는 a. 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하는 것은 Fermi-level pinning(페르미레벨피닝)이 고려되어 설계되어 질 수 있다. In one embodiment of the present invention, a transistor having at least one of bending strain, position shifting, etc. of Graphyne selected and adjusting at least one of the work function (s) comprises: a. Using the curvature properties of Graphyne, one or more of Piezo, Magnetic, Charged, or Charged particles can be selected at the bottom of Graphyne, Due to the electrostatic level of the intersecting barrier-regulating circuit, one or more Piezo particles, magnetic particles, charged particles, or charged particles may be selected from one or more of Graphyne Adjusting more than one work function by selecting one or more of ideal bending deformation and position shifting can be designed considering Fermi-level pinning (Fermi level pinning).

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할때 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것은 하나 이상의 그래파인(Graphyne)과 하나 이상의 접촉각(Contect Angle)로서 설명되어 질 수 있다. 중요한 요점은 그래파인(Graphyne)과 접촉각(Contect Angle)을 하나 이상 구비하면서, 이것으로 인하여 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것으로 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다는 점이다. 본 발명의 한 실시예에서, 하나 이상의 접촉각(Contect Angle)은 하나 이상의 자성입자가 하나 이상의 점 접촉, 면 접촉, 둥근면접촉, 규칙적인 형태의 점접촉, 불규칙적인 형태의 점접촉, 규칙적인 형태의 선접촉, 불규칙적인 형태의 선접촉, 규칙적인 형태의 면접촉, 불규칙적인 형태의 면접촉, 규칙적인 형태의 접촉, 불규칙적인 형태의 접촉, 중 하나 이상 선택되는 것으로 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것으로 이해되어 질 수 있음이다. 본 발명의 한 실시예에서, 상기 하나 이상의 그래파인(Graphyne)과 하나 이상의 접촉각(Contect Angle)은 나노단위에서의 하나 이상의 접촉각(Contect Angle)을 의미한다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, One or more of Piezo material, magnetic particle, charged particle, or charged particle is selected from one or more of Graphyne, And one or more contact angles (Contect Angle). The important point is that it has one or more of Graphyne and Contect Angle and it adjusts the height of one or more of the selected one or more Schottky Barrier, Fermi level, And a transistor for adjusting at least one work function. In one embodiment of the invention, the at least one contact angle (Contect Angle) is determined by one or more of the magnetic particles contacting one or more of the point contacts, the face contacts, the round contacts, the point contacts of the regular shape, the point contacts of the irregular shapes, One or more of Graphyne's lines may be selected from one or more of line contact, irregular line contact, regular contact, irregular contact, irregular contact, irregular contact, One or more of bending deformation, position shifting, or the like may be selected. In one embodiment of the present invention, the at least one graphyne and one or more contact angles refer to one or more contact angles in the nano unit.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 연속체 역학으로서 설명될 수 있다. 본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 탄성체로서 설명되어 질 수 있다. 본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 연속체 역학을 도입하여 하나 이상의 굽힘변형(Bending)이론으로 설명 될 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like can be described as continuum dynamics. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like can be described as an elastic body. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like can be introduced by introducing continuum mechanics into one or more bending theories.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 탄성을 구비하며 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것으로 의미될 수 있으며, 탄성(elasticity)이란 물체에 가해진 힘이 사라졌을 때 물체가 원래의 모양으로 복구되고자 하는 성질이다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, and position movement can be defined as having elasticity and having at least one of at least one selected from bending deformation and position shifting, and elasticity refers to a force applied to an object When the object disappears, it is a property that the object wants to be restored to its original shape.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 다층상태 즉, 접착물질, 엘라스토머, 액체고분자, 부도체, 절연체(절연층), 중 선택되는 것이 그래파인(Graphyne)의 상단부에 함께 구비되어 있는 상태로 다층상태의 탄성을 구비하며 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것으로 의미될 수 있다. 상기 다층상태의 탄성은 하나 이상의 영률(Young's modulus)를 구비하는 것으로 이해되어 질 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, and positional movement can be selected in a multi-layered state, that is, an adhesive material, an elastomer, a liquid polymer, an insulator, and an insulator (insulating layer) are provided together at the upper end of Graphyne And at least one of at least one bending deformation, position movement, or the like is selected. The elasticity of the multi-layer state can be understood as having at least one Young's modulus.

본 발명의 한 실시예에서, 연속체 역학은 더 작은 요소로 무한히 나누어도 그 각각의 요소가 원래의 전체로서의 물질의 성질을 그대로 유지한다고 가정하는 연속체의 개념을 기반으로 한다. 실제로 물질은 연속적인 것이 아니라 원자로 이루어져 있다는 점, 그래서 불균일한 미시 구조를 갖고 있다는 점은 무시된다. 본 발명의 한 실시예에서, 연속체에서는 물체 내에 물질이 균일하게 분포되어 있고, 물체가 차지한 공간을 완전히 꽉 채우고 있으며, 따라서 에너지나 운동량 등의 물리량들이 극소 극한에서도 그대로 유지된다고 가정한다. 본 발명의 한 실시예에서, 연속체 역학에서는 본 발명을 설명하는데에 미분 방정식을 사용할 수 있다. In one embodiment of the present invention, continuum mechanics is based on the concept of a continuum assuming that each element retains the properties of the material as a whole as it is, even though it is infinitely divided into smaller elements. In fact, it is ignored that the material is composed of atoms, not continuous, and thus has a heterogeneous microstructure. In one embodiment of the present invention, it is assumed that the continuum is uniformly distributed in the object, completely occupies the space occupied by the object, and thus the physical quantities such as energy and momentum are maintained at the minimum. In one embodiment of the present invention, continuum mechanics can use differential equations to describe the present invention.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는데 있어서, 도면에서 보았을때 하부라 표현하였지만 본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)의 상부에 하나 이상 구비되어 그래파인(Graphyne)이 하부로 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있다. 또한 본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)의 측면에 하나 이상 구비되어 그래파인(Graphyne)이 반대되는 측면으로 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있다. 또한 본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)의 측면에 하나 이상 구비되어 그래파인(Graphyne)이 하부로 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있다. 따라서, 본 발명에서 하부에 구비되는 것으로 표현하는 것은 상부나 측면에 구비되는 것을 모두 포함하는 의미로 해석될 수 있으며, 중요한 요점은 그래파인(Graphyne)이 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것이다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, etc. In the embodiment of the present invention, although one or more of the above is selected as the lower portion in the drawing, in one embodiment of the present invention, one or more grains are provided on the top of the graphyne, ) May be one or more selected from at least one of bending deformation, position shifting, and the like. Also, in one embodiment of the present invention, one or more of the at least one side of Graphyne may be provided with at least one of bending deformation, have. Also, in one embodiment of the present invention, one or more side surfaces of at least one graphyne may be provided so that one or more of graphyne's lower one or more bending deformation, positional movements are selected. Therefore, it should be understood that the term 'lower' in the present invention can be construed to mean inclusive of those provided on the upper side and the side, and an important point is that, when the graphyne has one or more bending deformation, Is selected.

본 발명의 한 실시형태에서,그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터는 (Ⅰ). 하나 이상의 가공, 증착, 스퍼터증착, 음극 아크 증착, 전자빔 물리 기상 증착, 증발 증착, 펄스 레이저 증착, 진동증착, 마스크, 광학적필터, 마스킹, 에칭, 등방성에칭, 이방성에칭, 습식에칭, 패터닝, 측면 패터닝, 한 방향 이상의 패터닝, 전사, 전이, 재생, 오버레이(over lay), 전자기 방사, 프린팅, 3D 프린트, 샘플회전, 기울기, 산화, 롤러, 주조, 나노주조, 인쇄, 캐스팅, 경화, 응고, 부유, 발열체사용, 프레싱, 롤 프레싱, 연마, 예비 변형, 트렌치(trench)의 시리즈, 큐어링, 몰딩, 회로를들어올림, 혼합, 채움, 반데르발스 힘, 봉지화(둘러쌓음), METAL(메탈), CLEAN(클린), IMP(임프), DIFF(디프), PHOTO(포토), CVD(화학기상증착), CMP(씨엠피), DEPOSITION(디포지션), ANNEALING(어닐링), WET(웨트), 식각, 레이저, 용접, 응축, FUSI, 이중확산, packaging(페키징), Bangding Wire(와이어본딩), Wide Square(와이드스퀘어), Bonding(본딩), Soldering(숄더링), wave Soldering(웨이브숄더링), BRAZING(브레이징), 리프트오프(lift off), 물질 성장, 도핑, 코팅, 증발, 담금, 금속증발, 용융, 분말코팅, 함침, 침전, 젤화, 필터, 절단, 용해, 세척, 건조, 전처리, 자기조직화, 포토리소그래피, 리소그래피, 리토그라피(석판인쇄술), 광학적 리토그라피, 형상식각, 금속증착, 절연막 형성, 선택적 식각, 마스크를 사용하지 않는 전자빔 리토그라피, FIB(focused-ion-beam(포커스드온빔)공정, 제거, HMDS, BOE, 스핀-온-도판트, PECVD, RIE, 피라나처리, HF, 스핀코팅, 자외선오존처리, PR패턴, PR제거, 아세톤세척, 에탄올세척, 융합, UVO처리, 배열제조, 전자빔, 이온빔, 성형, 초음파, 빛, 노광, 광, 집광, 램프, 레이저 파동 시리즈(광핀셋)로 위치이동, 리플로우(reflow) 현상, 플라즈마, 접착, 정전기력, 자기력, 정자기력, 음파, 압착, 압축, 전자파, 변형, 고주파, 침투, 확산, 산란, 분리, 분해, 화학적활성, 분열, 노출, 가열, 흡수, 방출, 냉각, 균열, 하나 이상의 고정 및 지지 구조(fixture), 비결합, 결합, 분사, 부착, 접촉, 밀착, 메니스커스원리, 박리, DNA사슬접기, 배열, 배치, 합성, 연결, 적층, 형상만들기, 조립, 조합, 형태변형, 위치시킴, 조직화, 자기조립(self-assembled), 자기조립단분자막(Self-assembled monolayer), Niemeyer-Dolan technique(니에메예르-고언 기술-그림자증착법), 터널접합, 교차, 근접, 밀접, 밀착, 패턴, 집적, 부각, 위치결정공정, 용액 인쇄, 제조 단계로부터 개별한 제조 단계에서 실시될 공간적으로 제어된 반도체 공정의 사실상 어떠한 유형, 중 선택되는 것으로 구성되는 것은 각각의 선택되는 방법이 하나 이상 구비되는 각각의 선택되는 하나 이상의 방법(예를들어, 상기 집적은 하나 이상의 집적)을 의미하되, Ⅰ. (a) 하나 이상 1차원적, 2차원적, 3차원적, n차원적 중 하나 이상 선택되는 것으로, (b) 한 방향 이상에서, (c) 하나 이상 지속적, 비지속적 중 하나 이상 선택되는 것으로, (d) 하나 이상 전체적, 부분적 중 하나 이상 선택되는 것으로, (e) 하나 이상 규칙적, 불규칙적, 균일, 불균일, 다공성 중 하나 이상 선택되는 것으로, 로 구성되는 상기 (a) 내지 (e) 중 하나 이상 선택되는 것으로 하나 이상 구비되며, Ⅱ. 상기 Ⅰ 에서 (a) 내지 (e) 중 하나 이상 선택되는 것으로 하나 이상 구비하되, ⓐ. 상기 각각의 선택되는 하나 이상의 방법은 제조 단계로부터 개별한 제조 단계에서 실시될 공간적으로 제어된 반도체 공정의 사실상 어떠한 유형도 하나 이상 구비하는 하나 이상의 공정의 공간적으로 제어되는 특성, ⓑ. 상기 각각의 선택되는 하나 이상의 방법의 지속시간, ⓒ. 상기 각각의 선택되는 하나 이상의 방법이 적용되는 환경의 온도, ⓓ. 상기 각각의 선택되는 하나 이상의 방법이 적용되는 환경의 압력, ⓔ. 상기 각각의 선택되는 하나 이상의 방법이 적용되는 환경의 전력, ⓕ. 상기 각각의 선택되는 하나 이상의 방법이 적용되는 환경의 기체, 액체, 고체 중 하나 이상 선택되는 것의 농도, ⓖ. 상기 각각의 선택되는 하나 이상의 방법이 적용되는 공간, ⓗ. 상기 ⓐ 내지 ⓖ 중 하나 이상 선택되는 것이 상기 (a) 내지 (e) 중 하나 이상 선택되는 것에 하나 이상 구비되는 단계, (Ⅱ). 상기 (Ⅰ) 에서 하나 이상 선택된 방법을 하나 이상 구비하여, ①. 하나 이상 1차원적, 2차원적, 3차원적, n차원적 중 하나 이상 선택되는 것으로, ②. 한 방향 이상에서, ③. 하나 이상 지속적, 비지속적 중 하나 이상 선택되는 것으로, ④. 하나 이상 전체적, 부분적 중 하나 이상 선택되는 것으로, ⑤. 하나 이상 규칙적, 불규칙적, 균일, 불균일, 다공성 중 하나 이상 선택되는 것으로, 로 구성되는 상기 ① 내지 ⑤ 중 하나 이상 선택되는 것으로 구비되는 것을 특징으로 한다. In one embodiment of the present invention, a transistor (I) having at least one bending deformation, locating, or the like of Graphyne selected and having at least one selected to control at least one of the work function. One or more processing, deposition, sputter deposition, cathodic arc deposition, electron beam physical vapor deposition, evaporation deposition, pulsed laser deposition, vibration deposition, mask, optical filter, masking, etching, isotropic etching, anisotropic etching, wet etching, patterning, side patterning Printing, 3D printing, sample rotation, tilt, oxidation, roller, casting, nano casting, printing, casting, curing, solidification, floatation, overprinting, overlay, Curing, molding, circuit lifting, mixing, filling, van der Waals force, encapsulation, METAL (metal), heat treatment, pressing, roll pressing, polishing, preliminary deformation, series of trenches, , CLEAN, IMP, DIFF, PHOTO, CVD, CMP, DEPOSITION, ANNEALING, WET, Etching, laser, welding, condensation, FUSI, double diffusion, packaging, Bangding Wire, Wide Bonding, Soldering, Wave Soldering, BRAZING, Lift Off, Material Growth, Doping, Coating, Evaporation, Immersion, Metal Evaporation , Lithography, lithography, shape etching, metal deposition, insulating film, and the like can be used as the material for forming the insulating film, (Focused on ion beam) process, removal, HMDS, BOE, spin-on-dopant, PECVD, RIE, plasma treatment, HF , Spin coating, ultraviolet ozone treatment, PR pattern, PR removal, acetone cleaning, ethanol washing, fusion, UVO treatment, array manufacturing, electron beam, ion beam, molding, ultrasonic, light, exposure, light, Optical tweezers), reflow phenomenon, plasma, adhesion, electrostatic force, At least one stationary and supporting structure, such as a sphere, a sperm, a sperm, a sound wave, a squeeze, a compression, an electromagnetic wave, a transformation, a high frequency, a penetration, a diffusion, a scattering, DNA chain folding, arrangement, placement, synthesis, linking, lamination, shaping, assembling, assembling, shaping, positioning, fixation, non-bonding, bonding, injection, attachment, contact, adhesion, meniscus principle , Self-assembled monolayer, Niemeyer-Dolan technique, tunnel junction, intersection, close-up, close-up, close-up, pattern, Virtually any type of spatially controlled semiconductor process to be carried out in a separate manufacturing step from the manufacturing step, the integration, the incidence, the positioning process, the solution printing, The selected one or more rooms The law (for example, said aggregation means one or more aggregations), Ⅰ. (a) one or more selected from one or more dimensions, two-dimensional, three-dimensional or n-dimensional, (b) one or more directions, (c) one or more continuous or non- (e) one or more of (a) to (e) consisting of at least one of (a) at least one of Or more, and Ⅱ. At least one of (a) to (e) above is selected from the above I, wherein (a). Each of the one or more methods selected is a spatially controlled characteristic of one or more processes having at least one substantially any type of spatially controlled semiconductor process to be performed in a separate manufacturing step from the manufacturing step; The duration of each of said one or more methods selected, ⓒ. The temperature of the environment to which each of the at least one selected method is applied; The pressure of the environment to which each of the above selected one or more methods applies; The power of the environment to which each of the selected one or more methods is applied; The concentration of one or more of the gases, liquids, and solids in the environment to which each of the selected methods is applied; A space to which each of the selected one or more methods is applied; Wherein at least one of (a) to (e) is selected from one or more of (a) to (e). At least one selected method in (I) above, One or more one dimensional, two dimensional, three dimensional, or n dimensional. In more than one direction, ③. One or more of the persistent, non-persistent, or more than one is selected. ⑤ More than one of the whole or partial is selected. One or more of the above-mentioned (1) to (5), which is selected from one or more of regular, irregular, uniform, irregular and porous, is selected.

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 구비하는 것은 아래와 같은 제조방법을 구비할 수 있다. (1). 그래파인(Graphyne) 위에 폴리메틸메타크릴레이트(PMMA)등을 코팅한다. (2). 마스크를 통하여 방사광의 x선을 조사한다. (3). x선이 조사된 부분의 고분자는 화학 결합이 끊어짐으로 현상액(용매)에 녹기 쉽게 된다. (4) 상부에 교차되는 장벽조정회로를 구비 한다. (5). 용매액으로 폴리메틸메타크릴레이트(PMMA)층을 용해시킨다. 로 구비되는 (1) 의 제조방법, (1) 부터 (5) 로 이어지는 제조방법, 중 하나 이상 선택되는 것을 하나 이상 구비할 수 있다. In one embodiment of the invention, one or more of Piezo (piezoe) material, magnetic particle, charged particle, or charged particle, and at least one graphyne at the top The following manufacturing method may be provided. (One). Polymethyl methacrylate (PMMA) or the like is coated on Graphine. (2). X-rays of the synchrotron radiation are irradiated through the mask. (3). The polymer in the portion irradiated with x-rays is easily dissolved in the developer (solvent) due to breakage of the chemical bond. (4) has a barrier regulating circuit which intersects the upper part. (5). The polymethylmethacrylate (PMMA) layer is dissolved in the solvent. (1), (1) to (5), and the like.

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 구비하는 것은 아래와 같은 제조방법을 구비할 수 있다. (1). 기판 세정, (2). 금속증착, 레지스터 도포, (3). 노광, (4). 현상, (5). 에칭(등방성이나 이방성 에칭, 또는 습식에칭), (6). 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 구비, (7). 레지스터, 금속제거, (8). 그래파인(Graphyne) 코팅(또는 인쇄, 또는 전사), (9). 그래파인(Graphyne) 위에 폴리메틸메타크릴레이트(PMMA)등을 코팅한다.(10). 마스크를 통하여 방사광의 x선을 조사한다. (11). x선이 조사된 부분의 고분자는 화학 결합이 끊어짐으로 현상액(용매)에 녹기 쉽게 된다. (12) 상부에 교차되는 장벽조정회로를 구비 한다. (13). 용매액으로 폴리메틸메타크릴레이트(PMMA)층을 용해시킨다. 로 구비되는 (1) 부터 (9) 로 이어지는 제조방법, (1) 부터 (13) 로 이어지는 제조방법, 중 하나 이상 선택되는 것을 하나 이상 구비할 수 있다. In one embodiment of the invention, one or more of Piezo (piezoe) material, magnetic particle, charged particle, or charged particle, and at least one graphyne at the top The following manufacturing method may be provided. (One). Substrate cleaning, (2). Metal deposition, resistor application, (3). Exposure, (4). Phenomenon, (5). Etching (isotropic or anisotropic etching, or wet etching), (6). One or more Piezo material, magnetic particles, charged particles, or charged particles. Resistors, metal removal, (8). Graphyne coating (or printing, or transfer), (9). Polymethyl methacrylate (PMMA) or the like is coated on Graphyne (10). X-rays of the synchrotron radiation are irradiated through the mask. (11). The polymer in the portion irradiated with x-rays is easily dissolved in the developer (solvent) due to breakage of the chemical bond. (12). (13). The polymethylmethacrylate (PMMA) layer is dissolved in the solvent. (1) to (9), and the production method of (1) to (13).

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 구비하는 것은 아래와 같은 제조방법을 구비할 수 있다. (1). 기판 세정, (2). 금속증착, 레지스터 도포, (3). 노광, (4). 현상, (5). 에칭(등방성이나 이방성 에칭, 또는 습식에칭), (6). 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 구비, (7). 레지스터, 금속제거, (8). 그래파인(Graphyne) 코팅(또는 인쇄, 또는 전사), (9). 그래파인(Graphyne) 위에 절연층 등을 코팅한다., 로 구비되는 (1) 부터 (8) 로 이어지는 제조방법, (1) 부터 (9) 로 이어지는 제조방법, 중 하나 이상 선택되는 것을 하나 이상 구비할 수 있다. In one embodiment of the invention, one or more of Piezo (piezoe) material, magnetic particle, charged particle, or charged particle, and at least one graphyne at the top The following manufacturing method may be provided. (One). Substrate cleaning, (2). Metal deposition, resistor application, (3). Exposure, (4). Phenomenon, (5). Etching (isotropic or anisotropic etching, or wet etching), (6). One or more Piezo material, magnetic particles, charged particles, or charged particles. Resistors, metal removal, (8). Graphyne coating (or printing, or transfer), (9). (1) to (8), and a manufacturing method of (1) to (9), which are provided on a graphine substrate can do.

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 구비하는 것은 아래와 같은 포토리소그래피 제조방법을 구비할 수 있다. (1). 마스크제작, (2). 감광제 스핀코팅(양성감광막 또는 음성감광막, 여기서는 양성감광막을 주로 구비한다), (3). Soft bake, (4). 정렬(alignment)과 노출(exposure), (5). 현상(development), (6). Hard bake, (7). 식각(etching), 로 구비되는 (1) 부터 (7) 로 이어지는 제조방법을 하나 이상 구비할 수 있다.In one embodiment of the invention, one or more of Piezo (piezoe) material, magnetic particle, charged particle, or charged particle, and at least one graphyne at the top The photolithography method described below may be provided. (One). Mask fabrication, (2). A photoresist spin coating (positive photoresist or negative photoresist, in this case mainly a positive photoresist), (3). Soft bake, (4). Alignment and exposure, (5). Development, (6). Hard bake, (7). (1) to (7), which are provided with an etching process.

본 발명의 한 실시형태에서, 설명을 줄이기 위해 나노입자(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 그래파인(Graphyne), 중 하나 이상 선택되는 것)로 표기한다를 고체 기판에 규칙적으로 배열하는 데는 몇가지 방법이 있을 수 있다. (1). 나노입자를 휘발성 유기 용매에 분산시켜 기판상에서 유기 용매를 증발시켜 기판에 나노입자만 남기는 방법이다. 나노입자를 유기상에 분산시키기 위해서는 나노입자 표면을 소수성으로 할 필요가 있다. 본 발명의 한 실시형태에서, 도데케인싸이올의 자기-조립 단분자막(SAM)을 입자 표면에 부착시켜 소수성으로 하는 것이 좋다. (2). 기판을 수시간 나노입자 용액에 담가 놓고 기판과 나노입자의 물리적, 화학적 상호작용에 따라 나노입자를 흡착시켜 집합시키는 방법. 입자를 배열하는 기판에는 HOPG(Highly Ordered Pyrolytic Graphite)높은 열분해 흑연)나 운모가 사용된다. (3). 자장에 의한 배열로 코발트 초자성 나노입자, 산화철 초자성 나노입자, 같은 초자성 나노입자를 자장안에서 자기장의 방향에 따라 끈모양으로 집합시키는 방법. (4). 주사탐침현미경과 SAM(self-assembled monolayer) 기술을 이용한 표면을 만들 수 있다. 예를 들어, 원자힘 현미경의 탐침을 펜과 같이 이용하여 탐침으로 더 씌운 곳만 나노입자를 심는 딥펜 나노리소그래피., 로 구성되는 (1) 내지 (4) 로 설명되는 제조방법을 구비할 수 있다. In one embodiment of the invention, nanoparticles (one or more of Piezo material, magnetic particles, charged particles, or charged particles, selected from Graphyne) There may be several ways to arrange them regularly on a solid substrate. (One). A method of dispersing nanoparticles in a volatile organic solvent to evaporate the organic solvent on the substrate to leave only the nanoparticles on the substrate. In order to disperse the nanoparticles in the organic phase, it is necessary to make the surface of the nanoparticles hydrophobic. In one embodiment of the present invention, a self-assembled monolayer (SAM) of dodecane thiol is attached to the surface of the particle to render it hydrophobic. (2). A method in which a substrate is immersed in a nanoparticle solution for several hours and the nanoparticles are adsorbed and collected by physical and chemical interactions between the substrate and the nanoparticles. HOPG (Highly Ordered Pyrolytic Graphite) high pyrolytic graphite) or mica is used as the substrate for arranging the particles. (3). A method of collecting ferromagnetic nanoparticles, such as cobalt superlattice nanoparticles and ferric oxide superconducting nanoparticles, in a magnetic field in the magnetic field in the form of a string in an arrangement by a magnetic field. (4). You can create surfaces using scanning probe microscopy and SAM (self-assembled monolayer) technology. For example, the manufacturing method described in (1) to (4) in which the probe of the atomic force microscope is used as a pen, and the deep-pore nanolithography where only the probe is covered with the nanoparticle is further provided.

본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)을 상부에 교차되어 지나가는 장벽조정용인 교차회로의 정전기적 준위로 인하여, 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 일으켜 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것은 shockley equation(쇼클리방정식)으로 설명되어 질 수 있다. In one embodiment of the present invention, due to the electrostatic level of the crossover circuit, which is for adjusting the barrier crossing over one or more graphynes, one or more bending deformation, The adjustment of one or more of the heights of one or more of the Schottky barriers, the Fermi level, or the graphyne to which one or more of the deformations, Equation).

본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)을 상부에 교차되어 지나가는 장벽조정용인 교차회로의 정전기적인 준위로 인하여, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 일으켜 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것은 shockley equation(쇼클리방정식)으로 설명되어 질 수 있다. In one embodiment of the present invention, due to the electrostatic level of the crossover circuit, which is for crossing over at least one graphyne, the one or more Piezo material, the magnetic particles, the particles having charge, Grained particles are selected from at least one of bending deformation and positional movement by causing at least one of bending deformation and position deformation of at least one graphyne to be selected, Adjusting one or more of the heights of one or more of Graphyne's Schottky Barrier, Fermi level, or more can be described by a shockley equation.

본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)을 상부에 교차되어 지나가는 장벽조정용인 교차회로의 정전기적 준위로 인하여, 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 일으켜 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비할 수 있다. In one embodiment of the present invention, due to the electrostatic level of the crossover circuit, which is for adjusting the barrier crossing over one or more graphynes, one or more bending deformation, (At least one of Schottky Barrier), Fermi level (Fermi level), or the like, where Graphyne has been selected to be selected from one or more of the work function ) May be provided.

본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)(하부에 접착층, 반데르발스 힘, 중 선택되는 것이 형성된)을 상부에 교차되어 지나가는 장벽조정용인 교차회로의 정전기적 준위로 인하여, 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 일으켜 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비할 수 있다.In one embodiment of the present invention, due to the electrostatic level of the crossover circuit for adjusting the barrier across one or more graphynes (with the adhesive layer, van der Waals forces being selected at the bottom) Graphyne having at least one of bending deformation and position movement selected to cause at least one of abnormal bending deformation and position movement to be selected is selected from one or more Schottky Barrier, Fermi level, A transistor may be provided that adjusts one or more work functions by adjusting one or more heights of one or more selected ones.

본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)(하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 하부에 접착층이 형성, 반데르발스 힘, 중 하나 이상 선택되는 것)을 상부에 교차되어 지나가는 장벽조정용인 교차회로의 정전기적 준위로 인하여, 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 일으켜 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비할 수 있다.In one embodiment of the present invention, one or more of the following materials may be used: Graphyne (one or more Piezo materials, magnetic particles, particles having charge or charged particles, formation of an adhesive layer beneath, van der Waals forces, One or more bending deformation, and / or positional movement due to the electrostatic level of the intersecting circuit for the barrier adjustment crossing over the upper one or more of the bending deformation, Graphyne has a transistor that adjusts one or more work functions by adjusting one or more of the height of one or more selected Schottky Barrier, Fermi level, can do.

본 발명의 한 실시형태에서, 1). 하나 이상의 그래파인(Graphyne)의 하단부, 2). 하나 이상의 그래파인(Graphyne)의 상단부, 3). 하나 이상의 그래파인(Graphyne)과 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것 사이, 로 구성되는 상기 1) 내지 3), 중 하나 이상 선택되는 형태는 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)의 탄성회복을 도와줄 수 있는 접착층, 엘라스토머, 낮은 영률(Young's modulus)을 구비하는 층, 중 선택되는 것이 구비될 수 있으나, 이에 한정되지는 않는다. 또한 본 발명의 한 실시형태에서, 1). 하나 이상의 그래파인(Graphyne)의 하단부, 2). 하나 이상의 그래파인(Graphyne)과 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것 사이, 로 구성되는 상기 1) 내지 2), 중 선택되는 형태는 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)의 탄성회복을 도와줄 수 있는 접착층, 엘라스토머, 반데르발스 힘, 낮은 영률(Young's modulus)을 구비하는 층, 중 하나 이상 선택되는 것이 구비될 수 있으나, 이에 한정되지는 않는다.In one embodiment of the invention, 1). The bottom of one or more Graphyne, 2). The top of one or more Graphyne, 3). One of the above 1) to 3) consisting of at least one of Graphyne and at least one of Piezo material, magnetic particle, charged particle or charged particle, The form selected above may be selected from the group consisting of an adhesive layer capable of assisting elastic recovery of Graphyne, elastomer, layer having Young's modulus, which is selected from at least one of bending deformation, However, the present invention is not limited thereto. Also in an embodiment of the present invention, 1). The bottom of one or more Graphyne, 2). (1) to (2), which is composed of at least one of Graphyne and at least one of Piezo material, magnetic particle, charged particle or charged particle, The shape may be a layer having an adhesive layer capable of assisting elastic recovery of Graphyne, an elastomer, a Van der Waals force, a Young's modulus, or the like, wherein at least one of bending deformation, But the present invention is not limited thereto.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)의 상부에 부도체, 접착물질, 엘라스토머, 액체고분자, 부도체, 절연체(절연층), 중 선택되는 것이 구비되는 다층상태에서, 상기 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것이 구비되어, 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것으로 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. 이는 도면에서 접착물질, 엘라스토머, 액체고분자, 부도체, 절연층, 중 선택되는 것이 통로로 이어지는 것을 의미할 수 있다. 본 발명의 한 실시예에서, 본 발명의 도면 300은 다층상태의 300을 의미할 수 있다.In one embodiment of the present invention, at least one of the Piezo material, the magnetic particle, the charged particle, or the charged particle is selected on top of one or more graphynes One or more of bending deformation, position movement, or the like of the graphyne is selected in a multi-layer state in which a conductive material, a non-conductive material, an adhesive material, an elastomer, a liquid polymer, , And has a transistor that adjusts one or more work functions by adjusting one or more of the heights of one or more of a Schottky barrier, a Fermi level, and the like. This may mean that in the figure the selected material of the adhesive material, elastomer, liquid polymer, non-conductor, insulating layer leads to the passage. In one embodiment of the present invention, Figure 300 of the present invention may mean 300 in a multi-layered state.

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 일으켜 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것에서, Fermi level(페르미레벨)은 a. 페르미 레벨보다 높은 곳에 state(모양 또는 형세)와 전자를 동시에 공급해주면 페르미 레벨은 올라간다. b. 페르미레벨보다 높은 곳에 state(모양 또는 형세)와 전자를 동시에 제공한다. c. 그래파인(Graphyne)을 공간적인 왜곡시키되 전자를 동시에 제공한다, d. 그래파인(Graphyne)을 공간적으로 왜곡시키되 state(모양 또는 형세)와 전자를 동시에 제공한다, 으로 구성되는 a 내지 d 로 구성되는 것중 하나 이상 선택되는 것을 하나 이상 구비한다.In one embodiment of the invention, at least one graphyne with at least one selected from one or more of Piezo (piezo) material, magnetic particles, charged particles, or charged particles, Graphyne, which is caused to cause at least one of bending deformation, position movement to be selected and to be selected from at least one of bending deformation and position movement, is selected from one or more Schottky Barrier, Fermi level, In adjusting one or more of the heights above the selected one, the Fermi level is a. If the state (shape or shape) and electrons are supplied at a higher level than the Fermi level, the Fermi level is raised. b. It provides a state (shape or shape) and electrons at a higher level than the Fermi level. c. Distort Graphine spatially, but simultaneously provide electrons, d. And at least one selected from the group consisting of a to d composed of spatially distorting Graphine and providing the state (shape or shape) and electrons at the same time.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 a. 적어도 하나 이상의 1 마이크로미터 미만의 평균 표면 위치에서 편차를 하나 이상 구비하며, b. 바람직하게는 하나 이상의 100 나노미터 미만의 평균 표면 위치에서 편차를 하나 이상 구비하며, c. 바람직하게는 하나 이상의 10 나노미터 미만의 평균 표면 위치에서 편차를 하나 이상 구비하며, d. 바람직하게는 하나 이상의 1 나노미터 미만의 평균 표면 위치에서 편차를 하나 이상 구비하며, e. 더 바람직하게는 일부 제품을 위해 하나 이상의 1 Angstrom(옹스트롬) 이상의 평균 표면 위치에서 편차를 하나 이상 구비하며, 로 구성되는 상기 a 내지 e 로 구성되는 것 중 하나 이상 선택되는 것을 하나 이상 구비한다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like is selected a. At least one deviation in average surface position of less than 1 micrometer; b. Preferably at least one deviation at an average surface location of less than one hundred nanometers, c. Preferably one or more deviations at an average surface location of less than one ten nanometers, and d. Preferably one or more deviations at an average surface location of less than one nanometer, e. More preferably at least one deviation from an average surface position of at least 1 Angstrom for some products, and at least one selected from the above a to e constituted by.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 하나 이상의 그래파인(Graphyne)의 탄성을 구비한다. 상기 탄성은 그래파인(Graphyne)의 고유한 성질이며, 상기 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것이 구비된 이후 그래파인(Graphyne)의 하나 이상의 형태변형이 되돌아 오는 것으로 의미될 수 있다. 상기 탄성은 영률(Young's modulus)를 구비하는 것으로 이해되어 질 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like is provided with elasticity of at least one Graphyne. The elasticity is an intrinsic property of Graphyne and may be referred to as returning one or more shape modifications of Graphyne after one or more of the one or more bending deformation, . It can be understood that the elasticity has Young's modulus.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 접촉 면적을 증가시키는 표면 구조를 하나 이상 규칙적, 불규칙적, 균일, 불균일, 다공성 중 하나 이상 선택되는 것으로, 하나 이상 1차원적, 2차원적, 3차원적, 중 하나 이상 선택되는 것으로 하나 이상 구비한다. 본 발명의 한 실시예에서, 하나 이상의 "표면 구조(Surface texture)"는 증가된 표면 영역에 작용상 나타나는 어떠한 형태를 총칭하여 사용할 수 있다. 본 발명의 한 실시예에서, 하나 이상의 "표면 구조(Surface texture)"는 내적, 외적 중 하나 이상 선택되는 것으로 돌출 형상(relief feature) 또는 또 다른 표면 거칠기(surface roughness)를 하나 이상 구비할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, The bending deformation and the position movement are selected from at least one of a regular, irregular, uniform, non-uniform, and porous structure in which the surface area for increasing the contact area is selected from one or more one dimensional, two dimensional, One or more selected from among the following. In one embodiment of the present invention, one or more "surface textures" can be used collectively to refer to any form that actively appears in an increased surface area. In one embodiment of the present invention, one or more "Surface texture" may be one or more of internal or external, and may include one or more of a relief feature or another surface roughness .

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 하나 이상의 표면 거칠기(surface roughness)를 구비한다. 본 발명의 한 실시예에서, 표면 거칠기(surface roughness)는, (a). 1 마이크로미터 rms(Root mean square) 이하의 하나 이상 선택되는 범위, (b). 100 nm rms(Root mean square) 이하의 하나 이상 선택되는 범위, (c). 10 nm rms(Root mean square) 이하의 하나 이상 선택되는 범위, (d). 1 nm rms(Root mean square) 이하의 하나 이상 선택되는 범위, (e). 0.1 nm rms(Root mean square) 이상의 하나 이상 선택되는 범위, 로 구성되는 상기 (a) 내지 (e) 중 하나 이상 선택되는 것을 구비한다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like has at least one surface roughness. In one embodiment of the present invention, the surface roughness is defined as (a). One micrometer rms (root mean square) or less; 100 nm rms (root mean square) or less, and (c). A range of at least one of 10 nm rms (Root mean square) or less, (d). 1 nm rms (root mean square) or less; (A) to (e) consisting of at least one selected from a range of at least 0.1 nm rms (root mean square).

본 발명의 한 실시예에서, 영률(Young's modulus)은 0.1 MPa 이상과 50 MPa 사이, 100 Mpa 이하, 하나 이상의 5 MPa 이상, 1 MPa 이상, 0.1 MPa 이상과 100 MPa 사이, 로 구성되는 영률(Young's modulus)을 하나 이상 구비하지만, 이에 한정되지는 않는다. In one embodiment of the present invention, the Young's modulus is in the range of 0.1 MPa or more to 50 MPa or less, 100 MPa or less, at least 5 MPa or more, 1 MPa or more, or 0.1 MPa or more and 100 MPa or less modulus), but is not limited thereto.

본 발명의 한 실시예에서, 영률(Young's modulus)은 그래파인(Graphyne)의 영률(Young's modulus)을 의미한다. 본 발명의 한 실시예에서, 영률(Young's modulus)은 그래파인(Graphyne) 또는 그래파인(Graphyne)과 상부, 하부, 중 하나 이상 선택되는 것에 구비되는 다층형태에서의 하나 이상의 영률(Young's modulus)을 구비하는 층을 의미할 수 있다. 본 발명의 한 실시예에서, 하나 이상의 그래파인(Graphyne)에 비교하여 상기 하나 이상의 그래파인(Graphyne)의 상부, 하부, 중 하나 이상 선택되는 위치에 구비되는 층은 하나 이상의 그래파인(Graphyne)보다 낮은 영률(Young's modulus)을 구비하는 층으로 의미될 수 있다. 본 발명의 한 실시예에서 낮은 영률(Young's modulus)은 100 MPa 이하, 10 MPa 이하, 5 MPa 이하 또는 1 MPa 이하의 영률(Young's modulus)를 가진 층을 의미할 수 있으나, 이에 한정되지는 않는다. 본 발명의 한 실시예에서, 낮은 영률(Young's modulus)은 하나 이상의 층이 상기 하나 이상의 층이 구비되는, 굽힘변형시 발생하는 곡률반경의 안쪽으로 구비되는 층에 비교하여 낮은 영률(Young's modulus)을 구비하는 층으로 의미될 수 있다. 본 발명의 한 실시예에서, 낮은 영률(Young's modulus)은 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것의 상부에 구비되는 낮은 영률(Young's modulus)을 구비하는 층을 의미할 수 있다. In one embodiment of the present invention, Young's modulus refers to Young's modulus of Graphyne. In one embodiment of the present invention, the Young's modulus is at least one Young's modulus in a multi-layered form that is comprised of at least one selected from Graphyne or Graphyne and Upper, Lower, And the like. In one embodiment of the present invention, a layer provided at a selected location on at least one of the top, bottom, or at least one of the at least one Graphyne, as compared to one or more Graphyne, May be referred to as a layer having a Young's modulus. In one embodiment of the present invention, a low Young's modulus may mean a layer having a Young's modulus of 100 MPa or less, 10 MPa or less, 5 MPa or less or 1 MPa or less, but is not limited thereto. In one embodiment of the present invention, the Young's modulus is lower than the Young's modulus of the layer provided inside of the radius of curvature that occurs during bending, in which at least one layer is provided with the at least one layer. And the like. In one embodiment of the invention, the Young's modulus is at least equal to the Young's modulus (at least one of the Piezo material, the magnetic particle, the charged particle or the charged particle, Young's modulus).

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 (a). 1 마이크로미터 이하의 횡단면, 종단면, 한 방향 이상의 단면, 단면적 중 하나 이상 선택되는 것, (b). 500 나노미터 이하의 횡단면, 종단면, 한 방향 이상의 단면, 단면적 중 하나 이상 선택되는 것, (c). 1 마이크로미터 이상의 횡단면, 종단면, 한 방향 이상의 단면, 단면적 중 하나 이상 선택되는 것, (d). 500 나노미터 이상의 횡단면, 종단면, 한 방향 이상의 단면, 단면적 중 하나 이상 선택되는 것, (e). 100 나노미터 이상의 횡단면, 종단면, 한 방향 이상의 단면, 단면적 중 하나 이상 선택되는 것, (f). 10 나노미터 이상의 횡단면, 종단면, 한 방향 이상의 단면, 단면적 중 하나 이상 선택되는 것, (g). 1 나노미터 이상의 횡단면, 종단면, 한 방향 이상의 단면, 단면적 중 하나 이상 선택되는 것, (h). 0.1 나노미터 이상의 횡단면, 종단면, 한 방향 이상의 단면, 단면적 중 하나 이상 선택되는 것, 로 구성되는 상기 (a) 내지 (h) 중 하나 이상 선택되는 것을 구비한다. 본 발명의 한 실시예에서, 상기 하나 이상의 물리적 치수에 한정되지 않고 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position movement, or both (a). (B) One or more cross - sectional areas of less than 1 micrometer, longitudinal cross - section, cross - section more than one direction, cross - sectional area. A cross section of 500 nanometers or less, a longitudinal section, a cross section of more than one direction, or a cross section; (c). (D) One or more cross - sections of more than 1 micrometer, longitudinal cross - section, cross - section more than one direction, cross - sectional area. At least one of a cross section of 500 nanometers or more, a longitudinal section, a cross section of more than one direction, or a cross section is selected; (e). One or more of a cross section of 100 nanometers or more, a longitudinal section, a cross section of more than one direction, or a cross section, (f). A cross section of 10 nanometers or more, a longitudinal section, a cross section of more than one direction, or a cross section is selected, (g). One or more cross - sections of 1 nanometer or more, longitudinal cross - section, cross - section more than one direction, cross - sectional area, (h). (A) to (h) consisting of a cross section of 0.1 nm or more, a longitudinal section, a cross section of more than one direction, or a cross section. In one embodiment of the present invention, one or more of the Piezo material, the magnetic particle, the charged particle, or the charged particle, which is not limited to the one or more physical dimensions, And at least one of Graphyne, bending deformation, and positional movement may be selected.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)이 갖는 고유한 유연성은 종래 깨지기 쉬운 실리콘 기반의 전자 장치들에는 가능하지 않은 사용가능한 수많은 장치배열 위해 제공되는 다양한 형태로 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)이 하나 이상의 구비되게 할 수 있다. 또한 공정가능한 구성재료들과 하나 이상의 그래파인(Graphyne)과 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 구비되도록 한다. 본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 하부에 구비되는 하나 이상의 그래파인(Graphyne)은 적은 비용으로 큰 기판영역에 전자 장치들을 제조할 수 있는 인쇄 기술로 제조 가능하다. In one embodiment of the present invention, the uniqueness of one or more of the Piezo particles, the magnetic particles, the charged particles, or the charged particles, One flexibility is that of providing one or more Piezo materials, magnetic particles, charged particles, or charged particles in various forms provided for a number of available device arrangements that are not possible with conventional fragile silicon- One or more selected graphynes and one or more graphynes may be provided. It is also possible to have at least one selected from processable constituent materials and at least one of Graphyne and at least one Piezo material, magnetic particles, charged particles or charged particles. In one embodiment of the present invention, at least one of the Piezo material, the magnetic particle, the charged particle, or the charged particle, and at least one of the grains disposed below, To produce electronic devices in large substrate areas.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 구비하는 것은 하나 이상의 전사 프린트하는 제조방법을 하나 이상 구비하여 구비할 수 있다. In one embodiment of the present invention, a device is provided that includes at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, and at least one graphyne It may be provided with one or more manufacturing methods of one or more transfer printing.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 파괴점(failure point)을 특징짖는 하나 이상의 변형, 파괴점(failure point)을 특징짖는 하나 이상의 기계적 충격, 중 하나 이상 선택되는 것과 같은 심각한 변형을 유도하지 않고 구비되는 것을 의미한다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, One or more of the bending deformation, position shifting, or the like is selected to induce a severe deformation such as one or more of the one or more deformations that characterize the failure point, one or more mechanical impacts that characterize the failure point, And the like.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 (a). 변형률이 약 25%으로, (b). 변형률이 약 25% 미만으로, (c). 변형률이 약 10% 미만으로, (d). 바람직하게는 가해지는 변형률이 약 1% 미만으로, (e) 더욱 바람직하게는 가해지는 변형률이 약 0.5% 미만으로, 로 선택되는 상기 (a) 내지 (e) 중 하나 이상 선택되는 것으로, 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것으로 의미될 수 있으나, 일면에서 제시하는 상기 변형률은 25% 내외로 한정되지는 않으며 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하기 위한 충분한 변형률을 구비할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position movement, or both (a). The strain is about 25%, (b). Strain less than about 25%, (c). Strain less than about 10%, (d). (A) to (e), wherein the strain to be applied is preferably selected to be less than about 1%, (e) more preferably less than about 0.5% At least one of a Schottky barrier, a Fermi level, or the like, having at least one of bending deformation, position shifting, , But the strains presented on one side are not limited to about 25%, and one or more of the Schottky Barrier, Fermi level, Sufficient strain can be provided.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 교차되는 장벽조정회로의 정전기적 준위는 펄스형태나 테라헤르쯔, 기가헤르쯔, 메가헤르쯔, 등의 헤르쯔 형태로 구비되거나, 펄스나 헤르쯔로부터 파생될 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, The electrostatic levels of the intersecting barrier regulating circuit comprising one or more of bending deformation, position shifting, etc., may be provided in the form of a pulse or hertz, such as terahertz, gigahertz, megahertz, etc., or derived from pulses or Hertz .

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 상기 하나 이상의 그래파인(Graphyne)(제 1 전극)을 전도성 물질(제 2 전극)과 하나 이상 간격조정하는 것으로 의미될 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like may be understood as one or more spacing of the at least one Graphyne (first electrode) with the conductive material (second electrode).

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상 파손되지 않고 약 0.1% 이상, 약 1% 이상, 약 10% 이상, 약 25% 이상, 중 하나 이상 선택되는 것의 변형을 하나 이상 구비할 수 있으나 이에 한정되지는 않는다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, and position shifting can be selected from at least one of not less than about 0.1%, at least about 1%, at least about 10%, at least about 25% But is not limited thereto.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 하부에 구비되는 하나 이상의 그래파인(Graphyne), 그래파인(Graphyne) 상부에 교차되어 지나가는 장벽조정회로는 본 발명에서 제시하는 제조방법으로 하나 이상 패턴화할 수 있다. In one embodiment of the invention, one or more of Piezo, Magnetic, charged or charged particles are selected and one or more of Graphyne, The barrier regulating circuit which crosses over the top of Graphyne can be patterned more than once by the manufacturing method presented in the present invention.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 굽힘 역학으로 설명될 수 있으며, 상기 하나 이상의 굽힘 역학은 본 발명에서 제시, 청구하고자 하는 하나 이상의 구조의 하나 이상의 설계 및 하나 이상의 효율의 관점에 있어서 고려될 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like, may be described in terms of one or more bending dynamics, and the one or more bending dynamics may be used to design one or more of the one or more structures to be presented and claimed in the present invention, Can be taken into account.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 임의의 적은 곡률반경(r)에 대한 변형이 영인 구조 또는 층(예를들어, 진공층, 에어층, 중 선택되는 층)을 구비할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like can be achieved by selecting one or more of at least one of the structures or layers (e.g., a vacuum layer, an air layer, a selected layer) that is deformed for any small radius of curvature r .

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 임의의 적은 곡률반경(r)의 하나 이상 위치한 기하학적 면에서부터 변형이 발생한 거리(d)로서 설명되며, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 일으켜 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것에서, 하나 이상의 임의의 적은 곡률반경(r)의 하나 이상 위치한 기하학적 면에서부터 변형이 발생한 거리(d)로 인하여 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것으로 설명되어 진다. 본 발명의 한 실시예에서, 상기에서 설명하는 거리(d)는 효과적인 신장성 강성도를 가지는 합성보(또는 보, 또는 플레이트)로서 설계되어질 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like, is described as the distance (d) from which the deformation has occurred from the geometric plane on which one or more of at least one arbitrary small radius of curvature (r) is located, and one or more Piezo , One or more bending deformation, and / or position shifting of one or more graphynes provided on top of one or more of magnetic particles, charged particles, or charged particles, Graphyne, which has been selected to be selected from one or more of the following: Schottky Barrier, Fermi level, , One or more of the at least one arbitrary small radius of curvature r from one or more of the geometric faces located at one or more of the Schottky Barrier, The Fermi level, and the height of the selected one or more. In one embodiment of the present invention, the distance d described above may be designed as a composite beam (or beam or plate) having an effective stretch stiffness.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 구부림 모멘트<M> (하나 이상의 굽힘 에너지, 축방향 힘 F 중 하나 이상 선택되는 것)은 플레이트 이론을 통하여 하나 이상 구비하는 하나 이상의 평면밖 변위 <u>의 항으로 얻어진다. 본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 하나 이상의 표면 변위 <u>에 영향을 받는 변형 에너지는 <u>의 항으로 얻어진다. 더하여 상기 변위 <u>는 전체 에너지를 최소화하는 것에 의해 결정되어질 계수들과 함께 푸리에 급수로 확장된다. 본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것에서 각각의 층에서 하나 이상의 구부림 모멘트<M>은 하나 이상의 곡률로부터 얻어지고, 하나 이상의 <u>의 2차 도함수로서 풀이된다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, (At least one bending energy, at least one of the bending energy, the axial force F selected) of the at least one bending moment &quot; M &quot; Plane displacement < u >. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like, the strain energy that is affected by at least one surface displacement u is obtained in terms of <u>. In addition, the displacement < u > extends to the Fourier series with the coefficients to be determined by minimizing the total energy. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Wherein at least one bending moment < M > in each layer is obtained from one or more curvatures and is solved as a second order derivative of one or more < u >.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 기계적 변형을 도모할 수 있는 구조적 모양을 하나 이상 규칙적, 불규칙적, 균일, 불균일, 다공성 중 하나 이상 선택되는 것으로, 하나 이상 1차원적, 2차원적, 3차원적, 중 하나 이상 선택되는 것으로, 하나 이상 구비하는 것으로 이해되어 질 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, and position shifting can be selected from at least one of regular, irregular, uniform, nonuniform, and porous structural shapes capable of achieving mechanical deformation, one or more one- Dimensional, or three-dimensional, one or more of which may be selected.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 작동하기 전과 비교하여, 하나 이상 공간적으로 균일하지 않은 특성을 하나 이상 구비하는 적어도 하나이상의 층을 의미할 수 있다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like may mean at least one layer having at least one or more spatially non-uniform characteristics, as compared with before operation.

본 발명의 한 실시예에서, 본 발명의 고정 및 지지 구조(fixture)를 구비하는 제조방법은 고정확성 리프트오프 배열을 용이하게하기 위한 앵커(anchor)를 발생시키고, 이에 의해 지지 기판으로부터 폴리머 재료에 고정된 배열을 제거시키는 공정을 구비할 수 있다. In one embodiment of the invention, the manufacturing method with the fixation and support fixtures of the present invention generates an anchor for facilitating high accuracy lift-off arrangement, thereby producing an anchor from the support substrate to the polymer material And removing the fixed array.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것은 유한 요소 시뮬레이션을 수행하는 데 있어서 하나 이상의 좌굴(buckling)시트의 유한 요소 모델을 만족하며, 본 발명의 한 실시예에서, 상기 유한 요소 모델은 아래와 같이 설명된다. 요소를 구비하되, 하나 이상의 8-노드, 4-노드 요소 중 선택되는 것을 가지는 요소는 유사한 버클링 변형 패턴을 나타내며, 역학적으로 독립적 방식으로 행동하기 위해 충분하게 이격되는 유한 요소 모델을 구비한다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, or the like, satisfies a finite element model of one or more buckling sheets in performing finite element simulation, and in one embodiment of the present invention, the finite element model Is described as follows. Elements having one or more 8-node, 4-node elements selected have a similar buckling deformation pattern and have a finite element model that is sufficiently spaced apart to act in a mechanically independent manner.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 상부에 구비되는 하나 이상의 그래파인(Graphyne)의 제조방법은 하나 이상의 특정 평면 공정 단계들, 회로 리프트오프(liftoff) 전략, 압축성 연결체 레이아웃, 장력을 인가하는 단계, 고정장치(fixture), 제조 단계로부터 개별한 제조 단계에서 실시될 공간적으로 제어된 반도체 공정의 사실상 어떠한 유형, 본 발명에서 제시하는 제조방법, 중 하나 이상 선택되는 제조방법을 구비하여 구비될 수 있다. In one embodiment of the present invention, a method of making at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, and at least one graphyne May include one or more specific planar process steps, a circuit lift off strategy, a compressible interconnector layout, applying tension, a fixture, a spatially controlled semiconductor process to be performed in a separate manufacturing step from the manufacturing step A manufacturing method selected from one or more of the manufacturing methods proposed in the present invention.

본 발명의 한 실시예에서, 사용될 제조방법에는 소수성영역과 친수성영역을 구분하여 캐리어 매개물로 인해 확산하는 공정을 구비할 수 있다. In one embodiment of the present invention, the manufacturing method to be used may include a process of diffusing the carrier medium by separating the hydrophobic region and the hydrophilic region.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 상부에는 경화제 없이 경화되지 않는 액체 고분자 또는 엘라스토머가 상기 하나 이상의 상부 표면에 하나 이상 구비되는 형태를 취할 수 있다. In one embodiment of the present invention, the top of the Graphyne may take the form of one or more liquid polymers or elastomers that are not cured without a curing agent on the one or more upper surfaces.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 하부에 구비되는 하나 이상의 그래파인(Graphyne)의 제조방법은 반도체 웨이퍼와 같은 모체 기판으로 기계적으로 결합시키는 하나 이상의 정렬 유지 소자와 함께 제공될 수 있다. 본 발명의 한 실시예에서, 하나 이상의 정렬 유지 소자는 또한 하나 이상의 전사, 조립, 집적, 본 발명에서 제시하는 하나 이상의 제조방법 중 하나 이상 선택되는 것을 하나 이상 구비하는 공정 단계 중에 반도체 소자의 선택된 패턴을 정의하는 복수의 반도체 소자의 관련된 위치 및 배향을 유지하는데 유용하다. In one embodiment of the present invention, a method of making at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, and at least one graphine, May be provided with one or more alignment holding elements that mechanically couple to a matrix substrate, such as a semiconductor wafer. In one embodiment of the present invention, the one or more alignment holding elements may also be formed of a selected pattern of semiconductor elements during a process step comprising one or more of at least one of transfer, assembly, integration, Lt; RTI ID = 0.0 &gt; and / or &lt; / RTI &gt;

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를 갖는 입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는 입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하되, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터; 를 Using the curvature properties of Graphyne, one or more of Piezo, Magnetic, Charged, or Charged particles can be selected at the bottom of Graphyne, Due to the electrostatic level of the intersecting barrier-regulating circuit, one or more Piezo particles, magnetic particles, charged particles, or charged particles may be selected from one or more of Graphyne One or more of the work function (work function) by adjusting one or more of the height of one or more Fermi level (Fermi level) by adjusting one or more work function Transistors; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

a. 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하되, a. Using the curvature properties of Graphyne, one or more of Piezo, Magnetic, Charged, or Charged particles can be selected at the bottom of Graphyne, Due to the electrostatic level of the intersecting barrier-regulating circuit, one or more Piezo particles, magnetic particles, charged particles, or charged particles may be selected from one or more of Graphyne Bending deformation, and positional movement, one or more work function (work function)

b. 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터; 를 b. One or more of a height of at least one Schottky barrier (at least one Fermi level), at least one height of at least one Fermi level (at a Fermi level), and a work function An anomalous transistor; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하되, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터; 를 Using the curvature characteristics of Graphyne, one or more of at least one of magnetic particles, charged particles, or charged particles may be selected at the lower end of the graphyne to control crossing barriers At least one of magnetic particles, particles having electric charge or particles having electric charge is selected because of the electrostatic level of the circuit, and at least one selected from among at least one graphine, bending deformation, A transistor that adjusts one or more work functions by adjusting one or more of the at least one Fermi level to a height of at least one Fermi level; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

a. 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하되, a. Using the curvature characteristics of Graphyne, one or more of at least one of magnetic particles, charged particles, or charged particles may be selected at the lower end of the graphyne to control crossing barriers At least one of magnetic particles, particles having electric charge or particles having electric charge is selected because of the electrostatic level of the circuit, and at least one selected from among at least one graphine, bending deformation, One or more work functions may be adjusted,

b. 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터; 를 b. One or more of a height of at least one Schottky barrier (at least one Fermi level), at least one height of at least one Fermi level (at a Fermi level), and a work function An anomalous transistor; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 자성입자를 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 자성입자가 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하되, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터; 를 Using the curvature characteristics of Graphyne, one or more magnetic particles may be provided at one or more graphene (s) due to the electrostatic level of the intersecting barrier regulating circuit with one or more magnetic particles at the bottom of the graphyne Graphyne) by one or more bending deformation, position movement, and one or more work function (work function) by adjusting one or more height of at least one Fermi level (Fermi level) One or more transistors; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

a. 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 자성입자를 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 자성입자가 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 Work function(일함수)을 하나 이상 조절하되, a. Using the curvature characteristics of Graphyne, one or more magnetic particles may be provided at one or more graphene (s) due to the electrostatic level of the intersecting barrier regulating circuit with one or more magnetic particles at the bottom of the graphyne Graphyne), one or more of bending deformation, position shifting, or one or more of the work function (work function)

b. 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터; 를 b. One or more of a height of at least one Schottky barrier (at least one Fermi level), at least one height of at least one Fermi level (at a Fermi level), and a work function An anomalous transistor; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne) 상단부에 하나 이상의 접착층, 액체고분자층, 엘라스토머층, 부도체층, 절연층, 진공층, Air층(에어층), 중 하나 이상 선택되는 층을 구비하며, At least one layer selected from at least one of an adhesive layer, a liquid polymer layer, an elastomer layer, a nonconductor layer, an insulating layer, a vacuum layer, and an air layer (air layer) at the upper end of at least one Graphyne,

하나 이상의 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 것; 을 At least one bending deformation, at least one bending deformation of at least one graphine, and at least one of the bending deformation, the position movement, and the at least one work function; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)과 하나 이상의 실리콘이 하나 이상의 쇼키장벽(Schottky Barrier)의 높이, 하나 이상의 Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 구성하고, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 하나 이상 조절하는 트랜지스터; 를 Wherein at least one graphyne and one or more silicones are configured to select one or more of a height of one or more Schottky Barriers and a height of one or more Fermi levels and one or more Schottky Barrier A transistor for adjusting one or more of at least one selected from the group consisting of at least one of a height of at least one Fermi level and at least one height of at least one Fermi level; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)과 하나 이상의 실리콘, 반도체, 중 하나 이상 선택되는 것이 하나 이상의 쇼키장벽(Schottky Barrier)의 높이, 하나 이상의 Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 구성하고, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 하나 이상 조절하는 트랜지스터; 를 Wherein one or more of at least one graphyne and at least one of silicon and a semiconductor are selected to be selected from one or more of a height of one or more Schottky barriers and a height of one or more Fermi levels A transistor that adjusts one or more of at least one selected from the group consisting of at least one Schottky barrier, at least one Schottky barrier, at least one Fermi level, and at least one Fermi level; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것은 하나 이상의 그래파인(Graphyne)과 하나 이상의 실리콘, 반도체, 중 하나 이상 선택되는 것이 하나 이상의 쇼키장벽(Schottky Barrier)의 높이, 하나 이상의 Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 구성하고, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 구비하는 것을 특징으로 한다.In one embodiment of the invention, one or more of the one or more of the at least one height adjustment of the Schottky Barrier, the at least one Fermi level height adjustment, Wherein the selected one or more of Graphyne and one or more silicon, semiconductor, or one or more of the heights of one or more Schottky Barriers, one or more Fermi levels, And one or more of the at least one Fermi level (at least one Fermi level) of the at least one Schottky barrier.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)과 하나 이상의 반도체, 금속, 실리콘, 도체, 전도성 물질, 중 하나 이상 선택되는 것이 하나 이상의 Fermi level(페르미레벨)의 높이를 구성하고, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 트랜지스터; 를 Wherein one or more of at least one graphine and at least one of a semiconductor, a metal, a silicon, a conductor, and a conductive material constitutes a height of one or more Fermi levels and one or more Fermi levels A transistor for adjusting at least one height; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 것은 하나 이상의 그래파인(Graphyne)과 하나 이상의 반도체, 금속, 실리콘, 도체, 전도성 물질, 중 하나 이상 선택되는 것이 하나 이상의 Fermi level(페르미레벨)의 높이를 구성하고, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 것을 구비하는 것을 특징으로 한다.In one embodiment of the present invention, adjusting one or more of the heights of one or more Fermi levels (Fermi level) comprises selecting one or more of Graphyne and one or more semiconductors, metals, silicon, conductors, Characterized in that it comprises a height of one or more Fermi levels (Fermi level), and adjusting one or more heights of one or more Fermi levels (Fermi level).

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서, In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)과 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하는 것은 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 것으로 설명되는 것; 을Adjusting one or more heights of one or more Graphyne and one or more Schottky Barriers is described as adjusting one or more heights of one or more Fermi levels; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

a. 하나 이상의 그래파인(Graphyne)과 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하되, a. At least one of Graphyne and at least one bending deformation,

b. 상기 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은 하나의 층, 다층상태, 중 선택되는 층의 상태로 하나 이상의 영률(Young's modulus)로서 하나 이상 구비되며, b. At least one of the at least one bending deformation, the position movement, and the at least one of the bending deformation and the position deformation is selected as one or more than one as a Young's modulus in a state of a layer selected from one layer,

c. 하나 이상의 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 것; 을 c. At least one bending deformation, at least one bending deformation of at least one graphine, and at least one of the bending deformation, the position movement, and the at least one work function; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)은One or more of Graphyne

상기 하나 이상의 그래파인(Graphyne)의 상단부에 하나 이상의 낮은 영률(Young's modulus)을 구비하는 층, 낮은 영률(Young's modulus)을 구비하는 전도성 물질층, 중 선택되는 층; 을 A layer selected from the group consisting of a layer having one or more low Young's modulus at the upper end of the at least one graphyne and a layer of a conductive material having a Young's modulus; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서, In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)은 One or more of Graphyne

상기 하나 이상의 그래파인(Graphyne)의 하단부에 접착층, 엘라스토머, 반데르발스 힘, 낮은 영률(Young's modulus)을 구비하는 층, 중 하나 이상 선택되는 것; 을At least one of an adhesive layer, an elastomer, a van der Waals force, and a layer having a Young's modulus at the lower end of the at least one graphyne; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

a. 하나 이상의 자성입자가 하나 이상의 그래파인(Graphyne)과 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 하나 이상 구비하되, a. Wherein at least one of the at least one magnetic particle is selected from at least one of Graphyne and at least one bending deformation,

b. 하나 이상의 자성입자는 하나 이상의 Magnet(자석), 나노 Magnet(자석) 입자, 나노 Magnet(자석) 성질을 구비하는 합성물질, Magnet(자석) 성질을 구비하는 합성물질, 중 하나 이상 선택되는 것을 하나 이상 구비하며, b. The at least one magnetic particle may comprise one or more of at least one selected from at least one of a magnet (magnet), a nano magnet (magnet) particle, a nano magnet (synthetic) material, Respectively,

c. 하나 이상의 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 것; 을 c. At least one bending deformation, at least one bending deformation of at least one graphine, and at least one of the bending deformation, the position movement, and the at least one work function; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

a. 하나 이상의 그래파인(Graphyne)과 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은, a. At least one of Graphyne and at least one bending deformation,

b. 하나 이상의 그래파인(Graphyne)과 접촉각(Contect Angle)을 하나 이상 구비하면서, Work function(일함수)을 하나 이상 조절하되, 상기 하나 이상의 접촉각(Contect Angle)은 하나 이상의 규칙적인 형태의 점접촉, 불규칙적인 형태의 점접촉, 규칙적인 형태의 선접촉, 불규칙적인 형태의 선접촉, 규칙적인 형태의 면접촉, 불규칙적인 형태의 면접촉, 규칙적인 형태의 접촉, 불규칙적인 형태의 접촉, 중 하나 이상 선택되는 것을 하나 이상 구비하면서, b. Wherein at least one of the at least one contact angle (Contect Angle) is a point contact of at least one regular shape, irregular Select one or more of the following types of point contact, regular line contact, irregular line contact, regular contact, irregular contact, irregular contact, irregular contact Gt;

c. Work function(일함수)을 하나 이상 조절하는 트랜지스터; 을 c. A transistor for adjusting at least one work function; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

a. 하나 이상의 그래파인(Graphyne)과 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은, a. At least one of Graphyne and at least one bending deformation,

b. 하나 이상의 그래파인(Graphyne)과 접촉각(Contect Angle)을 하나 이상 구비하면서, Work function(일함수)을 하나 이상 조절하되, b. One or more work function (work function) is provided while having at least one graphyne and a contact angle (Contect Angle)

c. Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비하되, 상기 하나 이상의 접촉각(Contect Angle)은 하나 이상의 자성입자가 하나 이상의 점 접촉, 면 접촉, 둥근면접촉, 규칙적인 형태의 점접촉, 불규칙적인 형태의 점접촉, 규칙적인 형태의 선접촉, 불규칙적인 형태의 선접촉, 규칙적인 형태의 면접촉, 불규칙적인 형태의 면접촉, 규칙적인 형태의 접촉, 불규칙적인 형태의 접촉, 중 하나 이상 선택되는 것으로 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 하나 이상 구비하는 것; 을 c. Wherein the at least one contact angle comprises at least one contact point, at least one point contact, a round contact, a regular contact, an irregular contact, Select one or more of the following types of point contact, regular line contact, irregular line contact, regular contact, irregular contact, irregular contact, irregular contact One or more of which is selected from at least one of bending, locating, bending or deforming one or more graphynes; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

a. 하나 이상의 그래파인(Graphyne)과 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은, a. At least one of Graphyne and at least one bending deformation,

b. 하나 이상의 그래파인(Graphyne)과 접촉각(Contect Angle)을 하나 이상 구비하면서, Work function(일함수)을 하나 이상 조절하되, 상기 하나 이상의 접촉각(Contect Angle)은 하나 이상의 규칙적인 형태의 점접촉, 불규칙적인 형태의 점접촉, 규칙적인 형태의 선접촉, 불규칙적인 형태의 선접촉, 규칙적인 형태의 면접촉, 불규칙적인 형태의 면접촉, 규칙적인 형태의 접촉, 불규칙적인 형태의 접촉, 중 하나 이상 선택되는 것을 하나 이상 구비하되, 연속체 역학이 구비되어 설명되며, b. Wherein at least one of the at least one contact angle (Contect Angle) is a point contact of at least one regular shape, irregular Select one or more of the following types of point contact, regular line contact, irregular line contact, regular contact, irregular contact, irregular contact, irregular contact , Which is described in terms of continuum mechanics,

c. 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하는 것으로 Work function(일함수)을 하나 이상 조절하는 트랜지스터; 을 c. A transistor that adjusts one or more work functions by adjusting one or more of the heights of one or more of at least one Schottky barrier, a Fermi level; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)을 상부에 교차되어 지나가는 장벽조정용인 교차회로의 정전기적인 준위로 인하여, 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 일으켜 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터; 를 One or more bending deformation and / or positional movement due to the electrostatic level of the crossover circuit for adjusting the barrier passing over one or more graphynes at the top, (Graphyne) is one or more of the following: one or more of the at least one Schottky barrier, the at least one Fermi level, or at least one of the at least two Fermi levels. A transistor for adjusting at least one work function; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

상기 굽힘변형은 하나의 층, 다층상태, 중 선택되는 층의 상태로The bending deformation may be a state of a layer selected from one layer, a multilayer state,

a. Beam(빔)의 굽힘변형 a. Bending deformation of beam

b. Plate(플레이트)의 굽힘변형 b. Bending deformation of plate

c. 하나 이상의 층의 굽힘변형 c. Bending deformation of one or more layers

d. QuasisTaTic bending of beams(빔 QuasisTaTic(준정적) 굽힘) d. QuasisTaTic bending of beams (QuasisTaTic (semi-static) bending)

e. QuasisTaTic bending of plaTes(판 Quasistatic(준정적) 굽힘) e. QuasisTaTic bending of plaTes (Quasistatic bending)

f. Kirchhoff-Love theory of plates(플레이트의 키르히 호프 - 사랑 이론) f. Kirchhoff-Love theory of plates

g. Mindlin-Reissner Theory of plaTes(판 Mindlin-Reissner(민드린-레이스너) 이론) g. Mindlin-Reissner Theory of plaTes (Mindlin-Reissner theory)

h. Dynamic bending of plaTes(동적 판 굽힘) h. Dynamic bending of plaTes

i. Dynamics of Thin Kirchhoff plaTes(얇은 키르히 호프 판의 역학) i. Dynamics of Thin Kirchhoff plaTes (Dynamics of thin Kirchhoff plates)

j. 곡률 j. curvature

,로 구성되는 a 내지 j 로 구성되는 것 중 하나 이상 선택되는 것을 하나 이상 구비하는 것; 을 At least one selected from the group consisting of a to j consisting of: of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

a. 대기 전력 문제를 해결하는데 있어서, 하나 이상의 Fermi level(페르미레벨)의 높이를 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하여 해결하는데 있어서, a. In solving the stand-by power problem, one or more of the at least one Fermi level (Fermi level) height may be selected from one or more of bending deformation, position shifting, and the like of Graphyne to select a work function In adjusting and resolving,

b. 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 해결하는 것; 를 b. At least one bending deformation, position shift, or the like of Graphine is selected; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

a. 대기 전력 문제를 해결하는데 있어서, 하나 이상의 쇼키 장벽(Schottky Barrier)의 높이, Fermi level(페르미레벨)의 높이, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하여 해결하는데 있어서, a. In solving the standby power problem, one or more of the height of one or more Schottky barriers (Schottky Barrier), the height of the Fermi level (Fermi level), or one or more of bending deformation, Or more and adjusting one or more work functions (work functions)

b. 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 해결하는 것; 를 b. At least one bending deformation, position shift, or the like of Graphine is selected; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 것은 In one embodiment of the invention, adjusting one or more of the heights of the Fermi level

a. 매개 변수

Figure pat00001
를 조절,a. parameter
Figure pat00001
Lt; RTI ID =

b. 페르미 레벨보다 높은 곳에 state(모양 또는 형세)와 전자를 동시에 공급해주면 페르미 레벨은 올라간다, b. If you supply a state (shape or shape) and electrons at a higher level than the Fermi level, the Fermi level goes up,

c. 페르미레벨보다 높은 곳에 state(모양 또는 형세)와 전자를 동시에 제공한다, c. Providing a state (shape or shape) and electrons at a higher level than the Fermi level,

d. 그래파인(Graphyne)을 공간적인 왜곡시키되 전자를 동시에 제공한다, d. Graphyne is distorted spatially but provides electrons at the same time,

e. 그래파인(Graphyne)을 공간적으로 왜곡시키되 state(모양 또는 형세)와 전자를 동시에 제공하는 것으로 구성되는 상기 a 내지 e 중 하나 이상 선택되는 것을 하나 이상 구비하는 것; 을 e. At least one selected from the above a to e constituted by spatially distorting Graphyne and simultaneously providing a state (shape or a shape) and an electron; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서,In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)과 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은,At least one of Graphyne and at least one bending deformation,

a. 하나 이상 물리적으로 접촉하지 않고 하나 이상의 그래파인(Graphyne)(제 1 전극) 및 전도성 물질(제 2 전극)과 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성, a. At least one of which is provided with at least one graphine (first electrode), a conductive material (second electrode) and at least one Fermi level (Fermi level)

b. 하나 이상의 그래파인(Graphyne)(제 1 전극) 및 전도성 물질(제 2 전극)과 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성,b. At least one graphyne (first electrode), a conductive material (second electrode), and at least one height adjustment of at least one Fermi level (Fermi level)

c. 그래파인(Graphyne)(제 1 전극)을 전도성 물질(제 2 전극)과 하나 이상 간격조정하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성,c. A configuration in which at least one graphyne (first electrode) is spaced apart from the conductive material (second electrode) by at least one interval, and one or more fermi levels (height adjustment of the Fermi level)

d. 그래파인(Graphyne)(제 1 전극)을 전도성 물질(제 2 전극)과 하나 이상 가깝게, 인접하게 위치시키는, 근접하게, 충분히 가깝게, 중 하나 이상 선택되는 것을 하나 이상 구비하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성,d. One or more of which is selected at least one of proximity, near enough to place Graphine (the first electrode) adjacent to the conductive material (second electrode) in close proximity to one or more of the conductive material (second electrode) Fermi level), the height of which is at least one,

e. 그래파인(Graphyne)이 표면 거칠기(surface roughness)를 하나 이상 구비하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성,e. Graphyne has at least one surface roughness, at least one of which is provided with at least one Fermi level height adjustment,

f. 그래파인(Graphyne)이 표면 구조(Surface texture)를 하나 이상 구비하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성,f. Graphyne has one or more surface textures, one or more of which are provided with at least one Fermi level height adjustment,

g. 그래파인(Graphyne)이 평균 표면 위치에서 편차를 하나 이상 구비하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성,g. Graphyne has one or more deviations from the average surface position, but one or more configurations with at least one Fermi level height adjustment,

, 로 구성되는 상기 a 내지 g 중 하나 이상 선택되는 것을 하나 이상 구비하는 것; 을, And at least one selected from the above a to g consisting of: of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서, In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)과 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은,At least one of Graphyne and at least one bending deformation,

a. 하나 이상 물리적으로 접촉하지 않고 하나 이상의 그래파인(Graphyne)(제 1 전극) 및 전도성 물질(제 2 전극)과 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성, a. At least one of which is provided with at least one graphine (first electrode), a conductive material (second electrode) and at least one Fermi level (Fermi level)

b. 하나 이상 물리적으로 접촉하고, 하나 이상의 그래파인(Graphyne)(제 1 전극) 및 전도성 물질(제 2 전극)과 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성, b. At least one physical contact, at least one of which is provided with at least one graphyne (first electrode), a conductive material (second electrode) and at least one Fermi level height adjustment,

c. 하나 이상의 그래파인(Graphyne)(제 1 전극) 및 전도성 물질(제 2 전극)과 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성, c. At least one graphyne (first electrode), a conductive material (second electrode), and at least one height adjustment of at least one Fermi level (Fermi level)

d. 그래파인(Graphyne)(제 1 전극)을 전도성 물질(제 2 전극)과 하나 이상 간격조정하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성, d. A configuration in which at least one graphyne (first electrode) is spaced apart from the conductive material (second electrode) by at least one interval, and one or more fermi levels (height adjustment of the Fermi level)

e. 그래파인(Graphyne)(제 1 전극)을 전도성 물질(제 2 전극)과 하나 이상 가깝게, 붙으며, 인접하게 위치시키는, 근접하게, 충분히 가깝게, 밀접하게 붙는, 중 하나 이상 선택되는 것을 하나 이상 구비하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성, e. One or more of the following may be selected: one or more of Graphyne (the first electrode) is attached to one or more of the conductive material (second electrode), adjacent to it, closely adjacent, close enough, One or more configurations with one or more Fermi level height adjustments,

f. 그래파인(Graphyne)이 표면 거칠기(surface roughness)를 하나 이상 구비하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성, f. Graphyne has at least one surface roughness, at least one of which is provided with at least one Fermi level height adjustment,

g. 그래파인(Graphyne)이 표면 구조(Surface texture)를 하나 이상 구비하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성, g. Graphyne has one or more surface textures, one or more of which are provided with at least one Fermi level height adjustment,

h. 그래파인(Graphyne)이 평균 표면 위치에서 편차를 하나 이상 구비하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성, h. Graphyne has one or more deviations from the average surface position, but one or more configurations with at least one Fermi level height adjustment,

, 로 구성되는 상기 a 내지 h 중 하나 이상 선택되는 것을 하나 이상 구비하는 것; 을 , At least one selected from among a to h above constituted by; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서, In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)과 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것은,At least one of Graphyne and at least one bending deformation,

a. 하나 이상 물리적으로 접촉하지 않고 하나 이상의 그래파인(Graphyne)(제 1 전극) 및 전도성 물질(제 2 전극)과 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성, a. At least one of which is provided with at least one graphine (first electrode), a conductive material (second electrode) and at least one Fermi level (Fermi level)

b. 하나 이상 물리적으로 접촉하고, 하나 이상의 그래파인(Graphyne)(제 1 전극) 및 전도성 물질(제 2 전극)과 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성, b. At least one physical contact, at least one of which is provided with at least one graphyne (first electrode), a conductive material (second electrode) and at least one Fermi level height adjustment,

c. 하나 이상의 그래파인(Graphyne)(제 1 전극) 및 전도성 물질(제 2 전극)과 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성,c. At least one graphyne (first electrode), a conductive material (second electrode), and at least one height adjustment of at least one Fermi level (Fermi level)

d. 그래파인(Graphyne)(제 1 전극)을 전도성 물질(제 2 전극)과 하나 이상 간격조정하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성,d. A configuration in which at least one graphyne (first electrode) is spaced apart from the conductive material (second electrode) by at least one interval, and one or more fermi levels (height adjustment of the Fermi level)

e. 그래파인(Graphyne)(제 1 전극)을 전도성 물질(제 2 전극)과 하나 이상 가깝게, 붙으며, 인접하게 위치시키는, 근접하게, 충분히 가깝게, 밀접하게 붙는, 중 하나 이상 선택되는 것을 하나 이상 구비하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성,e. One or more of the following may be selected: one or more of Graphyne (the first electrode) is attached to one or more of the conductive material (second electrode), adjacent to it, closely adjacent, close enough, One or more configurations with one or more Fermi level height adjustments,

f. 그래파인(Graphyne)이 표면 구조(Surface texture)를 하나 이상 구비하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성,f. Graphyne has one or more surface textures, one or more of which are provided with at least one Fermi level height adjustment,

g. 그래파인(Graphyne)이 평균 표면 위치에서 편차를 하나 이상 구비하되, 하나 이상의 Fermi level(페르미레벨)의 높이조절로 하나 이상 구비되는 구성,g. Graphyne has one or more deviations from the average surface position, but one or more configurations with at least one Fermi level height adjustment,

, 로 구성되는 상기 a 내지 g 중 하나 이상 선택되는 것을 하나 이상 구비하는 것; 을, And at least one selected from the above a to g consisting of: of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서, In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)과 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하되, 상기 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 것은, Wherein at least one of at least one graphine and at least one bending deformation and position movement is selected, wherein adjusting at least one height of the at least one Fermi level comprises:

a. 그래파인(Graphyne)(제 1 전극)을 전도성 물질(제 2 전극)과 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 데 있어서, DiscreTe charging effecTs in small sysTems(작은 시스템에서 개별 충전 효과)로서 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 구성을 하나 이상 구비하는 것; 을a. In adjusting one or more of the height of one or more Fermi level (Fermi level) with the conductive material (second electrode) of Graphyne (first electrode), DiscreTe charging effecTs in small sysTems ) Having one or more configurations for adjusting one or more heights of one or more Fermi levels (Fermi level); of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서, In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)과 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하되, 상기 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 것은, Wherein at least one of at least one graphine and at least one bending deformation and position movement is selected, wherein adjusting at least one height of the at least one Fermi level comprises:

a. 그래파인(Graphyne)(제 1 전극)을 전도성 물질(제 2 전극)과 하나 이상의 쿨롱 봉쇄(Coulomb blockade)의 형태로서 설명되며, 전기적으로 하나 이상 접촉되는 구성을 하나 이상 구비하는 것; 을a. Graphyne (first electrode) is described as a conductive material (second electrode) and in the form of one or more coulomb blockades, and having one or more electrically connected structures; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서, In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

하나 이상의 그래파인(Graphyne)과 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하되, 상기 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 것은,Wherein at least one of at least one graphine and at least one bending deformation and position movement is selected, wherein adjusting at least one height of the at least one Fermi level comprises:

a. 그래파인(Graphyne)(제 1 전극)을 전도성 물질(제 2 전극)과 하나 이상의 Single electron transistor(단일 전자 트랜지스터)의 형태로서 설명되며, 전기적으로 하나 이상 접촉되는 구성을 하나 이상 구비하는 것; 을a. Graphyne (first electrode) is described as a conductive material (second electrode) and in the form of one or more single electron transistors (single electron transistor), and having one or more electrically connected structures; of

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다.And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서, In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서, In one embodiment of the present invention, in a transistor having at least one of bending deformation, position shifting, or the like of Graphyne selected and having at least one function of adjusting a work function,

상기 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터는 A transistor having at least one of bending deformation, position shifting, etc. of the graphyne selected and having at least one function for adjusting a work function

CPU, 메모리, 반도체 집적회로, 마이크로프로세서, 베터리가 구비되는 전자장치, 전자부품, 전자장치, 로 구성되는 것 중 하나 이상 선택되는 것에 하나 이상 1차원적, 2차원적, 3차원적, 중 하나 이상 선택되는 것으로 하나 이상 구비되는 것; 를 One or more one-dimensional, two-dimensional, three-dimensional, or one-to-one correspondence with one or more selected from the group consisting of a CPU, a memory, a semiconductor integrated circuit, a microprocessor, One or more selected from the above; To

구비하는 것을 특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터를 구비한다. And at least one bending deformation and / or positional movement of the graphyne, wherein the at least one bending deformation and the at least one bending deformation are selected.

본 발명의 한 실시형태에서, 본 과제에서 설명하는 Fermi level(페르미레벨)은 (a). 전자가 체워질 확률이 1/2인 에너지 준위, (b). 절대온도 0도에서 최외각 전자가 가지는 에너지 높이, (c). 그래파인(Graphyne) 내에서 가장 약하게 속박되어 있는 에너지 준위, 로 구성되는 (a) 내지 (c) 중 하나 이상 선택되는 것의 의미를 가지는 것으로 이해된다. In one embodiment of the present invention, the Fermi level described in this task is (a). (B) the energy level at which the probability of electrons being picked up is 1/2; The energy height of the outermost electron at the absolute temperature of 0 degree, (c). Is understood to mean that at least one of (a) to (c) consisting of the weakly bound energy level in Graphyne is selected.

본 발명의 한 실시형태에서, 쇼키 장벽(Schottky Barrier)는 금속 - 반도체 접합으로 인한 잠재적 인 에너지 에 형성된 전자에 대한 장벽을 의미한다. In one embodiment of the present invention, Schottky Barrier refers to the barrier to electrons formed in the potential energy due to metal-semiconductor junctions.

본 발명의 한 실시형태에서, 전하를갖는입자 또는 전하를 띠는 입자는 내향플러렌(Endohedral fullerene), 양전하입자, 음전하입자, 양과음전하입자, 중 하나 이상 선택되는 것을 의미할 수 있다. In one embodiment of the present invention, particles having charge or charged particles may mean that at least one of endohedral fullerene, positive charge particles, negative charge particles, positive and negative charge particles are selected.

본 발명의 한 실시형태에서, Piezo(피에조)는 역압전효과(converse piezoelectric effect)를 의미한다. 즉 전기장을 가해주면 기계적인 변형이 일어난다. In one embodiment of the present invention, Piezo refers to the converse piezoelectric effect. That is, mechanical deformation occurs when an electric field is applied.

본 발명의 한 실시예에서, 하나 이상의 그래파인(Graphyne)을 상부에 교차되어 지나가는 장벽조정용인 교차회로의 정전기적 준위로 인하여, 하나 이상의 자성입자가 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 일으켜 하나 이상의 굽힘변형, 위치이동 중 하나 이상 선택되는 것이 가해진 그래파인(Graphyne)이 하나 이상의 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절하여 Work function(일함수)을 하나 이상 조절할때 구비되는 하나 이상의 자성입자의 하나 이상의 운동은 암페어법칙(앙페르 회로 법칙(Ampere's circuital law)) 또는 앙페르-맥스웰 방정식으로 설명될 수 있다. In one embodiment of the present invention, due to the electrostatic level of the crossover circuit, which is for crossing over one or more graphynes, the one or more magnetic grains deform one or more bends, (Graphyne) applied to be selected from one or more of bending deformation, position shifting, causing one or more of the bending deformation and the movement to be selected, is selected from one or more of Schottky Barrier, Fermi level One or more motions of one or more magnetic particles included in adjusting one or more of the Work function by adjusting one or more heights may be described by the Ampere's circuital law or the Amper-Maxwell equation .

본 발명의 한 실시예에서, 정전기적 준위는 헤르쯔로부터 파생되는 정전기적 준위를 의미할 수 있다. In one embodiment of the invention, the electrostatic level can refer to an electrostatic level derived from Hertz.

본 발명의 한 실시예에서, 정전기적 준위는 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를 갖는 입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)이 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 구비하여 하나 이상의 페르미레벨의 높이를 하나 이상 조절하는데 있어서, 상기 페르미레벨을 설명하는데 유용하기에 정전기적 준위를 구비하는 것으로 본 발명에서 설명하였다. In one embodiment of the invention, the electrostatic level is selected from one or more of Piezo, Magnetic, Charged, or Charged particles, wherein one or more of the graphicles is at least one Bending deformation, and positional shifting in order to adjust the height of one or more Fermi levels, it has been described in the present invention to have an electrostatic level that is useful for describing the Fermi level.

본 발명의 한 실시예에서, 정전기적 준위는 본 발명의 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를 갖는 입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)이 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 구비하는데 필요한 전기적인 힘, 전기장을 발생시킬 수 있는 어떠한 작용, 자기장을 발생시킬 수 있는 어떠한 작용, 정전기적인 어떠한 작용, 중 하나 이상 선택되는 것을 통합적으로 의미한다. In one embodiment of the invention, the electrostatic level is selected from the group consisting of one or more Piezo material, magnetic particles, charged particles, or charged particles of the present invention selected from one or more of Graphyne, One or more of bending deformation, positional movement, or any other action capable of generating an electric field, any action capable of generating a magnetic field, any electrostatic action, It means integrally.

본 발명의 한 실시예에서, 정전기적 준위는 하나 이상의 그래파인(Graphyne)이 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 구비하는데 필요한 전기적인 힘, 전기장을 발생시킬 수 있는 어떠한 작용, 정전기적인 어떠한 작용, 중 하나 이상 선택되는 것을 통합적으로 의미한다. In one embodiment of the present invention, the electrostatic level is selected from the group consisting of an electrical force required to have at least one graphyne selected from one or more of bending deformation, positional movement, any action capable of generating an electric field, Which means that one or more of the following actions is selected.

본 발명의 한 실시예에서, 그래파인(Graphyne)이 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 구비하는 것은 굽힘변형으로만도 설명될 수 있지만, 그래파인(Graphyne)의 굽힘변형되는 끝단부를 위치이동이 되는 형태로도 설명할 수 있기에 자세한 설명을 보충하기 위해 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것을 구비하는 것으로 설명하였다. In one embodiment of the present invention, it can be explained by a bending deformation that at least one of Graphyne is selected from at least one bending deformation and at least one position deformation, but the bending deformation end of Graphyne And it is explained that at least one of bending deformation and position movement is selected in order to supplement the detailed description.

본 발명의 한 실시예에서, 페르미레벨의 높이를 하나 이상 조절하는 것은 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절하는 것을 설명하는데 유용하다. In one embodiment of the invention, adjusting one or more of the Fermi level heights is useful to account for adjusting one or more of the height of the Schottky barrier.

본 발명의 한 실시예에서, 페르미레벨은 전압계로 간단히 측정될 수 있으며(본 발명의 회로 구성이 전압계로 측정가능하도록 구비가 가능한), 또한 본 발명의 한 실시예에서, 피에조(역압전효과)로 인해 온도에 민감한(준 페르미레벨)이 구비될 수 있기에 본 발명의 명세서에서는 페르미레벨을 자세히 설명하였다.In one embodiment of the invention, the Fermi level can be measured simply by a voltmeter (the circuit arrangement of the present invention can be provided to be measurable by a voltmeter), and also in one embodiment of the invention, (Quasi Fermi level) due to temperature, it is described in detail in the specification of the present invention.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하부에 구비되는 물질은 전하를갖는입자 또는 전하를띠는입자 만으로도 구비 될 수 있다.In one embodiment of the present invention, the material provided at the bottom of Graphyne may be provided with charged particles or only charged particles.

본 발명의 한 실시예에서, 그래파인(Graphyne)의 하부에도 엘라스토머층이나 절연층이 구비되어(예를들어, 절연을 위한), 다층상태로 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를띠는입자, 중 하나 이상 선택되는 것이 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있다. In one embodiment of the present invention, an elastomeric or insulating layer is also provided on the bottom of the Graphyne to provide one or more Piezo (piezoe) materials, magnetic particles, charge in a multilayered state , One or more of bending deformation, position shifting, and the like, wherein at least one of the particles having a particle size distribution and the particles having an electric charge selected therefrom is selected.

본 발명의 한 실시예에서, 자성입자는 자성을 나타내는 유기 분자로 유기라디칼, 자성 금속 복합체, 단일 분자 자석, 중 하나 이상 선택되는 것을 의미할 수 있다.In one embodiment of the present invention, the magnetic particles may mean that at least one of an organic radical, a magnetic metal complex, and a single molecular magnet is selected as an organic molecule exhibiting magnetism.

본 발명의 한 실시예에서, Work function(일함수)는 어떤 고체의 표면에서 한 개의 전자를 고체 밖으로 빼내는 데 필요한 에너지를 의미합니다.In one embodiment of the invention, the work function means the energy required to pull one electron out of the solid at the surface of a solid.

발명의 한 실시형태에서, 그래파인(Graphyne)이 낮은 온도 상태에서 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상의 전도성 물질에, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 것으로 되는 것을 의미할 수 있다.In one embodiment of the invention, one or more of the graphynes are selected at least one of bending deformation, position shifting, in a low temperature state, the one or more conductive materials are doped with one or more Schottky Barrier (At least one Fermi level), and at least one Fermi level (at least one Fermi level) at least one of which is selected to control one or more work functions.

발명의 한 실시형태에서, 그래파인(Graphyne)이 낮은 온도 상태에서 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를 갖는 입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)이 하나 이상 굽힘변형, 위치이동 중 하나 이상 선택되는 것으로 하나 이상의 전도성 물질에, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절, 하나 이상의 Fermi level(페르미레벨)의 높이를 하나 이상 조절, 로 구성되는 것 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 것으로 되는 것을 의미할 수 있다. In one embodiment of the invention, Graphyne is selected from one or more Piezo material, magnetic particles, charged particles, or charged particles in a low temperature state, Graphyne is one or more selected from at least one of bending deformation and positional shifting. In one or more conductive materials, one or more height of one or more Schottky Barriers, at least one Fermi level (height of Fermi level) And a control function to select one or more of the work function (work function).

본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne) 상단부에 하나 이상의 접착층, 액체고분자층, 엘라스토머층, 부도체층, 절연층, 진공층, Air층(에어층), 중 하나 이상 선택되는 층을 구비하며, 하나 이상의 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 것을 구비한다. 예를들어, 상기 설명은 진공층과 절연층이 그래파인(Graphyne)의 상단부에 동시에 구비될 수 있음을 의미한다. In one embodiment of the invention, at least one layer selected from at least one of an adhesive layer, a liquid polymeric layer, an elastomeric layer, a nonconductive layer, an insulating layer, a vacuum layer, an air layer (air layer) And at least one of at least one bending deformation, position movement, or the like of at least one graphine is selected to adjust at least one work function. For example, the above description means that the vacuum layer and the insulating layer can be provided at the upper end of Graphyne at the same time.

본 발명의 한 실시형태에서, 본 발명의 그래파인(Graphyne)은 다층 그래파인(Graphyne)(멀티층 그래파인(Graphyne))을 의미할 수 있다. In one embodiment of the present invention, the Graphyne of the present invention can mean multi-layer Graphyne (multi-layer Graphyne).

본 발명의 한 실시형태에서, 본 발명의 그래파인(Graphyne)은 그래프딘(Graphdiyne)을 의미할 수 있다. In one embodiment of the present invention, the Graphyne of the present invention may mean Graphdiyne.

본 발명의 한 실시형태에서, 본 발명의 트랜지스터는 에피텍셜 성장 및 도핑 공정을 구비할 수 있다. In one embodiment of the present invention, the transistor of the present invention may comprise an epitaxial growth and doping process.

본 발명의 한 실시형태에서, 본 발명에서 제시되는 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 주어질 때마다 상기 하나 이상 선택되는 것의 상부에 초박막이나 증착막이 같이 구비되어 있는 상태(본 발명에서 제시하는 제조방법으로)를 의미하는 것으로 해석할 수 있다. In one embodiment of the present invention, each time one or more of the Piezo (piezoe) material, the magnetic particle, the charged particle or the charged particle presented in the present invention is selected, It can be interpreted that it means a state in which an ultra thin film or a vapor deposition film is provided on the upper part (in the manufacturing method presented in the present invention).

본 발명의 한 실시형태에서, 초박막이나 증착막은 10 마이크로미터 이하, 1 마이크로미터 이하, 100 나노미터 이하, 10 나노미터 이하, 중 선택되는 두께를 구비한다. In one embodiment of the present invention, the ultra thin film or vapor deposition film has a thickness selected from 10 micrometers or less, 1 micrometer or less, 100 nanometers or less, 10 nanometers or less.

본 발명의 한 실시형태에서, 본 발명의 제조방법은 다양한 변형형태의 제조방법을 포함하는 것으로 의미될 수 있다. 예를들어, 증착은 열 ALD(thermal atomic layer deposition), 열 CVD(thermal chemical vapor deposition), 증발(evaporation) 법, 기상 증착 공정(chemical vapor deposition, CVD), 개시 화학 증착 공정(Initiated Chemical Vapor Deposition, iCVD), Atomic layer deposition(원자층증착), 촉매화학기상증착(catalytic chemical vapor deposition (CCVD)), 중 선택되는 증착을 의미할 수 있다. 중요한 요점은, 본 발명에서 제시하는 그래파인(Graphyne)의 대기전력문제를 그래파인(Graphyne)의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 해결하는 구조라는 점이다. 그러한 의미에서 제조방법 및 제조순서는 다양한 방법들이 사용 될 수 있다. In one embodiment of the present invention, the manufacturing method of the present invention can be considered to include manufacturing methods of various modifications. For example, the deposition may be performed using thermal atomic layer deposition (ALD), thermal chemical vapor deposition, evaporation, chemical vapor deposition (CVD), initiated chemical vapor deposition , iCVD), Atomic layer deposition (atomic layer deposition), or catalytic chemical vapor deposition (CCVD). An important point is that the present invention solves the problem of standby power of Graphyne by selecting at least one of bending deformation and position movement of Graphyne. In this sense, various methods can be used for the manufacturing method and the manufacturing order.

본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne) 상부에 절연층 또는 그래파인(Graphyne) 상부에 초박막을 구비하는데 있어서(예를들어, 단일 전자 트랜지스터를 제작하는데 있어서), 열 ALD(thermal atomic layer deposition), 열 CVD(thermal chemical vapor deposition), 증발(evaporation) 법, 기상 증착 공정(chemical vapor deposition, CVD), 개시 화학 증착 공정(Initiated Chemical Vapor Deposition, iCVD), Atomic layer deposition(원자층증착), 중 선택되는 공정을 이용할 수 있다. 본 발명의 한 실시형태에서, 상기 절연층 또는 그래파인(Graphyne) 상부에 초박막의 형성 온도는, 예컨대, 100∼400℃ 정도일 수 있다. In one embodiment of the present invention, in providing an insulating layer on top of one or more Graphyne or an ultra-thin film on top of Graphyne (e.g., in fabricating a single electron transistor), thermal ALD atomic layer deposition, thermal chemical vapor deposition, evaporation, chemical vapor deposition (CVD), initiated chemical vapor deposition (iCVD), atomic layer deposition Deposition) can be used. In one embodiment of the present invention, the formation temperature of the ultra thin film on the insulating layer or Graphyne may be, for example, about 100 to 400 캜.

본 발명의 한 실시형태에서, 개시 화학 증착 공정(Initiated Chemical Vapor Deposition, iCVD)은 용매를 사용하지 않는 공정으로서, 고분자 박막의 순도를 크게 개선할 수 있다. In one embodiment of the present invention, Initiated Chemical Vapor Deposition (iCVD) is a solvent-free process that can significantly improve the purity of the polymer thin film.

본 발명의 한 실시형태에서, 게이트전극(교차되는 회로)과 소오스전극(그래파인(Graphyne)이 연결되는) 및 드레인전극(전도성물질)을 형성하기 위한 패터닝 공정에는 습식 식각(wet etch) 또는 리프트-오프(lift-off) 공정 등이 사용될 수 있다. In one embodiment of the present invention, the patterning process for forming a gate electrode (crossed circuit) and a source electrode (to which Graphyne is connected) and a drain electrode (conductive material) may include wet etch or lift A lift-off process, or the like can be used.

본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne) 상부에 구비된 층(진공층, 에어층, 절연층, 중 선택되는 것) 상부에 게이트전극(교차되는 회로)을 형성할 수 있다. 또는 소오스전극(그래파인(Graphyne)) 및 소오스전극 상부에 진공층, 에어층, 절연층, 중 선택되는 것 및 측면에 드레인전극(전도성물질)을 형성하고 게이트전극(교차되는 회로)이 상부에 형성 될수 있다. 게이트전극(교차되는 회로) 및 드레인전극(전도성물질)은 금속이나 금속화합물로 형성할 수 있다. 상기 금속은 예컨대, Au, Cu, Ni, Ti, Pt, Ru, Pd 등으로 구성된 그룹에서 선택된 적어도 하나를 포함할 수 있고, 단층 또는 다층 구조로 형성될 수 있다. 상기 금속화합물은, 예컨대, 도전성 금속산화물 또는 금속합금일 수 있다. 게이트전극(교차되는 회로)은 그래파인(Graphyne)을 포함할 수도 있다. 드레인전극(전도성물질) 또한 적어도 하나 이상의 그래파인(Graphyne)을 포함할 수 있다. 드레인전극(전도성물질)은 게이트전극(교차되는 회로)과 동일한 물질로 형성하거나, 다른물질로 형성할 수 있다. In one embodiment of the present invention, a gate electrode (crossed circuit) may be formed on top of one or more of the layers (vacuum layer, air layer, insulating layer, etc.) provided on top of Graphyne. Or a drain electrode (conductive material) on the side of a source electrode (Graphyne) and a source electrode and a vacuum layer, an air layer, an insulating layer, and a drain electrode Lt; / RTI &gt; The gate electrode (crossing circuit) and the drain electrode (conductive material) may be formed of a metal or a metal compound. The metal may include at least one selected from the group consisting of Au, Cu, Ni, Ti, Pt, Ru, Pd and the like, and may be formed as a single layer or a multilayer structure. The metal compound may be, for example, a conductive metal oxide or a metal alloy. The gate electrode (crossing circuit) may include Graphyne. The drain electrode (conductive material) may also include at least one graphine. The drain electrode (conductive material) may be formed of the same material as the gate electrode (crossing circuit), or may be formed of another material.

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 구비하는 것은 아래와 같은 제조방법을 구비할 수 있다. (1). 기판 세정, (2). 금속증착, 레지스터 도포, (3). 노광, (4). 현상, (5). 에칭(등방성이나 이방성 에칭, 또는 습식에칭), (6). 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 구비, (7). 레지스터, 금속제거, (8). 폴리메틸메타크릴레이트(PMMA)이 코팅된 그래파인(Graphyne) 전사(또는 인쇄) 후 패터닝, (9). 그래파인(Graphyne) 위에 폴리메틸메타크릴레이트(PMMA)등을 코팅한다.(또는 9번 공정에서 PMMA대신 절연층을 증착한다) (10). 상부에 교차되는 장벽조정회로를 구비 한다. (11). 용매액(실 예로, 아세톤)으로 폴리메틸메타크릴레이트(PMMA)층을 모두 용해시킨다. 로 구비되는 (1) 부터 (9) 로 이어지는 제조방법, (1) 부터 (11) 로 이어지는 제조방법, 중 하나 이상 선택되는 것을 하나 이상 구비할 수 있다. In one embodiment of the invention, one or more of Piezo (piezoe) material, magnetic particle, charged particle, or charged particle, and at least one graphyne at the top The following manufacturing method may be provided. (One). Substrate cleaning, (2). Metal deposition, resistor application, (3). Exposure, (4). Phenomenon, (5). Etching (isotropic or anisotropic etching, or wet etching), (6). One or more Piezo material, magnetic particles, charged particles, or charged particles. Resistors, metal removal, (8). Patterning after (or printing) Graphyne coated with polymethylmethacrylate (PMMA), (9). Polymethyl methacrylate (PMMA) or the like is coated on Graphyne (or the insulating layer is deposited instead of PMMA in the 9th step) (10). And a barrier regulating circuit crossing the upper portion. (11). The polymethylmethacrylate (PMMA) layer is completely dissolved with a solvent (for example, acetone). (1) to (9), and the production process leading to (1) to (11).

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 구비하는 것은 아래와 같은 제조방법을 구비할 수 있다. (1). 기판 세정, (2). 금속증착, 레지스터 도포, (3). 노광, (4). 현상, (5). 에칭(등방성이나 이방성 에칭, 또는 습식에칭), (6). 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 구비, (7). 레지스터, 금속제거, (8). 그래파인(Graphyne)을 용매에서 분산시켜 분산액을 제조하는 단계; 상기 분산액을 코팅 후 열(또는 상온에서)로 증발시키는 단계;그 이후 패터닝 공정을 구비한다, (9). 그래파인(Graphyne) 위에 폴리메틸메타크릴레이트(PMMA)등을 코팅한다(또는 9번 공정에서 PMMA대신 절연층을 증착한다). (10). 상부에 교차되는 장벽조정회로를 구비 한다. (11). 용매액(실 예로, 아세톤)으로 폴리메틸메타크릴레이트(PMMA)층을 용해시킨다. 로 구비되는 (1) 부터 (9) 로 이어지는 제조방법, (1) 부터 (11) 로 이어지는 제조방법, 중 하나 이상 선택되는 것을 하나 이상 구비할 수 있다. In one embodiment of the invention, one or more of Piezo (piezoe) material, magnetic particle, charged particle, or charged particle, and at least one graphyne at the top The following manufacturing method may be provided. (One). Substrate cleaning, (2). Metal deposition, resistor application, (3). Exposure, (4). Phenomenon, (5). Etching (isotropic or anisotropic etching, or wet etching), (6). One or more Piezo material, magnetic particles, charged particles, or charged particles. Resistors, metal removal, (8). Dispersing Graphyne in a solvent to prepare a dispersion; Evaporating the dispersion to heat (or at room temperature) after coating, and thereafter, a patterning process. Polymethyl methacrylate (PMMA) or the like is coated on Graphyne (or an insulating layer is deposited instead of PMMA in the step 9). (10). And a barrier regulating circuit crossing the upper portion. (11). The polymethylmethacrylate (PMMA) layer is dissolved with a solvent (in this case, acetone). (1) to (9), and the production process leading to (1) to (11).

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 상부에 구비된 하나 이상의 그래파인(Graphyne)을 하나 이상 구비하는 것은 아래와 같은 제조방법을 구비할 수 있다. (1). 기판 세정, (2). 금속증착, 레지스터 도포, (3). 노광, (4). 현상, (5). 에칭(등방성이나 이방성 에칭, 또는 습식에칭), (6). 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 구비, (7). 레지스터, 금속제거, (8). 폴리메틸메타크릴레이트(PMMA)이 코팅된 그래파인(Graphyne) 전사(또는 인쇄) 후 패터닝, (9). 그래파인(Graphyne) 위에 절연층을 구비한다(예를들어, 증착). (10). 상부에 교차되는 장벽조정회로를 구비 한다. (11). 용매액(예로, 아세톤)으로 폴리메틸메타크릴레이트(PMMA)층을 모두 용해시킨다. 로 구비되는 (1) 부터 (9) 로 이어지는 제조방법, (1) 부터 (11) 로 이어지는 제조방법, 중 하나 이상 선택되는 것을 하나 이상 구비할 수 있다. In one embodiment of the invention, one or more of Piezo (piezoe) material, magnetic particle, charged particle, or charged particle, and at least one graphyne at the top The following manufacturing method may be provided. (One). Substrate cleaning, (2). Metal deposition, resistor application, (3). Exposure, (4). Phenomenon, (5). Etching (isotropic or anisotropic etching, or wet etching), (6). One or more Piezo material, magnetic particles, charged particles, or charged particles. Resistors, metal removal, (8). Patterning after (or printing) Graphyne coated with polymethylmethacrylate (PMMA), (9). An insulating layer is provided over the Graphyne (e. G., Deposition). (10). And a barrier regulating circuit crossing the upper portion. (11). The polymethylmethacrylate (PMMA) layer is completely dissolved with a solvent (for example, acetone). (1) to (9), and the production process leading to (1) to (11).

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 상부에 구비되는 그래파인(Graphyne)을 하나 이상 구비하는 것은 나노 임프린트 리소그래피 공정을 구비하여 구비될 수 있다. In one embodiment of the present invention, one or more of at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, and at least one of Graphyne, May be provided with a nanoimprint lithography process.

본 발명의 한 실시형태에서, 절연층, PMMA층 및 레지스트층은 스핀코팅법을 이용하여 형성될 수 있다. In one embodiment of the present invention, the insulating layer, the PMMA layer, and the resist layer may be formed using a spin coating method.

본 발명의 한 실시형태에서, 본 발명에서 제시되는 교차회로가 구비되는 것이 주어질 때마다 기본적으로 교차회로 하부에 (a). 절연층, 또는 (b). 진공층, 에어층 중 선택되는 것과 절연층이 구비된 것, 로 구성되는 상기 (a) 내지 (b) 중 선택되는 것;을 의미할 수 있으며, 더하여 CMOS를 구비하는 공정이 선택적으로 사용될 수 있으나, 발명의 요지가 너무 복잡해져서 흐려질 것을 염려하여 기재하지는 않았다. In one embodiment of the present invention, (a) underneath the crossover circuit is basically provided whenever it is given that the crossover circuit presented in the present invention is provided. An insulating layer, or (b). (A) to (b) consisting of a vacuum layer, an air layer, and an insulating layer. In addition, a CMOS process may be selectively used , The description of the invention has not been described with concern that it becomes too complicated and blurry.

본 발명의 한 실시형태에서, 그래파인(Graphyne)의 상부 또는 하부에 진공층을 형성하기 위한 희생층은 아세톤, 벤젠 또는 클로로포름 등 유기용매에 용해되는 물질로 이루어질 수 있다. 따라서, 유기용매를 사용하는 경우, 상기 희생층은 제거될 수 있다. 일 예로, 상기 희생층은 PMMA(poly-methylmethacrylate)층일 수 있다. 그러나, 이에 한정되는 것은 아니며, 유기용매에 녹는 물질이라면 어느 것이든 가능할 수 있다 In one embodiment of the present invention, the sacrificial layer for forming a vacuum layer on the top or bottom of Graphyne may be made of a material dissolved in an organic solvent such as acetone, benzene or chloroform. Therefore, when an organic solvent is used, the sacrificial layer can be removed. For example, the sacrificial layer may be a poly-methylmethacrylate (PMMA) layer. However, the present invention is not limited thereto, and any substance may be used as long as it is soluble in an organic solvent

본 발명의 한 실시형태에서, 드레인 전극(전도성물질), 게이트전극(교차되는 장벽조정회로), 소오스전극(그래파인(Graphyne)에 연결된)은 각각 독립적으로 Au, Al, Ag, Be, Bi, Co, Cu, Cr, Hf, In, Mn, Mo, Mg, Ni, Nb, Pb, Pd, Pt, Rh, Re, Ru, Sb, Ta, Te, Ti, V, W, Zr, 및 Zn으로 이루어진 군으로부터 선택된 1종 이상으로 이루어질 수 있다. 이때, 혼합금속으로 전극을 구성할 경우, 합금이거나 경우에 따라, 접합된 형태로 적용할 수도 있다. 본 발명의 한 실시예에서, 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 그래파인(Graphyne)과 연결되는 소오스전극 및 드레인전극은 또한 상기 일면에서 제시하는 재질 이외에, 그래파인(Graphyne)을 구비할 수 있으나, 이에 한정되지는 않는다. In one embodiment of the present invention, the drain electrode (conductive material), the gate electrode (intersecting barrier regulating circuit) and the source electrode (connected to Graphyne) are each independently Au, Al, Ag, And is composed of Co, Cu, Cr, Hf, In, Mn, Mo, Mg, Ni, Nb, Pb, Pd, Pt, Rh, Re, Ru, Sb, Ta, Te, Ti, V, W, Zr, And the like. At this time, when the electrode is formed of mixed metal, it may be an alloy, or in some cases, a bonded form. In one embodiment of the present invention, the source and drain electrodes connected to Graphyne, which have at least one of more than one bending deformation, position shifting, are also selected from graphene Graphyne), but is not limited thereto.

본 발명의 한 실시형태에서, 본 발명의 트랜지스터는 본 발명의 제조방법에 선택적으로 포함그룹으로 구비되는, 그래파인(Graphyne)의 상부 또는 하부의 위치에, 절연층을 구비하는 단계에서, 선택적으로 화학적 기계적 연마 (chemical mechanical polishing(CMP)) 를 이용하여 상기 절연층의 두께를 바람직한 수준인 예를 들어, 약 5 나노미터에서 100 나노미터 정도가 되도록 줄이기 위하여(또는 평탄하게 만들기 위하여) 연마되는 제조방법을 추가로 더 구비할 수 있다. In one embodiment of the present invention, the transistors of the present invention are selectively provided in an inclusion group in the manufacturing method of the present invention, in a step of providing an insulating layer at the upper or lower position of Graphyne, The use of chemical mechanical polishing (CMP) to polish the insulating layer to reduce (or flatten) the thickness of the insulating layer to a desired level, for example, from about 5 nanometers to about 100 nanometers Method can be additionally provided.

본 발명의 한 실시형태에서, 본 발명에서 PMMA층을 용해하는 제조공정이 제시되는 때에는 예를들어, (1). 아세톤이 PMMA층으로 흘러들어갈 수 있는 통로를 확보(예를들어, 식각, 이온빔 등)하고, (2). PMMA층을 용해한 후 (3). 통로를 다시 매꾸는(예를들어, 증착) 제조공정이 부분적으로 전부기재 될 수 있으나 본 발명의 제조공정의 설명에는 자세히 다 기재하지는 않았지만 기재된 것으로 이해할 수 있다(명세서가 너무 복잡해지는 것을 방지하기 위하여). In one embodiment of the present invention, when a manufacturing process for dissolving a PMMA layer in the present invention is presented, for example, (1). Securing a passage through which acetone can flow into the PMMA layer (for example, etching, ion beam, etc.), and (2). After dissolving the PMMA layer (3). It is understood that the manufacturing process may be partially described (for example, vapor deposition), but it is not described in detail in the description of the manufacturing process of the present invention, but it can be understood that it is described (in order to prevent the specification from becoming too complicated ).

본 발명의 한 실시형태에서, 본 발명의 트랜지스터의 구조를 제조하는 제조공정이 있어서, 자성입자, 전하를 갖는 입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 하나 이상 구비하는 단계 후 박막을 구비(또는 증착) 하는 공정이 선택적으로 추가되는 공정순서가 기재 될 수 있으나 본 발명의 제조공정의 설명에는 자세히 다 기재하지는 않았지만 기재된 것으로 이해 할 수 있다(명세서가 너무 복잡해지는 것을 방지하기 위하여). In one embodiment of the present invention, the manufacturing process for fabricating the structure of the transistor of the present invention is characterized in that after the step comprising at least one selected from the group consisting of magnetic particles, particles having electric charge or particles having electric charge, (Or deposition) process is optionally added. However, it is understood that the process is not described in detail in the description of the manufacturing process of the present invention, but is understood to be described (in order to prevent the specification from becoming too complicated).

본 발명의 한 실시형태에서, 본 발명의 트랜지스터는 그래파인(Graphyne)과 그래파인(Graphyne)의 상부층의 장벽조정회로를 분리하여 제조하는 단계와 그 다음 페이스 투 페이스(웨이퍼 결합 프로세스)들을 구비하여 그래파인(Graphyne)의 굽힘변형을 통하여 하나 이상의 Fermi Level(페르미레벨)의 높이조절, 쇼키 장벽(Schottky Barrier)의 높이조절, 중 하나 이상 선택되는 것의 그래파인(Graphyne) 회로와 장벽조정회로를 통합하는 단계를 포함하는 3D 통합방법을 사용한다. 상기 3D 통합방법은 그래파인(Graphyne)과 그래파인(Graphyne)의 상부층의 장벽조정회로를 분리하여 제조한 다음 나중에 그 둘을 페이스 투 페이스(웨이퍼 결합 프로세스)에서 통합하는 공정을 의미한다. In one embodiment of the present invention, the transistor of the present invention is fabricated by separately fabricating the barrier regulating circuitry of the upper layers of Graphyne and Graphyne, followed by a face-to-face (wafer bonding process) Integration of graphyne circuitry and barrier adjustment circuitry in one or more selected of height adjustment of one or more Fermi Levels, height adjustment of Schottky Barriers through bending of Graphyne A 3D integration method is used. The 3D integration method refers to a process of separating the barrier regulating circuits of the upper layers of Graphyne and Graphyne and then integrating the two in a face-to-face (wafer bonding process).

발명의 한 실시형태에서, 소스층 (그래파인(Graphyne)과 연결되는 좌측부-소스) 은, 메탈로 구성되며, (A). 드레인층 (그래파인(Graphyne)과 물리적으로 갭(여기서는 높낮이-페르미레벨의 높이조절을 의미한다)이 구비되어 있는 우측부-드레인) 은 추후 웨이퍼 결합 단계에서 접착(adhesion) 이 가능한 구리 (Cu) 로 구성된다(물론, 그래파인(Graphyne) 또는 다른 메탈이 구비되고 장벽조정회로 웨이퍼와 컨텍부분만 Cu로 구비가 가능하다), (B). 드레인층 (그래파인(Graphyne)과 쇼키 장벽(Schottky Barrier)을 구성하기 위한 우측부-드레인은 실리콘, 또는 반도체로 그래파인(Graphyne)과 쇼키 장벽(Schottky Barrier)을 구성하고-페르미레벨의 높이조절로도 의미될 수 있다) 그 후에, 실리콘 또는 반도체에 구리 (Cu)가 구비된다(추후 웨이퍼 결합 단계에서 접착(adhesion) 이 가능한), (C). 드레인층 (그래파인(Graphyne)과 쇼키 장벽(Schottky Barrier)을 구성하기 위한 우측부-드레인은 실리콘, 또는 반도체가 그래파인(Graphyne)과 물리적인 갭을 구비하며(여기서는 높낮이-페르미레벨의 높이조절을 의미한다) 쇼키 장벽(Schottky Barrier)을 구성하고) 그 후에, 실리콘 또는 반도체에 구리 (Cu)가 구비된다(추후 웨이퍼 결합 단계에서 접착(adhesion) 이 가능한), 로 구성되는 (A) 내지 (C) 중 선택되는 것을 구비한다. In one embodiment of the invention, the source layer (left side-source connected to Graphyne) is composed of metal, (A). The drain layer (the right side drain with a physical gap (which here is referred to as the height adjustment of the elevation-Fermi level) with the Graphyne) is made of copper (Cu) (Of course, Graphyne or other metal is provided, and only barrier contact circuit wafer and contact part are possible with Cu), (B). Drain layer (the right side for constituting the Graphyne and the Schottky barrier constitutes the Graphyne and the Schottky barrier by means of semiconductors or semiconductors) and the height of the Fermi level (Cu) is then provided to the silicon or semiconductor (which may later be adhered in the wafer bonding step), (C). The right side-drain for constituting the drain layer (Graphyne and Schottky barrier) has a physical gap with silicon or semiconductor with Graphyne (here, the height of the height-Fermi level (A) to (A), which consist of a Schottky barrier (which constitutes a Schottky barrier) and then silicon or semiconductor is provided with copper (which can be subsequently adhered in the wafer bonding step) C).

따라서, 상기 메탈은 그래파인(Graphyne)층(들)의 노출된 부분들과 그래파인(Graphyne)과 연결되는 좌측부-소스, 드레인, 중 하나 이상 선택되는 위치에 컨택한다. 소스층은 전자빔 증착 (e-beam evaporation) 과 스퍼터링(sputtering) 을 이용하여 두께가 약 1 나노미터에서 100 나노미터정도가 되도록 증착되고, 드레인층(Cu)은 전기화학적 증착을 이용하여 두께가 약 5 나노미터에서 800 마이크로미터 (μm) 정도가 되도록 증착될 수 있다. 이 후 (a). 절연층 증착(증발(evaporation) 법, 열 ALD(thermal atomic layer deposition), 열 CVD(thermal chemical vapor deposition), 기상 증착 공정(chemical vapor deposition, CVD), 개시 화학 증착 공정(Initiated Chemical Vapor Deposition, iCVD), Atomic layer deposition(원자층증착), 중 선택되는 제조방법)을 사용하여 상기 그래파인(Graphyne)(또는 그래파인(Graphyne) 패턴화가 추가될 수 있으나 간략한 설명을 위해 설명하지 않았음-패턴된 그래파인(Graphyne))/기판 위에 증착된다), (b). 화학적 기계적 연마 (chemical mechanical polishing(CMP)) 를 이용하여 여분의 메탈을 제거하고 상기 절연층의 두께를 바람직한 수준인 예를 들어, 약 5 나노미터에서 100 나노미터 정도가 되도록 줄이기 위하여 연마된다, 또는 (a). PMMA drop-coating(드랍코팅)(또는 spin-coating(스핀코팅)), (b). 절연층 증착(증발(evaporation) 법, 열 ALD(thermal atomic layer deposition), 열 CVD(thermal chemical vapor deposition), 기상 증착 공정(chemical vapor deposition, CVD), 개시 화학 증착 공정(Initiated Chemical Vapor Deposition, iCVD), Atomic layer deposition(원자층증착), 중 선택되는 제조방법), (c). 화학적 기계적 연마 (chemical mechanical polishing(CMP)) 를 이용하여 여분의 메탈을 제거하고 상기 절연층의 두께를 바람직한 수준인 예를 들어, 약 5 나노미터에서 100 나노미터 정도가 되도록 줄이기 위하여 연마된다, (d). PMMA층 용해하여 진공층 형성(방법은 일면에서 설명하였음), 로 구성되는 공정순서를 구비할 수 있다. 상기 일면에서 제시하는 방법을 '그래파인(Graphyne) 웨이퍼'라 명한다. 이 후, 그래파인(Graphyne) 웨이퍼와 장벽조정회로 웨이퍼 를 통합하는데 사용되는 페이스 투 페이스(face to face) 결합방식을 사용한다. 장벽조정회로 웨이퍼는 그래파인(Graphyne) 웨이퍼와 페이스 투 페이스(face to face) 결합을 하기 위하여 뒤집어져 있다. 이와 달리 그래파인(Graphyne) 웨이퍼가 장벽조정회로 웨이퍼와 페이스 투 페이스(face to face) 결합을 하기 위하여 뒤집어질 수도 있다 Thus, the metal contacts at a selected location of at least one of the exposed portions of the Graphyne layer (s) and the left side-source, drain, which is connected to Graphyne. The source layer is deposited to a thickness of about 1 nanometer to about 100 nanometers using e-beam evaporation and sputtering, and the drain layer (Cu) is deposited using electrochemical deposition to a thickness of about 1 nanometer And can be deposited to be about 5 to 800 micrometers (μm). After this (a). An evaporation method, a thermal atomic layer deposition (ALD), a thermal chemical vapor deposition (CVD), a chemical vapor deposition (CVD), an Initiated Chemical Vapor Deposition (iCVD) The Graphyne (or Graphyne) patterning may be added using the method of choice (of course, selected from the group consisting of atomic layer deposition, atomic layer deposition) (Graphyne) / deposited on a substrate), (b). The excess metal is removed using chemical mechanical polishing (CMP) and polished to reduce the thickness of the insulating layer to a desired level, for example, from about 5 nanometers to about 100 nanometers, or (a). PMMA drop-coating (or spin-coating) (b). An evaporation method, a thermal atomic layer deposition (ALD), a thermal chemical vapor deposition (CVD), a chemical vapor deposition (CVD), an Initiated Chemical Vapor Deposition (iCVD) ), Atomic layer deposition (atomic layer deposition), (c). The excess metal is polished using chemical mechanical polishing (CMP) and polished to reduce the thickness of the insulating layer to a desired level, for example, from about 5 nanometers to about 100 nanometers d). And the PMMA layer is melted to form a vacuum layer (the method is described in one aspect). The above-described method is referred to as a "Graphyne wafer". This is followed by a face-to-face coupling that is used to integrate the Graphyne wafer and the barrier alignment circuit wafer. The barrier alignment circuit wafer is turned over to face-to-face with the Graphyne wafer. Alternatively, a Graphyne wafer may be turned over to face-to-face engagement with the barrier-regulating circuit wafer

상기, 두 웨이퍼들의 대응하는 소스와 드레인 메탈 컨택들 사이에는 구리 대 구리 결합으로 결합되어 있다. 일반적인 결합 온도는 400°C 이하이다. 그러므로, 상기 디바이스들은 상기 프로세스 동안에 파괴되지 않는다. 발명의 한 실시형태에서, 구리 대 구리 결합 대신에 400°C 근처로 결합되는 전도성 물질이 사용될 수 있다. And is coupled in a copper to copper bond between the corresponding source and drain metal contacts of the two wafers. Typical bonding temperatures are below 400 ° C. Therefore, the devices are not destroyed during the process. In one embodiment of the invention, a conductive material that is bonded at about 400 [deg.] C instead of a copper to copper bond may be used.

3D 통합은 본 발명에서 제시하는 그래파인(Graphyne) 굽힘 회로를 위한 패키징과 집적회로 기술의 갭을 충족하기 위한 매우 유망한 기술이다. CMOS 디바이스 층들을 쌓는 기술은 알려져 있다. 3D 통합기술 은 스케일링 없이도 시스템의 성능을 향상시킬 수 있는 새로운 방법이 될 수 있다. 또한, 그래파인(Graphyne)내에서 이동성이 매우 높은 캐리어들과 함께, 인터커넥트들의 기생저항과 기생용량이 전체 회로의 성능을 결정하는데 더욱 중요하게 될 것이다. 이런 관점에서, 3D 통합은 본 발명에서 제시하는 그래파인(Graphyne) 굽힘 회로들에 큰 장점을 제공한다. 그러한 장점들로는 (a) 전체 배선길이의 감소 및 그로 인한 인터커넥트 지연시간의 감소, (b) 칩들간 인터커넥트들의 현저한 증가 그리고 (c) 이질적인 (dissimilar) 재료들, 프로세스 기술들 및 기능들의 통합 능력 등을 포함한다. 3D integration is a very promising technology for meeting the gap in packaging and integrated circuit technology for the Graphyne bend circuit presented in the present invention. Techniques for stacking CMOS device layers are known. 3D integration technology can be a new way to improve system performance without scaling. In addition, with carriers that are highly mobile in Graphyne, the parasitic resistance and parasitic capacitance of the interconnects will become more important in determining the performance of the overall circuit. In this regard, 3D integration provides a great advantage to the graphyne bending circuits presented in the present invention. Such advantages include (a) a reduction in overall interconnect length and hence a reduction in interconnect delay time, (b) a significant increase in interconnects between chips, and (c) the ability to integrate dissimilar materials, process technologies and functions .

따라서, 그래파인(Graphyne) 굽힘 회로들을 생산하기 위한 본 발명 기술의 장점들에는 다음과 같다: 1) 전술한 방법들을 포함하여, 광범위하게 다양한 방법들에 의해서 그래파인(Graphyne)이 구비될 수 있다. 2) 탄소재료들로부터의 잠재적 오염 없이 표준 클린룸 (standard clean-room) 시설에서 장벽조정회로가 포함된 복합회로(예를들어, 장벽조정회로(CMOS 회로))가 사전 제조될 수 있다. 3) 페이스 투 페이스(웨이퍼 결합 프로세스)에서의 정렬 (alignment) 은 그래파인(Graphyne)과 대기전력문제를 하나 이상의 Fermi Level(페르미레벨)의 높이조절, 쇼키 장벽(Schottky Barrier)의 높이조절, 중 하나 이상 선택되는 것들이 하나 이상 구비되는 그래파인(Graphyne) 굽힘 회로에 있어서, 항상 회로의 바람직한 위치에 결합되게 해 준다. 4) 프로세스 중의 온도, 습식 식각, 가스 분위기 (gas ambient) 와 같이 기존 CMOS 디바이스(예를들어, 장벽조정회로 웨이퍼)에서 요구되는 것들이 여전히 유지될 수 있는데 그 이유는 그래파인(Graphyne)과 대기전력문제를 하나 이상의 Fermi Level(페르미레벨)의 높이조절, 쇼키 장벽(Schottky Barrier)의 높이조절, 중 하나 이상 선택되는 것들이 하나 이상 구비되는 그래파인(Graphyne) 굽힘 회로가 다른 기판에서 별도로 제조되기 때문이다. 5) 그래파인(Graphyne) 굽힘 회로들의 경우 인터커넥트들에 의해 지배되는, 회로 지연시간이 상당히 감소된다. Thus, the advantages of the present technology for producing graphyne bending circuits are as follows: 1) Graphyne can be provided by a wide variety of methods, including the methods described above . 2) A composite circuit (eg, a barrier regulating circuit (CMOS circuit)) with a barrier regulating circuit in a standard clean-room facility can be pre-fabricated without potential contamination from carbon materials. 3) Alignment in the face-to-face (wafer bonding process) can reduce the graphyne and standby power problems by adjusting the height of one or more Fermi Levels, adjusting the height of Schottky Barriers, In a Graphyne bending circuit that has more than one selected, it is always coupled to the desired position of the circuit. 4) The requirements of conventional CMOS devices (eg barrier control circuit wafers), such as temperature, wet etch, and gas ambient in the process, can still be maintained because the graphyne and standby power The problem is that the Graphyne bending circuit, which is provided with at least one of more than one of the height adjustment of one or more Fermi Levels, the height adjustment of Schottky Barriers, is manufactured separately on another substrate . 5) In the case of Graphyne bend circuits, the circuit latency, which is dominated by interconnects, is significantly reduced.

더하여, 상기 그래파인(Graphyne) 웨이퍼와 (구리 대 구리(copper-to-copper)) 결합된 장벽조정회로 웨이퍼는 일정이상 제거한 후, 구조상에 추가적인 디바이스, 메탈층, 중 하나 이상 선택되는 것이 제조될수 있다. 또는 장벽조정회로 웨이퍼와 (구리 대 구리(copper-to-copper))결합된 그래파인(Graphyne) 웨이퍼에서도 추가적인 디바이스, 메탈층, 중 하나 이상 선택되는 것이 제조될 수 있다. In addition, after removing more than a certain amount of the barrier-coordination circuit wafer from the Graphyne wafer and (copper-to-copper) bonding, one or more of the additional devices, metal layers, have. Or one or more of additional devices, a metal layer, may also be fabricated in a barrier regulating circuit wafer and a copper-to-copper bonded Graphyne wafer.

본 발명의 한 실시형태에서, 일면에서 제시하는 페이스 투 페이스(face to face) 결합방식에 있어서, 두 웨이퍼들의 대응하는 소스와 드레인 메탈 컨택들 사이를 제외한 나머지 부분(예를들어, CMP 공정을 거친 절연층)중 선택되는 부분에는 접착층, 접착제, 접착전구체, 반데르발스 힘, 중 하나 이상 선택되는 것이 구비될 수 있다. 발명의 한 실시형태에서, 반데르발스 힘 대신에 표면장력, 계면장력, 중 선택되는 힘이 대체되어 구비될 수 있다. 발명의 한 실시형태에서, 상기 접착층은 접착제, 진동접착, 열접착, 반도체 공정의 분위기 안에서 접착을 할 수 있는 일련의 공정으로 제시되는 접착, 중 선택되는 것을 의미한다. In one embodiment of the present invention, in a face to face bonding scheme as presented in one aspect, the remaining portions of the two wafers, except between the corresponding source and drain metal contacts (e.g., via a CMP process Insulating layer) may be selected from an adhesive layer, an adhesive, an adhesion precursor, a van der Waals force, or the like. In one embodiment of the invention, surface tension, interfacial tension, instead of Van der Waals force, may be substituted for selected forces. In one embodiment of the invention, the adhesive layer is selected from adhesives, vibrational adhesives, thermal adhesives, adhesives presented in a series of processes capable of bonding in an atmosphere of a semiconductor process.

본 발명의 한 실시형태에서, 상기 소스와 드레인 메탈 컨택은 구리가 한쪽 웨이퍼에 모두 구비되거나, 양쪽 웨이퍼에 나눠서 구비되어 컨택되는 구조를 구비할 수 있다. In one embodiment of the present invention, the source and drain metal contacts may have a structure in which copper is provided on one of the wafers or on both wafers.

본 발명의 한 실시형태에서, 본 발명에서 제시하는 그래파인(Graphyne)을 구비하는 방법에 있어서, (a) 단층 그래파인(Graphyne) 또는 다층 그래파인(Graphyne)층 상에 자기-조립 단분자막(SAM)을 부착하는 단계; (b) 상기 자기-조립 단분자막(SAM)을 마스크로 이용하여 상기 단층 그래파인(Graphyne) 또는 다층 그래파인(Graphyne)층을 식각하는 단계; (d). 자기-조립 단분자막(SAM)을 제거(예를들어, 용매로 세척), 로 구성되는 상기 (a) 내지 (d) 의 방법을 본 발명의 제조방법에 부분적으로 포함할 수 있다. In one embodiment of the present invention, there is provided a method of providing Graphyne as provided in the present invention, comprising the steps of: (a) forming a self-assembled monolayer (SAM) on a monolayer Graphyne or multilayer Graphyne layer, ); (b) etching the single-layer Graphyne or multi-layer Graphyne layer using the self-assembled monolayer SAM as a mask; (d). (A) to (d), which consists of removing the self-assembled monolayer (SAM) (for example, washing with a solvent), may partially include the method of the present invention.

본 발명의 한 실시형태에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터에 있어서, 그래파인(Graphyne)의 굴곡지는 특성을 이용하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를 갖는 입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 그래파인(Graphyne)의 하단부에 하나 이상 구비하여 교차되는 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것의 끝점(변형되는 가장 높은 위치에 있는 형태, 예를들어 그래파인(Graphyne)의 변형형태인 언덕(hill)의 가장 꼭지점)은 양자점(Quantum dot)으로서 이해되어 활용 될 수 있다. 본 발명의 한 실시형태에서, 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것의 끝점(변형되는 가장 높은 위치에 있는 형태, 예를들어 그래파인(Graphyne)의 변형형태인 언덕(hill)의 가장 꼭지점)은 그래파인(Graphyne) 상부에 (1). 초박막, (2). 증착막, (3). 초박막이나 증착막이 구비된 후 패터닝된 초박막이나 증착막의 양자점, (4). 패터닝된 그래파인(Graphyne)의 양자점, 중 선택되는 것이 구비된 후(본 발명에서 제시하는 제조방법으로), 상기 초박막, 증착막, 초박막이나 증착막이 구비된 후 패터닝된 초박막이나 증착막의 양자점, 패터닝된 그래파인(Graphyne)의 양자점, 중 선택되는 것이 그래파인(Graphyne)의 상부에 같이 구비되어 있는 상태에서의 변형으로 구비되는 가장 높은 꼭지점인 양자점(Quantum dot)으로서도 이해되어 활용 될 수 있다. 본 발명의 한 실시형태에서, 그래파인(Graphyne)의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것의 변형의 가장 상위부분의 끝부분이 양자점(Quantum dot)으로 이해되어 지는 것은 패터닝된 그래파인(Graphyne), 패터닝된 그래파인(Graphyne)의 양자점, 중 선택되는 것의 변형으로 구비되는 가장 높은 꼭지점인 양자점(Quantum dot)으로서도 이해되어 활용 될 수 있다. In one embodiment of the present invention, for a transistor having at least one of bending deformation, position shifting of Graphyne selected and at least one selected to control at least one work function, Using at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle using at least one of a Piezo material, a Curie point, At least one of Piezo, Magnetic, Charged, or Charged particles is selected due to the electrostatic level of the tuning circuit, and one or more of the graphynes are bent or deformed at one or more positions (The shape at the highest position to be deformed, for example the shape of the hill, which is a variant of Graphyne) Point) it can be utilized is understood as quantum dots (Quantum dot). In one embodiment of the present invention, Graphyne is used as the end point of at least one of more than one bending deformation, position shifting (in the form of the highest position to be deformed, for example a variant of Graphyne (The highest point of the hill) is at the top of Graphyne (1). Ultra thin, (2). Vapor deposition, (3). Quantum dots of ultra thin film or deposited film after ultra thin film or vapor deposition, (4). A quantum dot of an ultra thin film or an ultra thin film or a deposited film after an ultra thin film or a vapor deposition film is provided, and a patterned quantum dot of a patterned quantum dot (e.g., The quantum dot of Graphine may be understood and utilized as a quantum dot which is the highest vertex provided in a state of being provided in the upper part of Graphyne. In one embodiment of the present invention, the end portion of the uppermost portion of the strain of at least one of the bending deformation, position shifting, and the like of the graphyne is understood as a quantum dot is a patterned graphene (Quantum dot), which is the highest vertex of a selected one of the quantum dots of the patterned graphene and the quantum dot of the patterned graphene.

본 발명의 한 실시형태에서, 본 발명의 트랜지스터는 그래파인(Graphyne) 위에 그래파인(Graphyne)의 양자점(Quantum dot)을 구비하기 위하여, <1>. 그래파인(Graphyne)의 상부에 그래파인(Graphyne)을 전사한 후 패터닝하거나, 패터닝된 그래파인(Graphyne)을 전사하여 그래파인(Graphyne)의 양자점(Quantum dot)을 구비, 또는 <2>. (1). 그래파인(Graphyne) 구비, (2). 패터닝, (4). 그래파인(Graphyne)의 양자점(Quantum dot)을 구비, 로 구성되는 상기 <1> 내지 <2>의 제조방법을 구비하여 그래파인(Graphyne)의 양자점(Quantum dot)을 구비할 수 있다. 그 이후, 발명의 한 실시형태에서, 페이스 투 페이스 결합방식을 사용하여(다른 형태의 제조방법이 사용될 수 있음), 그래파인(Graphyne)의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 그 변형의 가장 상위부분의 끝부분이 양자점(Quantum dot)으로 구비되는 트랜지스터를 구비한다. In one embodiment of the present invention, the transistor of the present invention is provided with a quantum dot of Graphyne on the Graphyne. A pattern is formed by transferring Graphyne to the top of Graphyne and then transferred to patterned Graphyne to obtain a Graphyne quantum dot. (One). Equipped with Graphyne, (2). Patterning, (4). A quantum dot of Graphyne may be provided with the method of <1> to <2>, which includes a quantum dot of Graphyne. Thereafter, in one embodiment of the invention, using at least one of face-to-face bonding schemes (other types of fabrication methods can be used), bending deformation of Graphyne, And a transistor in which the end portion of the uppermost part of the deformation is provided as a quantum dot.

본 발명의 한 실시형태에서, 그래파인(Graphyne)의 상부에 패터닝된 그래파인(Graphyne), 패터닝된 그래파인(Graphyne)의 양자점, 중 선택되는 것을 구비하는 것은 본 발명에서 기본적으로 제시하는 그래파인(Graphyne)의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것에서의 상기 그래파인(Graphyne)에 포함되는 의미로 해석될 수 있다. In one embodiment of the present invention, having one selected from the group consisting of Graphyne patterned on the top of Graphyne, and Graphyne patterned on the top of the Graphyne, (Graphyne) in that it has at least one of bending deformation, position shifting of bending moment, bending deformation of bending moment, bending deformation of bending moment, bending deformation of bending moment,

본 발명의 한 실시형태에서, 단일전자트랜지스터는 소비전력을 매우 낮출 수 있으므로 베터리의 사용시간을 현저히 늘릴 수 있으며, 그러함으로 인하여 베터리의 크기도 현저히 줄일 수 있다. In one embodiment of the present invention, the single electron transistor can significantly reduce power consumption, so that the use time of the battery can be significantly increased, and the size of the battery can be significantly reduced.

본 발명의 한 실시형태에서, 본 발명의 그래파인(Graphyne) 회로구성은 3차원적인 회로구성이 평면에서 이루어지는 2차원적인 회로로도 의미될 수 있다.(예를들어, 3차원적인 구성이 평면에 2차원적인 층으로 이루어지는 구조-눕혀서 본다고 생각하면 이해가 쉽다) In one embodiment of the present invention, the graphyne circuit configuration of the present invention may also be referred to as a two-dimensional circuit in which the three-dimensional circuit configuration takes place in a plane (for example, a three- A two-dimensional layer structure - it is easy to understand if you think you are lying down)

본 발명의 한 실시형태에서, 일면에서 설명하는 상기 절연층은 그래파인(Graphyne) 상부에 AIR갭, 진공갭, 접착층, 중 선택되는 것을 하나 이상 포함하는 것으로 의미된다.In one embodiment of the present invention, the insulating layer described in one aspect is meant to include at least one selected from the group consisting of an AIR gap, a vacuum gap, and an adhesive layer on top of Graphyne.

본 발명의 한 실시형태에서, 일면에서 설명하는 상기 절연층은 그래파인(Graphyne)의 대기전력문제를 해결하는 하나 이상의 Fermi Level(페르미레벨)의 높이조절, 쇼키 장벽(Schottky Barrier)의 높이조절, 중 하나 이상 선택되는 것을 구비하는 회로구성을 하나 이상 포함하는 것으로 의미된다.In one embodiment of the present invention, the insulating layer described in one aspect includes one or more of a height adjustment of the Fermi Level to solve the graphical standby power problem, a height adjustment of the Schottky barrier, Quot; a &quot;, &quot; a &quot;, and &quot; a &quot;

본 발명의 한 실시형태에서, 일면에서 설명하는 상기 절연층은 그래파인(Graphyne)의 굽힘, 위치이동, 중 하나 이상 선택되는 것을 영률로서 조절할 수 있는 층을 통합적으로 의미할 수 있다.In one embodiment of the present invention, the insulating layer described in one aspect may integrally mean a layer capable of controlling as Young's modulus that at least one of bending and locating of Graphyne is selected.

본 발명의 한 실시형태에서, 일면에서 설명하는 상기 절연층은 접착층, 엘라스토머층, 부도체층, 절연층, 중 선택되는 것을 의미할 수 있다.In one embodiment of the present invention, the insulating layer described in one aspect may mean that the insulating layer is selected from an adhesive layer, an elastomer layer, an insulating layer, and an insulating layer.

본 발명의 한 실시형태에서, 일면에서 설명하는 상기 절연층은 접착층, 엘라스토머층, 부도체층, 절연층, 중 선택되는 것을 의미할 수 있되, 그래파인(Graphyne)의 굽힘, 위치이동, 중 하나 이상 선택되는 것을 영률로서 조절할 수 있는 층을 통합적으로 의미할 수 있다.In one embodiment of the present invention, the insulating layer described in one aspect may be selected from among an adhesive layer, an elastomer layer, a nonconductor layer, and an insulating layer, wherein at least one of bending and locating of Graphyne It is possible to integrally mean a layer capable of controlling the selection as Young's modulus.

본 발명의 한 실시형태에서, 일면에서 설명하는 절연층은 In one embodiment of the present invention, the insulating layer described in one aspect

a. 접착층, 엘라스토머층, 부도체층, 절연층, 중 선택되는 것 a. An adhesive layer, an elastomer layer, an insulating layer, and an insulating layer

b. 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 영률로서 조절할 수 있는 층 b. One or more bending deformations of graphine, locational movement, or the like, which can be controlled by Young's modulus,

c. AIR층, 진공층, 접착층, 절연층 중 선택되는 것을 포함하고 있는 절연층 c. An insulating layer including a material selected from an air layer, a vacuum layer, an adhesive layer, and an insulating layer

d. 박막층을 포함하고, 박막층 상부에 AIR층, 진공층, 중 선택되는 것을 더 포함하고 있는 절연층 d. An insulating layer comprising a thin film layer and further comprising an upper layer selected from an air layer, a vacuum layer,

,로 구성되는 상기 a 내지 d 중 하나 이상 선택되는 층을 의미하는 단계; 를 구비하는 것을 특징으로 한다., &Lt; / RTI &gt; and &lt; RTI ID = 0.0 &gt; a &lt; / RTI &gt; To .

본 발명의 한 실시형태에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를 갖는 입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 구비하는 방법에는 본 발명의 명세서에서 제시하는 방법을 하나 이상 조합하여(예를들어, 인쇄와 부유) 구비할 수 있다.In one embodiment of the present invention, a method comprising one or more of Piezo (piezoe) material, magnetic particle, charged particle, or charged particle, (For example, printing and floating) can be provided.

본 발명의 한 실시예에서, 장벽조정회로의 위치는 그래파인(Graphyne)의 상부에 있는 것을 원칙적으로 표한하였지만, 그래파인(Graphyne)의 하부에도 구비될 수 있으며, 그래파인(Graphyne)의 하부에 구비되어, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 상부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있다. In one embodiment of the present invention, the position of the barrier regulating circuit is in principle shown at the top of the Graphyne, but it can also be provided at the bottom of the Graphyne and is located at the bottom of the Graphyne And at least one graphyne having at least one selected from the group consisting of at least one Piezo material, magnetic particles, charged particles, or charged particles is subjected to at least one bending deformation, , &Lt; / RTI &gt;

본 발명의 한 실시예에서, 장벽조정회로의 위치는 그래파인(Graphyne)의 상부 또는 하부 또는 측면, 중 선택되는 장소에 위치할 수 있으며, 그래파인(Graphyne) 굽힘 회로와 이루는 각도는 수평상태에서 0도 내지 90의 각도, 또는 수직상태에서 기울기를 갖으며 구비하는 각도, 등을 구비할 수 있다. 중요한 요점은 장벽조정회로의 정전기적 준위로 인하여 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것이다.In one embodiment of the present invention, the position of the barrier regulating circuit may be located at a location selected from the top, bottom or side of the Graphyne, and the angle with the Graphyne bending circuit may be in a horizontal state An angle of 0 to 90 degrees, an angle of inclination in a vertical state, and the like. An important point is that at least one of Piezo, a magnetic particle, a charged particle, or a charged particle selected from one or more of bending strain, Or more.

본 발명의 한 실시예에서, 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 하부에 구비되는 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는데 있어서, 도면에서 보았을때 하부라 표현하였지만 본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)의 상부에 하나 이상 구비되어 그래파인(Graphyne)이 하부로 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있다. 또한 본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)의 측면에 하나 이상 구비되어 그래파인(Graphyne)이 반대되는 측면으로 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있다. 또한 본 발명의 한 실시형태에서, 하나 이상의 그래파인(Graphyne)의 측면 및 하부에 하나 이상 구비되어 그래파인(Graphyne)이 측면 및 하부로 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있다. 따라서, 본 발명에서 본 발명의 한 실시예적으로 (하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 하부(또는 하단부)에서, 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것으로 표현하는 것은 1). 그래파인(Graphyne)의 상부, 하부, 측면, 중 선택되는 위치를 의미하는 것, 2). 장벽조정회로가 그래파인(Graphyne)의 상부, 하부, 측면, 중 선택되는 위치로 구비되는 것, 3). 장벽조정회로가 그래파인(Graphyne)의 Fermi Lever의 높이를 같이 조절할 수 있는 위치이며, 더하여 (하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 그래파인(Graphyne)을 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있는 것, 4). (하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 그래파인(Graphyne)을 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있는 것, 5). (하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 그래파인(Graphyne)의 상부, 하부, 측면, 중 선택되는 위치로 구비되는 것, 6). 장벽조정회로가 그래파인(Graphyne)과 (하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)의 상부, 하부, 측면, 중 선택되는 위치에 구비되는 것, 7). 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있는 위치에 장벽조정회로가 구비되는 것, 8). 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는데 있어서, 굽힘변형되되, 굽힘변형되는 외각부의 반사적 굽힘변형부나, 외각부의 반사적 위치이동부를 구비할 수 있는 위치에 구비되는 것, 9). 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있는 위치에 장벽조정회로가 구비되되, 굽힘변형되는 외각부의 반사적 굽힘변형부나, 외각부의 반사적 위치이동부를 구비하는 구성인 것, 10). (하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것)이 그래파인(Graphyne)을 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는데 있어서, 굽힘변형되되, 굽힘변형되는 외각부의 반사적 굽힘변형부나, 외각부의 반사적 위치이동부를 구비할 수 있는 위치에 구비되는 것, 로 구성되는 상기 1) 내지 10), 중 하나 이상 선택되는 것 또는 상기 1) 내지 10), 중 하나 이상 선택되는 것의 구성상황을 포함할 수 있는 의미로 해석될 수 있으며, 중요한 요점은 그래파인(Graphyne)이 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것이다. In one embodiment of the present invention, at least one graphyne having at least one selected from among at least one of a Piezo material, a magnetic particle, a charged particle, or a charged particle, Bending deformation, position shifting, etc. In the embodiment of the present invention, although one or more of the above is selected as the lower portion in the drawing, in one embodiment of the present invention, one or more grains are provided on the top of the graphyne, ) May be one or more selected from at least one of bending deformation, position shifting, and the like. Also, in one embodiment of the present invention, one or more of the at least one side of Graphyne may be provided with at least one of bending deformation, have. Also, in one embodiment of the present invention, at least one of side and bottom of at least one graphyne is provided and at least one of graphyne is selected as side and lower bending deformation, can do. Thus, in one embodiment of the present invention in the present invention, at the bottom (or bottom), one or more of Piezo (piezo) material, magnetic particles, charged particles or charged particles, (1) to express at least one graphyne having at least one selected from at least one of bending deformation, position shifting, and the like. It refers to the position selected from the top, bottom, and side of Graphine, 2). That the barrier regulating circuit is provided at the selected position of the top, bottom or side of the graphyne; The barrier adjustment circuit is a position where the height of the Fermi Lever of Graphyne can be adjusted in the same way. In addition, one or more of Piezo (Piezo) material, magnetic particle, particle with charge or charged particle Which can be selected from one or more of Graphyne bending deformation, position shifting, 4). (One or more of Piezo (piezoe) material, magnetic particles, charged particles, or charged particles) is selected from at least one of Graphine's bending deformation, What you can do, 5). (One or more of Piezo (piezoe) material, magnetic particles, charged particles, or charged particles) is provided at a selected location among the top, bottom, and sides of Graphyne Things, 6). The barrier regulating circuit is selected from the top, bottom, side of Graphyne and one or more of (Piezo) material, magnetic particles, charged particles or charged particles) Provided in the position, 7). Wherein at least one of magnetic particles, particles having electric charge, particles having electric charge, or particles having electric charge is selected is provided with a barrier adjusting circuit at a position capable of having at least one of bending deformation and position shifting selected Things, 8). Wherein one or more of magnetic grains, particles having electric charge, particles having electric charge, or particles having electric charge are selected is selected from at least one of graphyne, bending deformation, and position shifting, wherein bending deformation, Being provided at a position capable of having a reflective bending deformation part or a reflective position shifting part of the outer part 9). Wherein a barrier regulating circuit is provided at a position capable of having at least one selected from among magnetic particles, particles having electric charge, particles having electric charge or particles having electric charge selected from among at least one of graphine, bending deformation, , A bending deformation section of the outer periphery which is bent and deformed, and a reflex position shifting section of the outer periphery. (One or more of Piezo (piezoe) material, magnetic particles, charged particles, or charged particles) is selected from at least one of Graphine's bending deformation, (1) to (10), wherein the bending deformation is provided at a position that can be provided with a bending deformation, a bending deformation of the outer bending deformation portion, or a reflection position shifting portion of the outer portion, May be construed to include the constitutional state of one or more of the above 1) to 10), and the important point is that the graphyne is selected from one or more of bending deformation, Respectively.

본 발명에서 본 발명의 한 실시예적으로 (하나 이상의 Piezo(피에조) 물질)이 하부(또는 하단부)에서, 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것으로 표현하는 것은 1). 그래파인(Graphyne)의 상부, 하부, 측면, 중 선택되는 위치를 의미하는 것, 2). 장벽조정회로가 그래파인(Graphyne)의 상부, 하부, 측면, 중 선택되는 위치로 구비되는 것, 3). (하나 이상의 Piezo(피에조) 물질)이 그래파인(Graphyne)을 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있는 것, 4). (하나 이상의 Piezo(피에조) 물질)이 그래파인(Graphyne)의 상부, 하부, 측면, 중 선택되는 위치로 구비되는 것, 5). 장벽조정회로가 그래파인(Graphyne)과 (하나 이상의 Piezo(피에조) 물질)의 상부, 하부, 측면, 중 선택되는 위치에 구비되는 것, 6). 하나 이상의 Piezo(피에조) 물질이 그래파인(Graphyne)을 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비할 수 있는 위치에 장벽조정회로가 구비되는 것, 로 구성되는 상기 1) 내지 6), 중 하나 이상 선택되는 것 또는 상기 1) 내지 6), 중 하나 이상 선택되는 것의 구성상황을 포함할 수 있는 의미로 해석될 수 있으며, 중요한 요점은 그래파인(Graphyne)이 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하는 것이다. In one embodiment of the present invention (at least one Piezo material), at least one or more bending deformation, position shifting, or more than one graphine is selected at the bottom (or bottom) 1). It refers to the position selected from the top, bottom, and side of Graphine, 2). That the barrier regulating circuit is provided at the selected position of the top, bottom or side of the graphyne; (One or more Piezo) materials may have one or more of Graphyne selected for flexural deformation, displacement, and 4). (One or more Piezo material) is provided at a selected location in the top, bottom, side of Graphyne; 5). The barrier regulating circuit being provided at a selected location on the top, bottom or side of Graphyne and (one or more Piezo) materials; (1) to (6), wherein at least one Piezo material is provided with a barrier regulating circuit at a position capable of having at least one of bending deformation, Or one or more of the above items 1) to 6), and the important point is that the graphyne has at least one bending deformation, , &Lt; / RTI &gt;

본 발명의 한 실시형태에서, 본 발명에서 제시되는 교차회로(또는 교차되는 장벽조정회로)가 구비되는 것이 주어질 때마다 기본적으로 교차회로(또는 교차되는 장벽조정회로) 하부에 (a). 절연층, 또는 (b). 진공층, 에어층 중 선택되는 것과 절연층이 구비된 것, 로 구성되는 상기 (a) 내지 (b) 중 선택되는 것;을 의미할 수 있으며, 더하여 교차회로에 CMOS 회로를 구비하는 공정이 선택적으로 사용될 수 있으나, 발명의 요지가 너무 복잡해져서 흐려질 것을 염려하여 기재하지는 않았다. 본 발명의 한 실시형태에서, 본 발명에서 제시되는 교차회로(또는 교차되는 장벽조정회로)는 그래파인(Graphyne)층 하부에 구비될 수 있으며, 예를들어, 1). 절연층/그래파인(Graphyne)/자성입자/절연층(또는 기판층)/장벽조정회로, 2). 절연층/진공층/그래파인(Graphyne)/자성입자/절연층(또는 기판층)/장벽조정회로, 3). 절연층/그래파인(Graphyne)/전하를갖는입자/절연층(또는 기판층)/장벽조정회로, 4). 절연층/진공층/그래파인(Graphyne)/전하를갖는입자/절연층(또는 기판층)/장벽조정회로의 순서를 구비할 수 있다. In one embodiment of the present invention, each time (a) an intersecting circuit (or an intersecting barrier regulating circuit) is provided that is provided in accordance with the present invention, An insulating layer, or (b). (A) to (b) consisting of a vacuum layer, an air layer, and an insulating layer. In addition, the step of providing a CMOS circuit in the cross circuit is optional But it has not been described with concern that the gist of the invention becomes too complicated and blurry. In one embodiment of the present invention, the crossover circuit (or crossed barrier regulating circuit) presented in the present invention may be provided below the Graphyne layer, for example, 1). Insulating layer / Graphyne / magnetic particle / insulating layer (or substrate layer) / barrier regulating circuit, 2). Insulating layer / vacuum layer / graphyne / magnetic particle / insulating layer (or substrate layer) / barrier regulating circuit, 3). Insulating layer / Graphyne / particles with charge / insulating layer (or substrate layer) / barrier regulating circuit, 4). An insulating layer / a vacuum layer / a graphyne / a particle having a charge / an insulating layer (or a substrate layer) / a barrier adjusting circuit.

본 발명의 한 실시형태에서, 본 발명에서 제시하는 각각의 제조공정에는 공정 시작전에 화학적 기계적 연마 (chemical mechanical polishing(CMP) 제조공정이 이 선택적으로 추가될 수 있다(두께 및 평탄도를 바람직한 수준으로 조절하기 위하여). In one embodiment of the present invention, each of the manufacturing processes proposed in the present invention may be selectively added to the chemical mechanical polishing (CMP) manufacturing process before the start of the process (the thickness and the flatness are preferably set at a desired level To adjust).

본 발명의 한 실시형태에서, 본 발명의 트랜지스터는 그래파인(Graphyne)과 그래파인(Graphyne)의 상부층의 장벽조정회로를 분리하여 제조하는 단계와 그 다음 페이스 투 페이스(웨이퍼 결합 프로세스)들을 구비하여 하나 이상의 Fermi Level(페르미레벨)의 높이조절, 쇼키 장벽(Schottky Barrier)의 높이조절, 중 하나 이상 선택되는 것의 그래파인(Graphyne) 굽힘 회로와 장벽조정회로를 통합하는 단계를 포함하는 3D 통합방법을 사용한다. 상기 3D 통합방법은 그래파인(Graphyne)과 그래파인(Graphyne)의 상부층의 장벽조정회로를 분리하여 제조한 다음 나중에 그 둘을 페이스 투 페이스(웨이퍼 결합 프로세스)에서 통합하는 공정이다. 본 발명의 한 실시형태에서, (1). 하나 이상의 Fermi Level(페르미레벨)의 높이조절, 쇼키 장벽(Schottky Barrier)의 높이조절, 중 하나 이상 선택되는 것의 그래파인(Graphyne) 굽힘 회로와 장벽조정회로를 구비하고 (2). CMOS 웨이퍼를 분리하여 제조한 다음 나중에 그 둘을<(1)과(2)를> 페이스 투 페이스(웨이퍼 결합 프로세스)에서 통합하는 제조방법을 구비할 수 있음은 물론이다. 또는, 본 발명의 한 실시형태에서, (1). 하나 이상의 Fermi Level(페르미레벨)의 높이조절, 쇼키 장벽(Schottky Barrier)의 높이조절, 중 하나 이상 선택되는 것의 그래파인(Graphyne) 굽힘 회로를 구비하고, (2). CMOS 웨이퍼를 분리하여 제조한 다음 나중에 그 둘을 <(1)과(2)를> 페이스 투 페이스(웨이퍼 결합 프로세스)에서 통합하는 제조방법 이후, (3). 상기 페이스 투 페이스 결합으로 뒤집어서 결합 후, 그래파인(Graphyne) 회로가 구비되어 있는 기판(1)에 장벽조정회로를 형성할 수 있다. 본 발명의 한 실시형태에서, 페이스 투 페이스 결합방법은 여러 단계들을 추가 포함할 수 있으나, 기본적으로 그래파인(Graphyne) 굽힘 회로 웨이퍼를 구비, CMOS 웨이퍼를 구비, 그래파인(Graphyne) 굽힘 회로 웨이퍼와 CMOS 웨이퍼를 페이스 투 페이스 결합하는 단계를 수행하는 것이다. 상기 단계에서 장벽조정회로는 1) 그래파인(Graphyne) 굽힘 회로 웨이퍼에 구비, 2) CMOS 웨이퍼에 구비, 3) 페이스 투 페이스 결합이후, 그래파인(Graphyne) 굽힘 회로 웨이퍼 또는 CMOS 웨이퍼에 구비, 로 구성되는 1) 내지 3) 중 선택되는 위치에 구비된다. In one embodiment of the present invention, the transistor of the present invention is fabricated by separately fabricating the barrier regulating circuitry of the upper layers of Graphyne and Graphyne, followed by a face-to-face (wafer bonding process) A 3D integration method that includes integrating a graphyne bend circuit and a barrier adjustment circuit of one or more of at least one of height adjustment of the Fermi level, height adjustment of the Schottky barrier, use. The 3D integration method is a process of separating the barrier adjustment circuit of the upper layer of Graphyne and Graphyne and then integrating them in a face-to-face (wafer bonding process). In one embodiment of the present invention, (1). (2) a graphyne bending circuit and a barrier adjustment circuit of one or more selected from among one or more of height adjustment of one or more Fermi Levels, height adjustment of Schottky Barriers, and the like. It is of course possible to have a manufacturing method of manufacturing a CMOS wafer by separating it and then integrating the two in a face-to-face (wafer bonding process) of (1) and (2). Alternatively, in an embodiment of the present invention, (1). (2) a graphyne bending circuit of at least one selected from the height adjustment of one or more Fermi Levels, the height adjustment of Schottky Barriers, and the like. After the fabrication method of separating the CMOS wafer and then later integrating the two in (1) and (2) in a face-to-face (wafer bonding process), (3). After the face-to-face coupling is inverted and combined, a barrier adjustment circuit can be formed on the substrate 1 provided with a Graphyne circuit. In one embodiment of the present invention, the face-to-face bonding method may additionally include several steps, but it is possible to use a graphyne bend circuit wafer, which is basically equipped with a Graphyne bend circuit wafer, Face-to-face coupling of the CMOS wafer. In the above step, the barrier regulating circuit is provided in 1) a Graphyne bending circuit wafer, 2) in a CMOS wafer, 3) in a Graphyne bend circuit wafer or CMOS wafer after the face to face bonding, (1) to (3).

본 발명의 한 실시형태에서, 제 1 웨이퍼 및 제 2 웨이퍼는, 상기 제 1 웨이퍼를 상기 제 2 웨이퍼와 페이스 투 페이스 결합을 수행하되, 측면 대 측면으로 결합하는 방법을 구비할 수 있다.In an embodiment of the present invention, the first wafer and the second wafer may comprise a method of performing face-to-face bonding of the first wafer with the second wafer, but side-to-side bonding.

본 발명의 한 실시형태에서, 본 발명의 트랜지스터는 그래파인(Graphyne) 기반의 회로와 장벽조정회로(CMOS 회로)를 모두 갖는 반도체 디바이스를 의미할 수 있다. In one embodiment of the present invention, the transistor of the present invention may refer to a semiconductor device having both a Graphyne-based circuit and a barrier adjustment circuit (CMOS circuit).

본 발명의 한 실시형태에서, 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터는 "(◆트랜지스터에서의 Fermi level(페르미레벨)◆)"을 하나 이상 구비한다. 상기 (◆트랜지스터에서의 Fermi level(페르미레벨)◆)은 아래와 같이 설명된다. In one embodiment of the present invention, a transistor that modulates one or more of the Work function with at least one selected from among at least one bending strain, position shift, or the like of Graphyne is referred to as "(Fermi level (Fermi level) ") &quot;. The above (Fermi level at the transistor) is described as follows.

(001-001). Fermi level(페르미레벨) (001-001). Fermi level

(001-001-01). 페르미 레벨은 전자 (또는 전자에 대한 전기 화학 전위)의 총 화학 포텐셜이며 일반적으로 μ 또는 EF로 표시됩니다.(001-001-01). The Fermi level is the total chemical potential of the electron (or the electrochemical potential for electrons), usually expressed as μ or EF.

(001-001-02). 신체의 페르미 레벨은 열역학적 양이며, 그 의미는 (그것이 나온 곳에서 전자를 제거하는 데 필요한 작업을 계산하지 않음) 본체에 전자를 하나 추가 할 필요 열역학적 일입니다.(001-001-02). The body's Fermi level is a thermodynamic quantity, meaning it does not have to calculate the work required to remove the electrons from where it came from, and it is thermodynamic that needs to add an electron to the body.

(001-001-03). 페르미 레벨 방법에 대한 정확한 이해는 다음과 같이 설명됩니다, 전자 밴드 구조는 전압과 관련이 있습니다. 더하여 전자 성질을 결정하는 전하의 흐름은 고체 물리학의 이해에 필수적이며, 회로 전자에서 페르미 레벨은 열역학적 평형이, 에너지 레벨이 임의의 주어진 시간에 점유되는 50 %의 확률을 가질 것으로, 전자의 가상의 에너지 레벨로 간주 될 수 있습니다. (001-001-03). An accurate understanding of the Fermi level method is described as follows: The electronic band structure is voltage related. In addition, the flow of charge, which determines the electronic nature, is essential to the understanding of solid state physics, and the Fermi level in the circuit electronics is such that the thermodynamic equilibrium has a 50% probability that the energy level is occupied at any given time, It can be considered as an energy level.

(001-001-04). 페르미 레벨은 반드시 실제 에너지 레벨 (절연체에 페르미 준위가 밴드 갭에 달려있다)에 대응하지 않으며, 심지어 밴드 구조의 존재를 요구합니다. (001-001-04). The Fermi level does not necessarily correspond to the actual energy level (the Fermi level in the insulator depends on the bandgap) and even requires the presence of a band structure.

(001-001-05). 그럼에도 불구하고, 페르미 레벨은 정확하게 열역학적 양으로 정의되며, 페르미 레벨의 차이는 전압계로 간단히 측정 할 수 있습니다.(001-001-05). Nonetheless, the Fermi level is accurately defined as the thermodynamic amount, and the difference in Fermi level can be measured simply with a voltmeter.

(001-002). 페르미 레벨과 전압 (001-002). Fermi level and voltage

(001-002-01). 전자 회로의 과도하게 단순화 된 설명으로는 전류는 정전기 전위(electrostatic potential)의 차이에 의해 구동되는 것으로 알려져 있습니다, 하지만 정확한 설명은 아래에서 설명됩니다.(001-002-01). An oversimplified explanation of electronic circuits is that current is known to be driven by the difference in electrostatic potential, but the exact explanation is given below.

(001-002-02). 분명히, 정전기 전위(electrostatic potential)가 물자의 전하의 흐름에 영향을 미치는 유일한 요인은 아닙니다 파울리 반발 및 열 효과 또한 중요한 역할을 합니다. (001-002-02). Obviously, the electrostatic potential is not the only factor that affects the charge flow in the material. Pauli repulsion and thermal effects also play an important role.

(001-002-03). 사실, 전자 회로에서 측정 한 "전압"이라고 하는 양은 단순히 전자 (페르미 레벨)를 위한 화학 포텐셜에 관한 것입니다. (001-002-03). In fact, the amount of "voltage" measured in an electronic circuit is simply about the chemical potential for electrons (Fermi level).

(001-002-04). 전압계의 리드가 회로의 두 지점에 연결되어 있는 경우, 표시되는 전압은 전하의 작은 양이 다른 한 지점에서 유동 할 수 있도록 하여 구하는, 단위 비용 당 얻을 수있는 전체 작업의 기준입니다.(001-002-04). If the lead of the voltmeter is connected to two points of the circuit, the displayed voltage is the basis of the total operation that can be obtained per unit cost by allowing a small amount of charge to flow from one point to another.

(001-002-05). 간단한 와이어 (단락을 형성) : 서로 다른 전압의 두 지점 사이에 접속되는 경우, 전류는 열로 가능한 업무를 변환 네거티브 전압에 포지티브 전압(positive voltage to negative voltage)으로부터 흐를 것입니다.(001-002-05). A simple wire (forming a short): When connected between two points of different voltage, the current will flow from the positive voltage to the negative voltage to convert the potential to heat.

(001-002-06). 신체의 페르미 레벨은 그것에 전자를 추가하는 데 필요한 작업을 표현하거나 전자를 제거하는데 필요한 작업을 의미합니다.(001-002-06). The body's Fermi level means the work necessary to add the electrons to it or to remove the electrons.

(001-002-07). 따라서, 관찰 된 차이는 화학식으로 페르미 레벨 - 다른 - (μB-μA) 전자 회로에서, 두 지점 "A"와 "B"사이의 전압 (VA-VB)가 정확히 대응 차이에 관련되어 아래와 같이 설명됩니다,(001-002-07). Thus, the observed difference is explained by the fact that in a Fermi level-other- (μB-μA) electronic circuit, the voltage (VA-VB) between two points "A" and "B" ,

Figure pat00002
Figure pat00002

(001-002-08). 여기서 -e 는 전자 충전 입니다.(001-002-08). Where -e is an electronic charge.

(001-002-09). 간단한 경로가 제공되는 경우 위의 논의에서 그것은 전자가 낮은 μ (고전압)에 높은 μ (낮은 전압)의 신체에서 움직일 것이다는 것을 알 수 있습니다.(001-002-09). If a simple path is provided, then in the above discussion it can be seen that the electrons will move at a low μ (high voltage) and at a high μ (low voltage) body.

(001-002-10). 전자의 이 흐름은 낮은 μ가 (충전 또는 다른 반발 효과 때문에) 증가의 원인이 될 수 있고, 마찬가지로 높은 μ가 감소하게 되는 원인이 됩니다. (001-002-10). This flow of electrons can cause a low μ to increase (due to charging or other repulsion effects) and likewise cause a high μ decrease.

(001-002-11). 결국, μ는 두 기관(both bodies)에서 같은 값으로 정착됩니다. (001-002-11). As a result, μ is settled to the same value in both bodies.

(001-002-12). 이 평형 전자 회로 (해제) 상태에 관한 중요한 사실에 이르게합니다(아래설명) :(001-002-12). This leads to important facts about the state of the balanced electronic circuit (described below):

(001-002-13). 열역학적 평형 상태에서의 전자 회로는, 그 접속 부분에 걸쳐 일정한 페르미 레벨을 갖습니다. (001-002-13). An electronic circuit in thermodynamic equilibrium has a constant Fermi level across its connection.

(001-002-14). 이것은 또한 임의의 두 점 사이 (전압계로 측정) 전압이 평형에서는 0이 되는 것을 의미합니다. (001-002-14). This also means that the voltage between any two points (measured by a voltmeter) is zero at equilibrium.

(001-003-01). 페르미 레벨과 밴드 구조(001-003-01). Fermi level and band structure

(001-003-02). 금속 및 반 금속에서 페르미 레벨 EF는 적어도 하나의 밴드 안에 놓여있습니다. 절연체 및 반도체는 페르미 레벨이 밴드 갭 내에 있습니다, 그러나 반도체 밴드에서 열적 전자 또는 정공 채워야 하는 것은, 페르미 레벨에 충분히 가까이 있습니다.(001-003-02). In metal and semi-metal, the Fermi level EF lies in at least one band. Insulators and semiconductors have a Fermi level within the bandgap, but the need to fill the thermal electrons or holes in the semiconductor band is close enough to the Fermi level.

(001-003-03). 고체의 밴드 이론에서는, 전자가 단일 입자 에너지 고유 상태에서 이루어지는 밴드 일련 점유로 여겨지며, ε에 의해 각각 표지 됩니다. (001-003-03). In the solid band theory, the electrons are considered to be a band occupied by a single particle energy eigenstate, each labeled by ε.

(001-003-04). 이러한 단일 입자 픽쳐가 근사치이지만, 크게 전자 행동의 이해를 단순화하며 올바르게 적용될 때 전체적으로 올바른 결과를 제공합니다.(001-003-04). Although this single particle picture is approximate, it greatly simplifies understanding of electronic behavior and provides correct overall results when applied correctly.

(001-003-05). 페르미-디랙 분포

Figure pat00003
는 (열역학적 평형에서) 전자가 갖는 에너지 상태 ε을 점유할 확률을 줍니다.(001-003-05). Fermi-Dirck distribution
Figure pat00003
Gives the probability of occupying the energy state ε of the electron (in thermodynamic equilibrium).

(001-003-06). 대안으로, 파울리 배타 원리에 의해 부과 된 제한을 주어 그 상태를 차지할 전자의 평균 수를 줍니다 : (001-003-06). As an alternative, give the limit imposed by the Pauli exclusion principle and give the average number of electrons to take that state:

Figure pat00004
Figure pat00004

(001-003-07). 여기서 T는 절대 온도 와 K는 볼츠만 상수를 의미합니다.(001-003-07). Where T is the absolute temperature and K is the Boltzmann constant.

(001-003-08). 상태가 페르미 레벨 (ε = μ)에 있을 경우, 이 상태는 임의의 주어진 시간에 점유 될 확률이 50 %가 있을 것입니다.(001-003-08). If the state is at the Fermi level (ε = μ), this state will have a 50% chance of being occupied at any given time.

(001-003-09). 물질의 밴드 구조 내의 μ의 위치는 재료의 전기적 거동을 결정하는데 중요합니다.(001-003-09). The position of μ in the band structure of a material is important in determining the electrical behavior of the material.

(001-003-09-1). 절연체에서, μ는, 큰 밴드 갭 내에 자리잡습(lies)니다. (001-003-09-1). In an insulator, μ lies within a large bandgap.

(001-003-09-2). 금속, 반 금속 또는 퇴화 반도체(degenerate semiconductor)에서, μ는 비편 재화 밴드(delocalized band) 내에 자리 잡고 있습니다. 상태의 많은 인근 μ는 열적으로 활성화되고 쉽게 전류(current)를 수행(carry)합니다.(001-003-09-2). In metal, semi-metal or degenerate semiconductors, μ lies in the delocalized band. Many nearby μs in the state are thermally activated and easily carry the current.

(001-003-09-3). 내장(intrinsic)이나 도핑 된(lightly doped) 반도체에서, μ는 그 밴드의 가장자리 근처에 거주하는 열적으로 여기 된(thermally excited) 캐리어의 희석 수에 있다는 것을, 그리하여, 밴드의 가장자리에 가까이 있습니다.(001-003-09-3). In intrinsic or lightly doped semiconductors, μ is at a dilute number of thermally excited carriers residing near the edge of the band, and thus close to the edge of the band.

(001-003-10). 반도체와 밴드 구조에서 μ의 위치를 반 금속은 일반적으로 도핑 또는 게이팅에 의해 상당한 정도로 제어 할 수 있습니다(그래파인(Graphyne)과 전기적으로 접촉하는 전도성 물질 회로 구성에 상기 이론들은 유용하게 사용됩니다).(001-003-10). The position of μ in semiconducting and band structures can be controlled to a significant degree by doping or gating, generally in semi-metals (the above theories are useful in constructing conductive material circuits in electrical contact with Graphyne).

(001-003-11). 이러한 컨트롤은 변경되지 않습니다 μ를 전극에 의해 고정 된 것이 아니라 그들은 전체 밴드 구조가 아래로 이동 (때로는 밴드 구조의 모양을 변경)하는 원인이 됩니다.(001-003-11). These controls are not fixed by the μ electrodes they will not change, but they cause the entire band structure to move down (sometimes changing the shape of the band structure).

(001-004-01). 로컬 전도대 내부 화학 포텐셜, 및 매개 변수

Figure pat00005
(001-004-01). Local conduction band internal chemical potential, and parameters
Figure pat00005

(001-004-02). 심볼 경우 E 은 , 그 바깥 쪽 밴드 바닥의 에너지를 기준으로 측정 된 전자 에너지 레벨을 나타 내기 위해

Figure pat00006
후 일반적으로 우리가 E =
Figure pat00007
가지고 사용됩니다, 특히 우리 파라미터 정의 할
Figure pat00008
를 밴드 가장자리에 페르미 레벨을 참조하여 아래와 같이 표기합니다:(001-004-02). In symbol case E, to represent the measured energy level of the energy of the outer band bottom
Figure pat00006
Normally after we have E =
Figure pat00007
It is used to have, especially our parameters defined
Figure pat00008
Refer to the Fermi level at the edge of the band as shown below:

Figure pat00009
Figure pat00009

(001-004-03). 이 페르미 - 디랙 분포 함수는 또한 다음과 같이 표기합니다.(001-004-03). This Fermi-Dirke distribution function is also written as:

Figure pat00010
Figure pat00010

(001-004-04).

Figure pat00011
는 직접 활성 전하 캐리어(active charge carriers)의 갯수뿐만 아니라 전형적인 운동 에너지에 관련되며, 따라서 그것은 직접적으로 (전기적으로 전도성) 재료의 지역 특성을 결정하는데 관여합니다.(001-004-04).
Figure pat00011
Is related to the typical kinetic energy as well as the number of direct active charge carriers, and therefore it is directly involved in determining the local characteristics of the (electrically conductive) material.

(001-004-05). 이러한 이유로 단일 균질 전도성 물질 내의 전자의 특성에 집중 때

Figure pat00012
의 값에 집중하는 일반적인 이유가 됩니다.(001-004-05). For this reason, when focusing on the properties of electrons in a single homogeneous conductive material
Figure pat00012
This is a common reason to focus on the value of.

(001-004-06). 자유 전자의 에너지 상태와 유사하여, E 상태가 있습니다 운동 에너지 상태와

Figure pat00013
는 잠재적 인 에너지인 것입니다. (001-004-06). Similar to the energy state of a free electron, there is an E state.
Figure pat00013
Is the potential energy.

(001-004-07). 이것을 염두에 두고, 매개 변수

Figure pat00014
는 또한 "페르미 (Fermi) 운동 에너지"로 표시 할 수 있습니다.(001-004-07). With this in mind,
Figure pat00014
Can also be labeled "Fermi kinetic energy".

(001-004-08). μ는 달리, 매개 변수

Figure pat00015
Figure pat00016
의 변화로 인해 여러 값을 가지며, 평형 상수가 아닙니다.(001-004-08). Unlike μ, the parameter
Figure pat00015
The
Figure pat00016
And it is not an equilibrium constant.

(001-004-09).

Figure pat00017
는 대개 재료의 품질(예를들어, 그래파인(Graphyne)의 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 하나 이상 구비되는 표면 거칠기(surface roughness))의 요인에 따라, 재료에 지역마다(location to location) 다릅니다.(001-004-09).
Figure pat00017
(E.g., one or more bending deformations of the material, e.g., at least one bending deformation of the material, and at least one surface roughness selected from one or more of the following: (location to location) is different.

(001-004-10). 그래파인(Graphyne)의 표면 근방,

Figure pat00018
는 강하게 외부인가 전계(교차되는 장벽조정회로)에 의해 제어 될 수 있습니다.(001-004-10). Near the surface of Graphyne,
Figure pat00018
Can be controlled by strongly external applied electric field (intersecting barrier control circuit).

(001-004-11).

Figure pat00019
는 멀티 밴드 소재에서 한 곳에서 여러 값에 걸릴 수 있습니다. (001-004-11).
Figure pat00019
Can take multiple values in one place in a multi-band creative.

(001-005-01). 페르미 준위와 평형 중 온도(001-005-01). Fermi level and equilibrium temperature

(001-005-02). 준 페르미 준위 (001-005-02). Semi-Fermi level

(001-005-03). 페르미 레벨 μ 및 온도 T의 예는 아무것도 하지 않고 선반(shelf)에 앉아(sitting)있는 경우로서, 열역학적 평형 상태에서 고체 상태 장치에 대한 상수를 정의합니다.(001-005-03). An example of a Fermi level μ and temperature T is a case of sitting on a shelf without doing anything and defining a constant for a solid state device in thermodynamic equilibrium.

(001-005-04). 장치가 평형 상태에서 빠져 나올 경우 및 사용에 투입 될 경우, 엄격하게 페르미 레벨과 온도를 말하는 것은 더 이상 잘 정의되지 않습니다.(001-005-04). Strictly speaking of Fermi level and temperature is no longer well defined when the device exits from equilibrium and is put into use.

(001-005-05). 다행히, 정확하게 열 분배의 측면에서 국가(상태)의 점령을 설명하는, 특정 위치에 대한 준 페르미 준위와 준 온도를 정의하는 것이 가능합니다. (001-005-05). Fortunately, it is possible to define semi-Fermi levels and quasi-temperatures for specific locations, which accurately account for the state (state) in terms of heat distribution.

(001-005-06). 이때, 이 장치는 '준 평형 상태'에 있다고 합니다.(001-005-06). At this time, the device is said to be in 'semi-equilibrium state'.

(001-005-07). 준 평형 접근 방식은 하나의 (T에서 그라디언트의 결과로) (μ의 그라데이션으로 인해 발생과 같은) 금속 조각의 전기 전도도나 열전도와 같은 일부 비 평형 효과를 간단하게 구축 할 수 있습니다.(001-005-07). A semi-balanced approach can be used to simply construct some non-equilibrium effects, such as electrical conductivity or thermal conductivity of a piece of metal (such as caused by a gradient of μ) (resulting in a gradient in T).

(001-005-08). 준 μ 와 준 T는 변화 (또는 전혀 존재한다)와 같은 비 평형 상태에 있습니다. 아래의 두개로 예시됩니다.(001-005-08). The quasi-μ and quasi-T are in non-equilibrium states such as change (or none at all). Two examples are shown below.

(001-005-08-1). 장치가 변경(altered)되었지만, 다시 평형(re-equilibrate)을 구비하기 위한 충분한 시간을 가지고 있지 않을 때. (압전(piezoelectric) 또는 초전(pyroelectric) 물질에서와 같이). (001-005-08-1). When the device has been altered but does not have enough time to equip again. (As in piezoelectric or pyroelectric materials).

(001-005-08-2). 시스템은 전자기장을 변화에 노출되어있는 경우(커패시터 등)(001-005-08-2). If the system is exposed to changes in the electromagnetic field (such as capacitors)

(001-006-01). 페르미 레벨 - 참조 제로 페르미 레벨의 위치 (001-006-01). Fermi level - reference zero Fermi level location

(001-006-02). 많은 좌표계의 원점의 선택처럼, 에너지의 영점을 임의로 정의 할 수 있습니다. (001-006-02). As with the selection of the origin of many coordinate systems, the zero point of the energy can be arbitrarily defined.

(001-006-03). 관찰 할 수있는 현상은 에너지의 차이에 따라 달라집니다. (001-006-03). Observable phenomena depend on the difference in energy.

(001-006-04). 별개의 신체를 비교할 때, 그러나, 그들은 모두 제로 에너지의 위치를 자신의 선택에 일치하거나, 다른 무의미한 결과를 얻을 수 있는 것이 중요합니다.(001-006-04). When comparing different bodies, however, it is important that they all agree on their choice of zero energy position, or otherwise obtain nonsensical results.

(001-006-05). 따라서 명시 적으로 다른 구성 요소가 계약(agreement)을 보장하기 위해 공통 지점의 이름을 하는 것이 도움이 될 수 있습니다. (001-006-05). Thus, it may be helpful to explicitly name the common point to ensure that other components agree.

(001-006-06). 기준점 (예컨대 "진공" 아래를 참조)를 모호하게 선택되는 경우에, 다른 한편으로는, 더 많은 문제를 야기 할 것입니다.(001-006-06). On the other hand, if the reference point (for example, see "Vacuum" below) is selected ambiguously, it will cause more problems.

(001-006-07). 일반적인 점(point)의 실제(practical)와 잘된 정렬 선택(well-justified choice)은 전기 접지 또는 접지(Earth)와 같은 부피가 큰 물리적 도체입니다. 이러한 도체는 좋은 열역학적 평형 상태에 있는 것으로 간주 될 수 있고, 그래서 그것의 μ 는 잘 정의됩니다. (001-006-07). The practical and well-justified choice of a common point is a bulky physical conductor, such as an electrical ground or earth. These conductors can be considered to be in good thermodynamic equilibrium, so its μ is well defined.

(001-006-08). 그것은 전하의 저장을 제공하여 전자의 많은 수의 충전 효과를 발생시키지 않고 추가하거나 제거 할 수 있습니다 (001-006-08). It provides the storage of charge and can be added or removed without generating a large number of charge effects of electrons

(001-006-09). 또한 다른 개체의 페르미 레벨이 전압계로 간단히 측정 할 수 있도록, 액세스되는 장점이 있습니다.(001-006-09). It also has the advantage of being accessed so that the Fermi level of other objects can be easily measured with a voltmeter.

(001-007-01). 두 개의 금속(그래파인(Graphyne)과 전기적으로 접촉되는 전도성 물질). 그러나, "진공"의 정확히 위치를 정의하여 주의하지 않는 한 이 방법은 권장되지 않습니다.(001-007-01). Two metals (conductive material in electrical contact with Graphyne). However, this method is not recommended unless care is taken to define the exact position of the "vacuum".

(001-007-02). 두 개의 금속(그래파인(Graphyne)과 전기적으로 접촉되는 전도성 물질)이 열역학적 평형 (동일 페르미 레벨)에 같이 있을 때, 진공 정전기 전위 φ이 때문에 그것의 차이에서 평평하지 않은 일 함수를 보여줄 수 있습니다.(001-007-02). When two metals (a conductive material in electrical contact with Graphyne) are at the same thermodynamic equilibrium (same Fermi level), the vacuum electrostatic potential φ can show a non-flat work function in its difference.

(001-007-03). 원칙적으로, 하나의 에너지에 대한 기준점으로 진공 고정 전자의 상태를 고려할 수 있습니다. 그러나, "진공"의 정확히 위치를 정의하여 주의하지 않는 한 이 방법은 권장되지 않습니다. 문제는 진공에서 모든 지점이 동일하다는 것입니다.(001-007-03). In principle, you can consider the state of a vacuum stationary electron as a reference point for one energy. However, this method is not recommended unless care is taken to define the exact position of the "vacuum". The problem is that every point in the vacuum is the same.

(001-007-04). 열역학적 평형, 그것은 전위(electrical potential) 진공 (볼타 전위)에 존재하기 위해 1 V의 차이에 대한 전형적인 열역학적 평형입니다.(001-007-04). Thermodynamic equilibrium, which is a typical thermodynamic equilibrium for a 1 V difference to exist in an electrical potential vacuum (voltaic potential).

(001-007-05). 발명의 한 실시형태에서, 이 진공 전위 변동의 소스는 진공에 노출 된 다른 전도성 물질 사이(그래파인(Graphyne)과 전기적으로 접촉되는 전도성 물질)의 일 함수의 변화일 수 있습니다. (001-007-05). In one embodiment of the invention, the source of this vacuum potential variation may be a change in the work function of another conductive material exposed to vacuum (a conductive material in electrical contact with Graphyne).

(001-007-06). 다만 외부 도체, 정전기 전위는 물질에 민감하게 의존할 뿐만 아니라, 어떤면(surface)이 선택됩니다. (그 결정 배향, 및 다른 세부 사항) (001-007-06). However, external conductors, electrostatic potentials, depend not only on the material, but also on certain surfaces. (Its crystal orientation, and other details)

(001-007-07). 보편성에 가장 근사치를 제공하는 매개 변수는 접지(Earth)를 참조할 수 있습니다. 페르미 준위는 위의 제안입니다. 이것은 또한 전압계로 측정 할 수 있다는 장점을 갖습니다.(001-007-07). Parameters that provide the closest approximation to universality can be referenced to Earth. Fermi level is the above suggestion. This also has the advantage that it can be measured with a voltmeter.

(001-008-01). DiscreTe charging effecTs in small sysTems(작은 시스템에서 개별 충전 효과)(001-008-01). DiscreTe charging effecTs in small sysTems (individual charging effect on small systems)

(001-008-02). 작은 시스템에서 개별충전효과로 인해 싱글 일렉트론에 "대전 효과"비 무시(non-negligible)할 경우에, 상기 정의는 명확해야 합니다. 예를 들어, 커패시터, 두 개의 동일한 평행 판으로 만든 것과 같은 형식에서처럼 고려됩니다.(001-008-02). In a small system, the above definition should be clear when doing "non-negligible" to single electrons due to individual charging effects. For example, in the same format as a capacitor, made of two identical parallel plates.

(001-008-03). 커패시터가 충전되지 않은 경우, 페르미 레벨은 양쪽에 동일하므로, 다른 한 플레이트에서 전자를 이동하는 no 에너지를 가지는 것으로 생각할 수 있습니다.(001-008-03). If the capacitor is not charged, the Fermi level is the same on both sides, so you can think of it as having no energy to move electrons from one plate to the other.

(001-008-04). 전자가 이동되었을 때, 커패시터 (약간) 충전이 되었습니다, 그래서 이것은 에너지의 약간의 금액(amount)을 거쳐야합니다.(001-008-04). When the electron is moved, the capacitor is (slightly) charged, so it must go through a small amount of energy.

(001-008-05). 통상 커패시터, 이것은 무시할 만하지만 나노 스케일 커패시터(그래파인(Graphyne)과 전도성 물질을 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여, 구비되는 형태에서)는 더 중요 할 수 있습니다.(001-008-05). Normally a capacitor, in the form it is provided, has one or more of negligible but nanoscale capacitors (Graphyne and one or more bending deformation, displacement of the conductive material Graphyne) It can be important.

(001-008-06). 이 경우 하나는 화학 포텐셜의 열역학적 정의뿐만 아니라, 장치의 상태에 대한 정확한 전기적 절연 이여야 합니다, 또는 그것은 전극에 접속(connected to an electrode)입니다.(001-008-06). In this case, one must be a precise electrical insulation to the state of the device, as well as a thermodynamic definition of the chemical potential, or it is connected to an electrode.

(001-008-07). 신체 전극 (리저버)와 전자 에너지를 교환 할 수 있는 경우, 그것은 정식 그랜드 앙상블(grand canonical ensemble)에 의해 설명됩니다. (001-008-07). When electronic energy can be exchanged with a body electrode (reservoir), it is explained by a grand canonical ensemble.

(001-008-08). 화학 전위의 값 μ은 전극에 의해 고정 될 수 있다고 할 수 있고, 전자의 개수 N 의 신체는 변동될 수 있습니다.(001-008-08). The value of the chemical potential μ can be said to be fixed by the electrode, and the number N of electrons can vary.

(001-008-09). 이 경우, 본체의 화학 포텐셜은 극미량으로서, 전자의 평균 개수를 증가시키기 위해 필요한 작업의 극미량입니다 (비록 언제든지 전자의 수는 정수일지라도, 그 것의 평균 개수가 연속적으로 변화합니다.)(001-008-09). In this case, the chemical potential of the body is a trace amount, which is a very small amount of work required to increase the average number of electrons (although the number of electrons is always constant, the average number of electrons changes continuously).

Figure pat00020
Figure pat00020

(001-008-10). F (N, T)는 그랜드 정식 앙상블의 자유 에너지 기능입니다.(001-008-10). F (N, T) is the free energy function of the grand formal ensemble.

(001-008-11). 체내에서의 전자의 개수가 고정됩니다 (그러나 몸은 여전히 열적 가열 욕(heat bath)에 접속되어 있으면), 그것은 정식 앙상블에 있습니다. (001-008-11). The number of electrons in the body is fixed (but the body is still connected to a heat bath), which is in a formal ensemble.

(001-008-12). 일은 이미 전자가, 정확히 N이 신체에 전자를 하나 추가 할 필요에 따라 우리는 문자 그대로 이 경우에 "화학 포텐셜"을 정의 할 수 있습니다.(001-008-12). We can literally define the "chemical potential" in this case, as the work is already done electronically, exactly N need to add one electron to the body.

Figure pat00021
Figure pat00021

(001-008-13). F (N, T)의 자유 에너지 정규 앙상블의 함수, 또는 대안 적으로 그 신체에서 전자를 제거함으로써 얻어진 작품으로서,(001-008-13). As a function of the free energy regular ensemble of F (N, T), or alternatively a work obtained by removing electrons from the body,

Figure pat00022
Figure pat00022

(001-008-14). 이러한 화학 전위는 동일하지 않습니다 μ ≠ μ ' ≠ μ'' 를 제외하고, 열역학적 제한입니다.(001-008-14). These chemical potentials are not the same, except for μ ≠ μ '≠ μ' ', which is a thermodynamic limitation.

(001-008-15). 상기 차이는 쿨롱 봉쇄를 보여주는 것과 같은 작은 시스템에서 중요합니다. 본 발명의 한 실시예에서, Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 것은 쿨롱 봉쇄(Coulomb blockade)의 형태로서 설명될 수 있습니다. (001-008-15). The above difference is important in small systems such as showing Coulomb blockade. In one embodiment of the present invention, adjusting one or more of the heights of the Fermi level (Fermi level) can be described as a form of Coulomb blockade.

(001-008-16). 파라미터 μ (즉, 전자의 개수가 변동하는 것이 허용되는 경우)에도 작은 시스템에서, 정확히 전압계 전압이 관련되어 남아 있습니다.(001-008-16). Even if the parameter μ (ie the number of electrons is allowed to fluctuate), the voltmeter voltage remains relevant in a small system.

(001-008-17). 정확히 말하면, 다음, 페르미 레벨은 하나의 전자 전하에 의해 결정 충전 이벤트에 의해 정의되지 않았습니다, 오히려 전자의 극미량으로 통계 충전 이벤트입니다.(001-008-17). To be precise, then, the Fermi level was not defined by the charge event determined by a single electronic charge, rather it is a statistical charge event with an enormous amount of electrons.

(002-001-01). Bending(굽힘)(002-001-01). Bending

(002-001-02). 공정 역학에서, (또는 굴곡라고도 함)는 벤딩 요소의 종 방향 축에 수직으로 인가되는 외부 하중을 받는 가느다란 구조 요소(element)의 동작을 특징 짓습니다. (002-001-02). In process dynamics (also referred to as flexure) characterizes the motion of a thin structural element subjected to an external load applied perpendicular to the longitudinal axis of the bending element.

(002-001-03). 길이가 폭과 두께보다 훨씬 더 긴 경우, 요소는 빔이라고 합니다.(002-001-03). If the length is much longer than the width and thickness, the element is called a beam.

(002-001-04). 한편, 쉘(shell)은 길이와 폭이 동일한 정도의 크기로 되어 있지만, ( '벽'이라고도 함) 구조의 두께가 상당히 작은 기하학적 형태의 구조입니다(002-001-04). On the other hand, shells are of the same size in length and width, but they are geometrically shaped structures with very small thicknesses (also called 'walls')

(002-001-05). 그것의 끝에서 지원 측면으로 로드되어 큰 변형하지만, 얇은 벽, 벤딩 경험은 쉘(shell)의 예입니다. 본 발명의 한 실시형태에서, 쉘(shell)의 벤딩변형은 플레이트의 굽힘변형으로 설명 될 수 있습니다.(002-001-05). At the end of it is a large variation that has been loaded into the support side, but a thin wall, bending experience is an example of a shell. In one embodiment of the present invention, the bending deformation of the shell can be explained by the bending deformation of the plate.

(002-002-01). QuasisTaTic bending of beams(빔 QuasisTaTic(준정적) 굽힘)(002-002-01). QuasisTaTic bending of beams (QuasisTaTic (semi-static) bending)

(002-002-02). 가로 하중이 그것에 인가 될 때 빔 변형과 응력은 그 안에 전개됩니다. quasistatic(준정적) 경우, 굽힘편향(bending deflection) 및 굽힘 응력(bending stresses)의 양은 시간에 걸쳐 변하지 않는 것으로 가정합니다.(002-002-02). When a transverse load is applied to it, beam deformation and stress are developed into it. In the quasistatic case, it is assumed that the amount of bending deflection and bending stresses does not change over time.

(002-002-03). 빔의 밑면에서 재료가 연신되는 동안 단부에서 지지되고 중간에 아래쪽으로 로드(loaded)되어 수평 빔에서 빔의 과잉 측 재료가 압축됩니다. 횡 방향 하중에 의한 내부 응력의 두 가지 형태가 있습니다 :(002-002-03). At the bottom of the beam, the material is supported at the ends while being stretched and loaded downward in the middle, compressing the excess side material of the beam in the horizontal beam. There are two types of internal stresses due to lateral loads:

(002-002-03-1). 하중 방향에 수직 인 횡 방향 하중, 더하기(plus) 평면에 상보 전단 응력, 응력에 평행 한 전단응력;(002-002-03-1). Lateral load perpendicular to the loading direction, complementary shear stress on the plus plane, shear stress parallel to the stress;

(002-002-03-2). 빔의 상부에 직접 압축 응력, 및 빔의 하부 영역에 직접적인 인장 응력.(002-002-03-2). Direct compressive stress on the top of the beam, and tensile stress on the bottom of the beam.

(002-002-04). 그들은 크기가 같고 방향은 반대로이며, 상기 각각의 설명에서 마지막 두 힘은 몇 모멘트를 형성합니다. 이 굽힘 모멘트는 빔에 발생하는 굽힘 늘어짐 변형 특성에 강한저항을 보여줍니다. 빔 내의 응력 분포는 매우 정확하게 몇몇 간단한 가정이 사용되는 경우에도 예측 될 수 있습니다.(002-002-04). They are the same size and the opposite direction, and the last two forces in each of the above form a few moments. This bending moment shows a strong resistance to the bending deformation characteristics of the beam. The stress distribution in the beam can be predicted very accurately even when some simple assumptions are used.

(002-003-01). QuasisTaTic bending of plaTes(판 Quasistatic(준정적) 굽힘)(002-003-01). QuasisTaTic bending of plaTes (Quasistatic bending)

(002-003-02). 변위를 강조하는 얇은 판의 변형 (002-003-02). Deformation of a thin plate to emphasize displacement

(002-003-03). 빔 형성 기능의 정의는 하나의 치수(또는 차원)이 다른 2개의 치수(또는 차원)보다 큰것입니다.(002-003-03). The definition of the beam forming function is that one dimension (or dimension) is larger than the other two dimensions (or dimension).

(002-003-04). 상기에서 평평하며 그 치수(또는 차원) 중 하나가 많으면 구조가 플레이트라고 할 수 있습니다. 널리 사용되어 것 중에, 적용 하중에 따라 판의 변형 및 응력을 설명하는 여러 가지 이론이 있습니다. 이들은(002-003-04). If it is flat in the above and one of its dimensions (or dimensions) is large, the structure is called a plate. Among the widely used, there are several theories that explain the deformation and stresses of the plate depending on the applied load. These are

(002-003-04-1). 판의 키르히 호프 - 사랑 이론 (또한 고전 판 이론이라고 함)(002-003-04-1). The Kirchhoff-Love Theory (also known as the Classic Edition Theory)

(002-003-04-2). Mindlin-Reissner 판 이론 (또한 플레이트의 1 차 전단 이론이라고도 함)(002-003-04-2). The Mindlin-Reissner plate theory (also referred to as the plate primary shear theory)

(002-004-01). Kirchhoff-Love theory of plates(플레이트의 키르히 호프 - 사랑 이론)(002-004-01). Kirchhoff-Love theory of plates

(002-004-02). 키르히 호프 - 사랑 이론의 가정은(002-004-02). Kirchhoff - The assumption of love theory

(002-004-02-1). 중간 표면에 수직 인 직선(straight lines)이 직선 변형 후(straight after deformation)에 남습니다.(002-004-02-1). Straight lines perpendicular to the intermediate surface remain after straight after deformation.

(002-004-02-2). 중간 표면에 수직(normal)인 직선을 변형 한 후 중간 표면에 수직(normal)을 유지합니다.(002-004-02-2). Straighten a straight line to the middle surface and maintain it normal to the middle surface.

(002-004-02-3). 판의 두께는 변형 동안 변경되지 않습니다.(002-004-02-3). The thickness of the plate does not change during deformation.

(002-004-03). 이러한 가정은 아래와 같이 의미됩니다. (002-004-03). These assumptions are as follows.

Figure pat00023
Figure pat00023

Figure pat00024
Figure pat00024

(002-004-04). 여기서

Figure pat00025
는 판에 한 점의 변위이고
Figure pat00026
는 중간 표면의 변위입니다.(002-004-04). here
Figure pat00025
Is the displacement of a point on the plate
Figure pat00026
Is the displacement of the intermediate surface.

(002-004-05). 변형률 - 변위 관계는 아래와 같습니다.(002-004-05). The strain-displacement relationship is shown below.

Figure pat00027
Figure pat00027

Figure pat00028
Figure pat00028

Figure pat00029
Figure pat00029

(002-004-06). 평형 방정식은 아래와 같습니다.(002-004-06). Equilibrium equations are as follows.

Figure pat00030
Figure pat00030

(002-004-07). 상기 식에서

Figure pat00031
는 판의 표면에 통상 가해지는 힘입니다.(002-004-07). In the above formula
Figure pat00031
Is the force normally applied to the surface of the plate.

(002-004-08). 변위의 관점에서, 외부 부하가 없는 상태에서 등방성 선형 탄성 플레이트 평형 방정식은 다음과 같이 쓸 수 있습니다 (002-004-08). In terms of displacement, in the absence of an external load, the isotropic linear elastic plate equilibrium equation can be written as

Figure pat00032
Figure pat00032

직접 텐서 표시법으로는,In the direct tensor notation method,

Figure pat00033
Figure pat00033

(002-005-01). Mindlin-Reissner Theory of plaTes(판 Mindlin-Reissner(민드린-레이스너) 이론)(002-005-01). Mindlin-Reissner Theory of plaTes (Mindlin-Reissner theory)

(002-005-02). 이 이론의 특별한 가정은 중간 표면에 법선이 직선과 비 신축성하지만 변형 후 중간 표면에 반드시 정상(normal)이 남아 있다는 것입니다(002-005-02). The special assumption of this theory is that the normal to the intermediate surface is straight and unstretchable, but remains normal on the intermediate surface after deformation

(002-005-03). 플레이트의 변위가 주어집니다.(002-005-03). The displacement of the plate is given.

Figure pat00034
Figure pat00034

Figure pat00035
Figure pat00035

(002-005-04). 상기 식에서

Figure pat00036
는 정상(normal)의 회전입니다.(002-005-04). In the above formula
Figure pat00036
Is a normal rotation.

(002-005-05). 이러한 가정에서 발생 하는 변형-변위 관계는 아래와 같습니다.(002-005-05). The strain-displacement relationship that occurs in these assumptions is shown below.

Figure pat00037
Figure pat00037

Figure pat00038
Figure pat00038

Figure pat00039
Figure pat00039

(002-005-06). 상기 식에서

Figure pat00040
는 전단 보정 계수입니다. 평형 방정식 에서는 아래와 같이 설명됩니다.(002-005-06). In the above formula
Figure pat00040
Is the shear correction factor. Equilibrium equations are described as follows.

Figure pat00041
Figure pat00041

Figure pat00042
Figure pat00042

여기에서,From here,

Figure pat00043
입니다.
Figure pat00043
is.

(002-006-01). Dynamic bending of plaTes(동적 판 굽힘), Dynamic bending of plaTes(동적 판 굽힘)는 Dynamics of Thin Kirchhoff plaTes(얇은 키르히 호프 판의 역학)을 의미합니다.(002-006-01). Dynamic bending of plaTes (Dynamic plate bending) and Dynamic bending of plaTes (Dynamic plate bending) mean Dynamics of Thin Kirchhoff plaTes (dynamics of thin Kirchhoff plates).

(002-007-01). Dynamics of Thin Kirchhoff plaTes(얇은 키르히 호프 판의 역학)(002-007-01). Dynamics of Thin Kirchhoff plaTes (Dynamics of thin Kirchhoff plates)

(002-007-02). 플레이트의 동적 이론은 플레이트(plates)의 전파(propagation of waves)를 결정하고, 정상파(standing waves) 진동 모드(vibration modes)를 적용합니다.(002-007-02). The dynamic theory of plates determines the propagation of waves of plates and applies standing waves vibration modes.

(002-007-03). 키르히 호프 판의 동적 굽힘을 지배하는 방정식은 (002-007-03). The equation governing the dynamic bending of the Kirchhoff plates

Figure pat00044
입니다.
Figure pat00044
is.

상기 식에서, 밀도와 plate(판)는

Figure pat00045
In the above equation, density and plate (plate)
Figure pat00045

Figure pat00046
Figure pat00046

그리고And

Figure pat00047
Figure pat00047

(002-007-04). 원형 판의 몇몇 진동 모드 표시. (002-007-04). Some vibration mode indication of circular plate.

(002-007-04-1). 모드 k = 0, p = 1, (002-007-04-1). Mode k = 0, p = 1,

(002-007-04-2). 모드 k = 0, p = 2, (002-007-04-2). Mode k = 0, p = 2,

(002-007-04-3). 모드 k = 1, p = 2, (002-007-04-3). Mode k = 1, p = 2,

,로 구성되는 상기 설명하는 (001-001) 내지 (002-007-04-3) 중 하나 이상 선택되는 것을 하나 이상 구비되어 있는 것을 의미하되, (a). 상기 (001-001) 내지 (002-007-04-3) 중 하나 이상 선택되는 것의 설명의 의미, (b). 일반적으로 통용되는 상기 (001-001) 내지 (002-007-04-3) 중 하나 이상 선택되는 것에 대한 의미, (c). 상기 (001-001) 내지 (002-007-04-3) 중 하나 이상 선택되는 것의 이론에 대한 설명, 설명의 전체적 범위, 설명의 부분적 범위, 중 하나 이상 선택되는 것, (d). 상기 (001-001) 내지 (002-007-04-3) 중 하나 이상 선택되는 것의 전체적인 요소, 부분적인 요소, 중 하나 이상 선택되는 것, 로 구성되는 상기 (a) 내지 (d) 중 하나 이상 선택되는 것을 하나 이상 구비한다. (001-001) to (002-007-04-3) described above, each of which is composed of (a) and (b). The meaning of the description of one or more of (001-001) to (002-007-04-3) is selected, (b). (001-001) to (002-007-04-3) which are commonly used, and (c). (D) a description of the theory of one or more of (001-001) to (002-007-04-3) selected above, the overall scope of the description, the partial scope of the description; At least one of the above (a) to (d) consisting of at least one selected from the group consisting of an entire element, a partial element, and the like selected from one or more of (001-001) to (002-007-04-3) One or more selected.

본 발명의 한 실시예에서, 영률(Young's modulus)은 아래와 같이 설명된다. In one embodiment of the present invention, the Young's modulus is described as follows.

(001-1). 영률 E는 응력 - 변형 곡선의 탄성 (초기 선형) 부분의 신장 변형에 의해 인장 응력을 나눔으로써 계산 될 수 있습니다 : (001-1). Young's modulus E can be calculated by dividing the tensile stress by the elongation at the elastic (initial linear) part of the stress-strain curve:

Figure pat00048
Figure pat00048

여기에서, From here,

(001-2). E는 영률 (탄성 계수)입니다 (001-2). E is Young's modulus (modulus of elasticity)

(001-3). F는 긴장(tension)에서 물체에 작용하는 힘입니다; (001-3). F is the force acting on the object at tension;

(001-4). A 0은 힘이 적용되는 것을 통하는 단면적의 원래의 단면적입니다(001-4). A 0 is the original cross-sectional area of the cross-section through which the force is applied

(001-5). ΔL은 개체 변경의 길이의 양(amount)입니다 (001-5). ΔL is the amount of length of the object change

(001-6). L 0은 객체의 원래 길이입니다.(001-6). L 0 is the original length of the object.

(002). 늘어나거나 수축 물질에 의해 가해지는 힘  (002). The force exerted by stretching or shrinkage material

(002-1). 재료의 영률은 특정 변형률에 따라 발휘되는 힘을 계산하는데 사용될 수 있습니다.(변형이 된 그래파인(Graphyne)이나 그래파인(Graphyne)이 포함된 다층상태에서 발휘되는 힘) (002-1). The Young's modulus of the material can be used to calculate the force exerted according to a particular strain (force exerted in a multi-layered state including deformed Graphyne or Graphyne)

Figure pat00049
Figure pat00049

(002-2). F는 ΔL에 의해 계약 된(contracted) 또는 기지개(stretched) 될 때, 물질에 의해 가해지는 힘입니다. (002-2). F is the force exerted by the material when it is contracted or stretched by ΔL.

(002-3). 후크의 법칙은 이상적인 스프링의 강성을 설명하는 이 공식에서 파생 될 수 있습니다: (002-3). Hook's law can be derived from this formula, which explains the ideal spring stiffness:

Figure pat00050
Figure pat00050

(002-4). 그것은 포화 상태(saturation)에 오는 곳 (002-4). It comes from saturation

Figure pat00051
 과 
Figure pat00052
입니다.
Figure pat00051
and
Figure pat00052
is.

(003). 탄성 위치 에너지(변형이 된 그래파인(Graphyne)이나 그래파인(Graphyne)이 포함된 다층상태에서 구비되는 탄성 위치 에너지)  (003). The elastic potential energy (elastic potential energy provided in the multi-layer state including deformed graphyne or graphyne)

(003-1). 저장된 탄성 위치 에너지는 L에 대해 이 식의 적분에 의해 주어집니다: (003-1). The stored elastic position energy is given by the integral of this equation for L:

Figure pat00053
Figure pat00053

(003-2). 여기서 Ue는 탄성 퍼텐셜 에너지(elastic potential energy) 입니다. (003-2). Where Ue is the elastic potential energy.

(003-3). 단위 부피당 잠재적 탄성 에너지는 다음과 같습니다 : (003-3). Potential elastic energies per unit volume are as follows:

Figure pat00054
Figure pat00054

(003-4). 여기서 

Figure pat00055
는 material(재료)의 변형입니다(003-4). here
Figure pat00055
Is a variation of material

(003-5). 이 공식은 또한 후크의 법칙의 정수로 표현 될 수 있습니다 : (003-5). This formula can also be expressed as an integer in Hook's Law:

Figure pat00056
Figure pat00056

(004). 탄성 상수 사이의 관계  (004). Relationship Between Elastic Constants

(004-1). 균질 등방성 재료에 대한 간단한 관계는 한 두 가지가 알려진대로 그들 모두를 계산을 허용하는 탄성 상수 (영률 E, 전단 계수(shear modulus) G, 대량 계수(bulk modulus) K, 푸 아송의 비(Poisson's ratio) v), 사이에 존재합니다: (004-1). The simple relationship for homogeneous isotropic materials is based on the assumption that one or two of them are known as elastic constants (Young's modulus E, shear modulus G, bulk modulus K, Poisson's ratio ) v), exists between:

Figure pat00057
Figure pat00057

,로 구성되는 상기 설명하는 (001-1) 내지 (004-1) 중 하나 이상 선택되는 것을 하나 이상 구비되어 있는 것을 의미하되, (a). 상기 (001-1) 내지 (004-1)중 하나 이상 선택되는 것의 설명의 의미, (b). 일반적으로 통용되는 상기 (001-1) 내지 (004-1)중 하나 이상 선택되는 것에 대한 의미, (c). 상기 (001-1) 내지 (004-1)중 하나 이상 선택되는 것의 이론에 대한 설명, 설명의 전체적 범위, 설명의 부분적 범위, 중 하나 이상 선택되는 것, (d). 상기 (001-1) 내지 (004-1)중 하나 이상 선택되는 것의 전체적인 요소, 부분적인 요소, 중 하나 이상 선택되는 것, 로 구성되는 상기 (a) 내지 (d) 중 하나 이상 선택되는 것을 하나 이상 구비한다.(001-1) to (004-1) described above, which is composed of (a) and (b). (001-1) to (004-1), (b). (001-1) to (004-1), and (c). (D) a description of the theory of at least one of (001-1) to (004-1) selected above, the overall scope of the description, the partial scope of the description; One or more of the above-mentioned (a) to (d) consisting of at least one of the whole elements, partial elements, and the like selected from at least one of (001-1) to (004-1) Or more.

본 발명의 한 실시예에서, Fermi level(페르미레벨)의 높이를 하나 이상 조절하는 것은 쿨롱 봉쇄(Coulomb blockade)로서 설명될 수 있다. 쿨롱 봉쇄(Coulomb blockade)는 아래와 같이 설명된다. In one embodiment of the invention, adjusting one or more of the heights of the Fermi level (Fermi level) may be described as a Coulomb blockade. The Coulomb blockade is described as follows.

(001-1). 물리학에서, 찰스 - 오거 드 쿨롱의 전기 힘의 이름을 따서 명명 쿨롱 봉쇄 (약칭 CB)는, 적어도 하나의 낮은 정전 용량 터널 접합을 포함하는 전자 장치의 작은 바이어스 전압의 증가 저항을 의미합니다.(001-1). In physics, named after the electrical force of Charles-Auguste Coulomb, Coulomb blockade (CB) refers to the increased resistance of the small bias voltage of an electronic device containing at least one low-capacitance tunnel junction.

(001-2). 소수 전자가 관여하고 외부의 정적 자계(여기서는 정전기적 준위를 의미한다)가 인가되는 경우, 쿨롱 봉쇄 전자 사이의 상호 작용에 의한 스핀 양자 역학적 효과를 포함합니다 (또는 파울리 봉쇄(Pauli blockade)로 불리는). 쿨롱봉쇄(Coulomb blockade)는 스핀 봉쇄(spin blockade) 위한 접지(ground)를 제공합니다.(001-2). (Or called the Pauli blockade) due to interaction between the coulombic blocking electrons when a small number of electrons are involved and an external static magnetic field (in this case, an electrostatic level) is applied, . The Coulomb blockade provides a ground for spin blockade.

(002). Coulomb blockade in a tunnel junction(터널 접합의 쿨롱 봉쇄)(002). Coulomb blockade in a tunnel junction (Coulomb blockade of tunnel junction)

(002-1). 터널 접합은 가장 간단한 형태로, 전극 간의 도전성이 얇은 절연 배리어에서를 의미합니다.(002-1). Tunnel junctions are the simplest form, meaning that the insulation between the electrodes is thin.

(002-2). 고전 전기 역학의 법칙에 따르면, 전류가 절연 장벽을 통과 할 수 없습니다. (002-2). According to classical electrodynamic laws, current can not pass through an isolation barrier.

(002-3). 그러나 양자 역학의 법칙에 따르면, nonvanishing(논배니슁)가 (0보다 큰) 확률를 갖으며, 다른쪽에 도달하는 배리어의 한쪽에서의 전자 (양자 터널링 참조)가 있습니다.(002-3). However, according to the laws of quantum mechanics, nonvanishing has a probability (greater than zero) and there is an electron (see quantum tunneling) on one side of the barrier reaching the other.

(002-4). 바이어스 전압이 인가되는 경우, 이 전류가, 그리고, 추가 효과를 무시하는 것을 의미합니다, 터널링 전류가 바이어스 전압에 비례합니다.(002-4). When a bias voltage is applied, this current will ignore the additional effect, and the tunneling current is proportional to the bias voltage.

(002-5). 전기적인 관점에서, 터널 접합은 오믹 저항(ohmic resistor)으로 알려진 일정한 저항을 갖는 저항으로서 행동합니다.(002-5). From an electrical point of view, the tunnel junction acts as a resistor with a constant resistance known as an ohmic resistor.

(002-6). 저항은 장벽 두께에 기하 급수적으로 의존합니다.(본 발명에서는 장벽의 두께가 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 시켜 그래파인(Graphyne)의 상단부에 구비된 절연층이 조정되는 것으로 이해할 수 있다.)(002-6). The resistance is exponentially dependent on the barrier thickness. (In the present invention, it is preferred that the thickness of the barrier is selected from one or more of Piezo, Magnetic, Charged, or Charged Particles. It is understood that at least one of bending deformation, position shifting, and the like is selected for the graphyne so that the insulating layer provided at the upper end of the graphyne is adjusted.

(002-7). 일반적인 장벽의 두께는 수(several) 나노 미터에 있습니다.(002-7). Typical barrier thicknesses are several nanometers.

(002-8). 뿐만 아니라, 두 도체(그래파인(Graphyne)과 전도성물질)의 배열 사이에 절연층을 가지지만 저항을 가지지 않으며, 그것은 유한 한 커패시턴스로 해석될 수 있습니다.(002-8). In addition, it has an insulating layer between the two conductors (Graphyne and conductive material), but it has no resistance, and it can be interpreted as a finite capacitance.

(002-9). 절연체도 이러한 맥락에서 유전체라고 합니다, 터널 접합은 커패시터로 동작합니다.(002-9). The insulator is also referred to as a dielectric in this context, the tunnel junction acts as a capacitor.

(002-10). 때문에 전기 요금의 불연속성에, 터널 접합을 통해 전류가 다음과 같이 설명됩니다. 정확히 하나의 전자가 (두 개의 전자가 터널하는 동시에(simultaneously)에서, 우리는 cotunneling(코터널링) 을 무시합니다) 터널 장벽을 통해 (터널)을 통과하는 일련의 이벤트입니다(002-10). Because of the discontinuity of the electric charge, the current through the tunnel junction is described as follows. Exactly one electron is a series of events that pass through a tunnel barrier (tunnel), while at the same time two electrons tunnel (we ignore cotunneling)

(002-11). 터널 접합 커패시터는 원인이 되는 터널링 전자에 의해 하나의 기본 요금으로 충전 전압 상승 

Figure pat00058
입니다, 여기서 e 는 전하량 1.6 x 10 -19  쿨롱을 의미하며, 및 
Figure pat00059
는 접합의 정전 용량을 의미합니다. (002-11). The tunnel junction capacitor is charged by the tunneling electrons causing the charge voltage to rise at one base charge
Figure pat00058
, Where e represents the charge amount of 1.6 x 10 -19 coulombs, and
Figure pat00059
Refers to the capacitance of the junction.

(002-12). 커패시턴스가 매우 작은 경우, 전압 상승은 터널링로부터 다른 전자를 방지하기에 충분한 클 수 있습니다.(002-12). If the capacitance is very small, the voltage rise may be large enough to prevent other electrons from tunneling.

(002-13). 전류는 그 다음 낮은 바이어스 전압에서 억제되며, 소자의 저항은 더 이상 일정하지 않게 됩니다.(002-13). The current is then suppressed at the lower bias voltage, and the resistance of the device is no longer constant.

(002-14). 제로 바이어스 주변의 차동 저항의 증가는 쿨롱 봉쇄라고 합니다.(002-14). The increase in differential resistance around the zero bias is called Coulomb blockade.

(003). Single electron transistor(단일 전자 트랜지스터)의 형태로서 설명(003). Describe in the form of a single electron transistor

(003-1). 그것은 섬으로 알려진 낮은 자체 커패시턴스으로 하나의 공통 전극(본 발명에서 설명하는 교차회로)에 터널 접합을 통해 연결된 드레인(전도성 물질)과 소스(그래파인(Graphyne))로 알려진 두 개의 전극으로 구성됩니다.(003-1). It consists of two electrodes known as drains (conductive material) and source (Graphyne) connected through a tunnel junction to one common electrode (the crossover circuit described in this invention) with a low self-capacitance known as an island.

(003-2). 게이트 용량은 섬에 결합이 섬의 전기적 전위로 알려진 제 3 전극(본 발명에서 설명하는 교차회로 즉, 교차되는 장벽조정회로)에 의해 조정될 수 있습니다.(003-2). The gate capacitance can be adjusted by a third electrode (intersecting circuit described in this invention, that is, an intersecting barrier adjustment circuit), which is coupled to the island and known as the electrical potential of the island.

(003-3). 블로킹 상태에서 액세스 가능하지 않은 에너지 준위는 소스 접점에서 전자의 터널링 범위 내에 있습니다.(003-3). An energy level that is not accessible in the blocking state is within the electron tunneling range at the source contact.

(003-4). 섬 전극(island electrode)에 있는 모든 에너지 준위는 낮은 에너지와 함께 점유하고 있습니다.(003-4). All the energy levels in the island electrode occupy with low energy.

(003-5). 양의 전압이 게이트 전극(본 발명에서 설명하는 교차회로 즉, 교차되는 장벽조정회로)에 인가되면 섬 전극의 에너지 준위가 낮아집니다.(003-5). When a positive voltage is applied to the gate electrode (cross circuit described in the present invention, that is, crossing barrier adjustment circuit), the energy level of the island electrode is lowered.

(003-5-1). 전자(1행동), 하나 이상의 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것이 상부에 구비된 그래파인(Graphyne)을, 그래파인(Graphyne) 상부에 구비된 절연층과 함께 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비(2행동), 전자가 아일랜드 상에 터널(3행동), 이전에 공석 에너지 레벨을 점유 할 것입니다.(003-5-1). (Graphyne) having an upper part on which one or more of electrons (one action), one or more magnetic particles, particles having electric charges or particles having electric charges are selected, With one or more bending deformation, one of more bending deformation, one of which is to be selected (2 actions), the former will occupy vacant energy levels in the tunnel (3 actions) on the island, previously.

(003-5-2). 거기서부터 할 수 있습니다. 터널이 드레인 전극 상에 위치(tunnel onto the drain electrode) (4행동). 비탄성적으로 산란 및 드레인 전극의 페르미 레벨 에 도달할 것입니다(5행동).(003-5-2). I can do it from there. The tunnel is located on the drain electrode (4 actions). Will inelastically reach the Fermi level of the scattering and drain electrodes (5 actions).

(003-5-3). 발명의 한 실시예에서, 상기 (5 행동) 달성 후 하나 이상의 Piezo(피에조) 물질이 상부에 구비된 그래파인(Graphyne)을, 그래파인(Graphyne) 상부에 구비된 절연층과 함께 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비(6행동) 할 수 있습니다.(003-5-3). In one embodiment of the invention, Graphyne with at least one Piezo material on top after the above (5 actions) is subjected to at least one bending deformation along with an insulating layer provided on top of Graphyne, , Position movement, or more (6 actions).

(003-6). 섬 전극의 에너지 준위가 균등의 간격으로 이격되어 

Figure pat00060
를 나타냅니다. 이것이 자기 커패시턴스를 
Figure pat00061
를 일으킵니다, 정의는 섬(island) 입니다,(003-6). The energy levels of the island electrodes are spaced at equal intervals
Figure pat00060
. This causes the magnetic capacitance
Figure pat00061
, Justice is an island,

Figure pat00062
Figure pat00062

(003-7). 쿨롱 봉쇄를 달성하기 위해, 세 가지 조건이 충족되어야 할 것입니다 : (003-7). To achieve Coulomb blockade, three conditions must be met:

(003-7-1). 바이어스 전압은 섬의 자기 커패시턴스로 나눈 전하량보다 낮아야 합니다 : 

Figure pat00063
 (003-7-1). The bias voltage should be less than the charge divided by the island's magnetic capacitance:
Figure pat00063

(003-7-2). 소스접촉 열 에너지(thermal energy in the source contact) 더하기 섬에 있는 열에너지(thermal energy in the island), 즉 

Figure pat00064
는 충전 에너지 이하로 해야합니다 
Figure pat00065
그렇지 않으면 전자는 열 자극을 통해 절연층을 통과 할 수있을 것입니다, 그리고 (003-7-2). Thermal energy in the source contact plus the thermal energy in the island,
Figure pat00064
Must be below the charge energy
Figure pat00065
Otherwise, the electrons will be able to pass through the insulating layer through thermal stimulation, and

(003-7-3). 터널링 저항은 

Figure pat00066
보다 커야합니다. 
Figure pat00067
는 하이젠 베르크의 유래되는 불확정성 원리입니다(003-7-3). The tunneling resistance
Figure pat00066
.
Figure pat00067
Is the derived uncertainty principle of Heisenberg

,로 구성되는 상기 설명하는 (001-1) 내지 (003-7-3) 중 하나 이상 선택되는 것을 하나 이상 구비되어 있는 것을 의미하되, (a). 상기 (001-1) 내지 (003-7-3)중 하나 이상 선택되는 것의 설명의 의미, (b). 일반적으로 통용되는 상기 (001-1) 내지 (003-7-3)중 하나 이상 선택되는 것에 대한 의미, (c). 상기 (001-1) 내지 (003-7-3)중 하나 이상 선택되는 것의 이론에 대한 설명, 설명의 전체적 범위, 설명의 부분적 범위, 중 하나 이상 선택되는 것, (d). 상기 (001-1) 내지 (003-7-3)중 하나 이상 선택되는 것의 전체적인 요소, 부분적인 요소, 중 하나 이상 선택되는 것, 로 구성되는 상기 (a) 내지 (d) 중 하나 이상 선택되는 것을 하나 이상 구비한다(001-1) to (003-7-3) described above, which is composed of (a), (b) and (c). The meaning of the description of at least one of (001-1) to (003-7-3) is selected, (b). (001-1) to (003-7-3) which are commonly used, (c). (D) a description of the theory of at least one of (001-1) to (003-7-3) being selected, the overall scope of the description, the partial scope of the description, At least one of (a) to (d) selected from the group consisting of at least one of the whole elements, partial elements, and the like selected from at least one of (001-1) to (003-7-3) One or more

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 일면에서 상세하게 서술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나, 본 발명은 일면에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있다.Advantages and features of the present invention and methods for accomplishing the same will become apparent with reference to the embodiments described in detail in some detail. However, the present invention is not limited to the embodiments disclosed in the above embodiments, but may be embodied in various forms.

본 발명에 특별히 기술된 것보다, 일반적으로 알려진 방법, 알려진 수학식, 알려진 법칙, 알려진 논문, 알려진 설명, 장치, 장치 소자, 재료, 순서 및 기술은 불필요한 실험에 의지하지 않고 넓게 드러나 있는 본 발명의 실시에 적용될 수 있다. 여기서 기술된 방법, 장치, 장치 소자, 재료, 순서 그리고 특히 기술적으로 동일하게 알려진 모든 기술은 본 발명의 실시예에 적용될 수 있다.Known methods, known mathematical formulas, known laws, known papers, known descriptions, devices, device elements, materials, sequences, and techniques, rather than those specifically described herein, will be apparent to those skilled in the art, Can be applied to implementation. All of the techniques, apparatus, devices, materials, sequences, and particularly techniques known in the art, as described herein, may be applied to embodiments of the present invention.

여기서 채용된 용어 및 표현들은 발명의 상세한 설명의 용어로써 사용되나 의미를 제한하는 것은 아니며, 설명되거나 도시된 특징과의 임의의 등가물의 용어나 표현을 제한할 의도는 없다. 다만, 본 발명의 청구된 범위 안에서 다양한 변형들이 가능하다. 그러므로, 본 발명이 몇몇 바람직한 실시예들에 의해 개시되었음에 불구하고 대표적 실시예 및 선택적 특징들, 여기서 개시된 개념의 수정 및 변화가 종래 기술등에 의해 재분류될 수 있다고 이해되어야 하며, 이러한 수정 및 변화들은 첨부된 청구항에 의해 정의된 바와 같이 본 발명의 범위 안에서 고려될 수 있다.The terms and expressions employed herein are used as terms of description of the invention but are not intended to be limiting and are not intended to limit the terms or expressions of any equivalents to the features described or shown. However, various modifications are possible within the scope of the present invention. It is therefore to be understood that, although the present invention has been disclosed by some preferred embodiments, it is to be understood that the exemplary embodiments and optional features, modifications and variations of the concepts disclosed herein may be reclassified by conventional techniques and the like, May be considered within the scope of the invention as defined by the appended claims.

여기서 제공된 특정 실시예는 본 발명의 유용한 실시예의 예시이고, 본 발명이 장치들, 장치 구성요소들, 방법단계들의 많은 변화들을 사용하여 수행되어질 수 있다는 것은 명백하다.It is to be understood that the specific embodiments provided herein are illustrative of useful embodiments of the invention and that the present invention may be practiced using many variations of the devices, device components, method steps.

본 발명의 유용한 실시예는 다양한 선택적 구성 및 절차 구성요소 및 단계들을 포함할 수 있다.Useful embodiments of the invention may include various optional configuration and procedural components and steps.

여기서 치환된 구성요소들이 개시될 때, 그것은 모든 하위 그룹 및 그룹의 모든 개별 멤버들이 각각 개시된 것으로 이해되어야 한다.When substituted components are disclosed herein, it should be understood that all subgroups and all individual members of the group are disclosed herein.

여기서 마쿠쉬 그룹 또는 다른 그룹들이 사용될 때, 상기 그룹의 모든 개별 멤버들 및 모든 조합과 상기 그룹의 가능한 하위 조합은 개시된 범위 안에서 개별적으로 포함된다.When a mask group or other groups are used herein, all individual members and all combinations of the groups and possible sub-combinations of the groups are individually included within the disclosed ranges.

부가적으로, 다른 설명이 필요하지 않은 경우, 본 발명의 한 실시형태에서, 개시된 물질의 변형물은 개시된 바에 의해 망라되는 것으로 의도된다. 예를 들어 하나 이상의 자석은 자석, 자석 원자, 자석 입자, 자석 나노 입자, 자석 화합물, 자석 결합물, 자석 합금, 나노 자석 화합물, 나노 자석 결합물, 나노 자석 합금, 나노 자석 분자, 중 하나 이상 선택되는 것으로 대체될 수 있는 것으로 이해될 수 있다. Additionally, where no other description is required, in one embodiment of the present invention, modifications of the disclosed materials are intended to be encompassed by the disclosure. For example, one or more magnets may be selected from one or more of a magnet, a magnetic atom, a magnetic particle, a magnetic nanoparticle, a magnetic compound, a magnet compound, a magnet alloy, a nanomagnet compound, a nanomagnet compound, a nanomagnet alloy, And the like.

본 발명의 한 실시형태에서, 단수개로 설명된 것은 복수개를 의미할 수 있다. 본 발명의 한 실시형태에서, 자성입자는 하나 이상의 자성입자를 의미할 수 있다. In an embodiment of the present invention, what has been described in the singular may mean plural. In one embodiment of the present invention, the magnetic particles may mean one or more magnetic particles.

여기서 개시되거나 설명된 구성요소의 물질이나 구성요소의 구체적인 명칭은 본 발명이 속하는 기술분야의 일반적 기술을 가진자가 같은 구성요소의 물질이나 구성요소의 구체적인 명칭을 다르게 부를 수도 있는 점에서 임의의 예시로서 불려질 수 있다.The specific names of the materials or components of the components disclosed or described herein are to be understood as arbitrary examples insofar as those of ordinary skill in the art to which the invention pertain may denote specific names of materials or components of the same component Can be called.

여기서 개시되거나 설명된 구성요소의 모든 조합은 달리 언급되지 않더라도 본 발명을 실시하기 위하여 사용되어질 수 있다. 예를 들어, 온도, 시간, 농도, 전압, 전기, 분위기 등과 같은 범위가 상세하게 주어질 때 뿐만 아니라 상기 범위들에 포함된 모든 개별 값들은 개시된 범위에 포함되는 것으로 의도된다.All combinations of the components disclosed or described herein can be used to practice the invention, although not otherwise mentioned. For example, not only when ranges such as temperature, time, concentration, voltage, electricity, atmosphere, etc. are given in detail but all individual values included in the ranges are intended to be included in the disclosed range.

본 발명의 한 실시형태에서, 여기서 개시되거나 설명된 구성요소의 모든 분자구조 또는 합성분자조합 또는 합성물은 달리 언급되지 않더라도 본 발명을 실시하기 위하여 사용되어질 수 있다.In one embodiment of the present invention, all molecular structures or synthetic molecule combinations or compounds of the components disclosed or described herein can be used to practice the present invention, unless otherwise stated.

여기서 개시된 설명에 포함된 설명의 범위, 하위 범위, 포함범위 내의 개별 값들은 여기서 청구된 청구항에는 나타나지 않을 수 있다고 이해되어질 수 있다.It is to be understood that individual values within the scope, sub-scope, and scope of the description included in the description disclosed herein may not appear in the claimed claims herein.

본 발명의 한 실시형태에서, 본 발명의 내용은, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자의 레벨에서 설명되었다. 더하여, 중요한 조합이 청구된 때, 본 발명의 한 실시형태에서, 제공되는 자성입자(예를들어, 자석합성물질)을 포함하며 출원인의 종래 기술에서 활용가능하고 알려진 자성입자의 여러 형태의 합성물질은 여기서 청구된 중요한 조합에 의도되지 않게 포함된 것으로 이해될 수 있다. 또한, 중요한 조합이 청구된 때, 본 발명의 한 실시형태에서, 제공되는 Piezo(피에조) 물질은 출원인의 종래 기술에서 활용가능하고 알려진 Piezo(피에조) 물질의 여러 형태가 여기서 청구된 중요한 조합에 의도되지 않게 포함된 것으로 이해될 수 있다. 또한, 중요한 조합이 청구된 때, 본 발명의 한 실시형태에서, 제공되는 전하를갖는입자 또는 전하를 띠는 입자는 출원인의 종래 기술에서 활용가능하고 알려진 전하를갖는입자 또는 전하를 띠는 입자의 여러 형태가 여기서 청구된 중요한 조합에 의도되지 않게 포함된 것으로 이해될 수 있다.In one embodiment of the present invention, the contents of the present invention have been described at the level of those skilled in the art. In addition, when an important combination is claimed, in one embodiment of the present invention, various types of synthetic materials (e. G., Magnetic composite materials) May be understood to be included in an unintended inclusion of the important combinations claimed herein. Also, when an important combination is claimed, in one embodiment of the present invention, the Piezo material is available in the prior art of the Applicant, The present invention is not limited thereto. Also, when an important combination is claimed, in an embodiment of the present invention, charged particles or charged particles that are provided are particles of known or available charge in the prior art It is to be understood that the various forms are not intended to be encompassed by the important combinations claimed herein.

본 발명의 한 실시형태에서, 범위, 하위 범위, 포함범위로 설명된 본 발명은, 본 발명의 설명의 범위내에서 실현될 수 있다.In one embodiment of the present invention, the present invention, which is described in terms of ranges, subranges, and ranges, can be realized within the scope of the description of the present invention.

본 발명이 속하는 기술분야에서 통상의 지식을 가진자는 본 발명을 실시하기위한 다양한 방법들이 과도한 실험에 기대지 않고도 본 발명의 실시에 채용될 수 있다는 것을 알 수 있을 것이다. 임의의 재료들 및 방법들의 기능적으로 등가인 알려진 모든 기술들은 본 발명의 한 실시형태에서, 포함되어질 수 있다.Those skilled in the art will appreciate that the various ways of practicing the invention may be employed in the practice of the invention without undue experimentation. Any known and functional equivalents of any materials and methods may be included in one embodiment of the present invention.

이상, 본 발명을 상세하게 설명하였으나, 본 발명은 상기 내용에 한정되지 않으며, 여러 가지 하나 이상의 형태로 변형될 수 있으며, 본 발명의 기술적 사상 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러 가지 많은 변형이 가능함이 명백하다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments, but many variations and modifications can be made by one of ordinary skill in the art It is evident that many variations are possible.

또한 적당하게 도식적으로 설명된 본 발명은 임의의 구성요소 또는 구성요소들, 상세하게 개시되지 않은 제한 또는 제한들이 없는 경우에도 실현될 수 있다.Also, the present invention, which is appropriately and diagrammatically illustrated, may be realized without the need of any elements or components, or restrictions or limitations not specifically disclosed.

임의의 재료들 및 방법들의 기능적으로 등가인 알려진 모든 기술들은 본 발명의 실시예에 적용될 수 있다.Any known and functional equivalents of any materials and methods may be applied to embodiments of the present invention.

[참고문헌][references]

(문헌1) Published Online, May 17 2012, Science 1 June 2012:Vol. 336 no. 6085 pp. 1140-1143, DOI: 10.1126/science.1220527, Graphene Barristor, a Triode Device with a Gate-Controlled Schottky Barrier, Heejun Yang, Jinseong Heo, Seongjun Park, Hyun Jae Song, David H. Seo, Kyung-Eun Byun, Philip Kim, InKyeong Yoo, Hyun-Jong Chung, Kinam Kim
(Document 1) Published Online, May 17 2012, Science 1 June 2012: Vol. 336 no. 6085 pp. 1140-1143, DOI: 10.1126 / science.1220527, Graphene Barristor, a Triode Device with a Gate-Controlled Schottky Barrier, Heejun Yang, Jinseong Heo, Seongjun Park, Hyun Jae Song, David H. Seo, Kyung-Eun Byun, Philip Kim, InKyeong Yoo, Hyun-Jong Chung, Kinam Kim

90 : 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)을 의미한다.
100 : 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)을 의미한다.
110 : 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것과 그래파인(Graphyne)을 의미한다.
200 : 하나 이상의 그래파인(Graphyne)을 의미한다.
300 : 그래파인(Graphyne)과 쇼키장벽(Schottky Barrier), Fermi level(페르미레벨), 중 하나 이상 선택되는 것의 높이를 하나 이상 조절할 수 있게 구성되는 물질, 본 발명의 한 실시형태에서 다층상태의 300을 의미할 수 있다. 본 발명의 한 실시형태에서, 300 은 실리콘, 반도체, 중 하나 이상 선택되는 것을 의미할 수 있다. 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것(맨 밑에 하단부에 구비되어 있는)이 그래파인(Graphyne)(변형이 가해져 있는 상부층)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 회로를 의미 할 수 있다. 본 발명의 한 실시형태에서, 하나 이상의 쇼키장벽(Schottky Barrier)의 높이를 하나 이상 조절할 수 있는 것, Fermi level(페르미레벨)의 높이를 하나 이상 조절할 수 있는 것, 중 하나 이상 선택되는 것을 정전기적 준위에 의하여 하나 이상의 그래파인(Graphyne)을 하나 이상 굽힘변형, 위치이동, 중 하나 이상 선택되는 것으로 구비하여 Work funiction(일함수)의 하나 이상의 조절으로 연결되는 회로를 의미 할 수 있다.
500 : 본 발명의 한 실시형태에서, 도면의 구성이 포함되어 있는 주위 환경(예를들어 90, 100, 110, 중 하나 이상 선택되는 것이 포함되는 물질)을 의미한다. 본 발명의 한 실시형태에서, 500 은 실리콘을 의미할 수 있다.
(◆300, 500◆) : 300 또는 500을 의미한다.
600 : 빈공간, 또는 90 또는 100의 통로, 또는 300의 통로(일부)를 의미한다. 본 발명의 한 실시예에서, 상기 빈공간은 진공층, Air층(에어층), 중 선택되는 것을 의미한다. 본 발명의 한 실시예에서, 상기 통로는 하나 이상의 접착층, 액체고분자층, 엘라스토머층, 부도체층, 절연층, 진공층, Air층(에어층), 중 하나 이상 선택되는 층을 의미한다.
610 : 빈공간, 또는 200의 통로, 또는 300의 통로(일부)를 의미한다. 본 발명의 한 실시예에서, 상기 빈공간은 진공층, Air층(에어층), 중 선택되는 것을 의미한다. 본 발명의 한 실시예에서, 상기 통로는 하나 이상의 접착층, 액체고분자층, 엘라스토머층, 부도체층, 절연층, 진공층, Air층(에어층), 중 하나 이상 선택되는 층을 의미한다.
1000 : 하나 이상의 Piezo(피에조) 물질, 자성입자, 전하를갖는입자 또는 전하를 띠는 입자, 중 하나 이상 선택되는 것을 의미한다.
90: refers to one or more of Piezo, a magnetic particle, a charged particle, or a charged particle, and Graphyne.
100: refers to one or more of Piezo material, magnetic particles, charged particles, or charged particles, and Graphyne.
110: refers to one or more of Piezo material, magnetic particle, charged particle, or charged particle, and Graphyne.
200: means one or more graphynes.
300: a material configured to adjust one or more of the height of one or more selected from Graphyne, Schottky Barrier, Fermi level, etc. In one embodiment of the present invention, the multi- . &Lt; / RTI &gt; In one embodiment of the present invention, 300 may mean that at least one of silicon, semiconductor, and the like is selected. In one embodiment of the invention, one or more of the at least one Schottky barrier can be at least one height adjustable, or at least one Fermi level height is adjustable, One or more of the Piezo material, the magnetic particle, the charged particle, or the charged particle is selected (this is located at the bottom of the bottom) and this graphyne (top layer with deformation) An ideal bending deformation, a position movement, or the like, and may be connected to at least one adjustment of the work function. In one embodiment of the invention, one or more of the height of one or more schottky barriers can be adjusted, or one or more of the height of the Fermi level (Fermi level) May refer to a circuit connected to at least one adjustment of the work funiction by having at least one graphyne selected by at least one of bending deformation and position shifting according to the level.
500: In one embodiment of the present invention, it means an environment (for example, a material including at least one selected from 90, 100, 110, or the like) in which the constitution of the drawings is included. In one embodiment of the invention, 500 may mean silicon.
(◆ 300, 500 ◆): means 300 or 500.
600: empty space, or 90 or 100 passages, or 300 passages (some). In one embodiment of the present invention, the empty space means that the vacuum space is selected from a vacuum layer and an air layer (air layer). In one embodiment of the present invention, the passage means a layer selected from at least one of an adhesive layer, a liquid polymer layer, an elastomer layer, an insulating layer, an insulating layer, a vacuum layer, and an air layer (air layer).
610: empty space, or 200 passages, or 300 passages (some). In one embodiment of the present invention, the empty space means that the vacuum space is selected from a vacuum layer and an air layer (air layer). In one embodiment of the present invention, the passage means a layer selected from at least one of an adhesive layer, a liquid polymer layer, an elastomer layer, an insulating layer, an insulating layer, a vacuum layer, and an air layer (air layer).
1000 means that at least one of Piezo (piezoe) material, magnetic particle, charged particle, or charged particle is selected.

Claims (1)

필터방법을 전체적, 부분적 중 선택되는 것으로 구비하는 것; 을
특징으로 하는 그래파인(Graphyne)의 하나 이상의 굽힘변형, 위치이동, 중 하나 이상 선택되는 것을 구비하여 Work function(일함수)을 하나 이상 조절하는 트랜지스터의 제조방법
Having a filter method selected wholly or partially; of
Characterized in that at least one of bending deformation, position shifting of the graphyne is selected so as to control at least one of the work function
KR1020150095645A 2015-07-06 2015-07-06 Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors KR20150134295A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020150095645A KR20150134295A (en) 2015-07-06 2015-07-06 Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150095645A KR20150134295A (en) 2015-07-06 2015-07-06 Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020140061222A Division KR20150134188A (en) 2014-05-21 2014-05-21 Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors

Publications (1)

Publication Number Publication Date
KR20150134295A true KR20150134295A (en) 2015-12-01

Family

ID=54882743

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150095645A KR20150134295A (en) 2015-07-06 2015-07-06 Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors

Country Status (1)

Country Link
KR (1) KR20150134295A (en)

Similar Documents

Publication Publication Date Title
KR20150134188A (en) Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors
KR20150140516A (en) one or more bending deformation of graphene, position movement of graphene, that one or more selected from one or more controlling the work function of the transistor
KR20160014742A (en) Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors
KR20150134295A (en) Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors
KR20150134296A (en) Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors
KR20150134294A (en) Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors
KR102251916B1 (en) Transistor including selection of at least one from at least one bending deformation of graphene or position shift to control at least one work function
KR20160001047A (en) one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor
KR20170006626A (en) having one or more bending deformation of Graphyne that electric On/Off to control of the transistor and Graphyne single electron transistor and electron tunneling Graphyne transistor
KR20160019353A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160109539A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160009094A (en) one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor
KR20160009093A (en) one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor
KR20160009095A (en) one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor
KR20160083768A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160079213A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160109540A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160109538A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160019351A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160019350A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160019352A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160084775A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160127355A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160127353A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160127354A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor

Legal Events

Date Code Title Description
A107 Divisional application of patent
WITN Withdrawal due to no request for examination