KR20150087327A - Pattern shape evaluation method, semiconductor device manufacturing method, and pattern shape evaluation device - Google Patents

Pattern shape evaluation method, semiconductor device manufacturing method, and pattern shape evaluation device Download PDF

Info

Publication number
KR20150087327A
KR20150087327A KR1020157016060A KR20157016060A KR20150087327A KR 20150087327 A KR20150087327 A KR 20150087327A KR 1020157016060 A KR1020157016060 A KR 1020157016060A KR 20157016060 A KR20157016060 A KR 20157016060A KR 20150087327 A KR20150087327 A KR 20150087327A
Authority
KR
South Korea
Prior art keywords
obtaining
substrate
pattern
inclination angle
edge
Prior art date
Application number
KR1020157016060A
Other languages
Korean (ko)
Other versions
KR101727950B1 (en
Inventor
히로시 후쿠다
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Publication of KR20150087327A publication Critical patent/KR20150087327A/en
Application granted granted Critical
Publication of KR101727950B1 publication Critical patent/KR101727950B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/285Emission microscopes, e.g. field-emission microscopes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/261Details
    • H01J37/265Controlling the tube; circuit arrangements adapted to a particular application not otherwise provided, e.g. bright-field-dark-field illumination
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data
    • H01J2237/221Image processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24578Spatial variables, e.g. position, distance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24592Inspection and quality control of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

웨이퍼의 상방으로부터 관찰한 회로 패턴의 평면상만으로부터, 회로 패턴의 단면 형상 또는 3차원 형상을 추정하고 평가한다. 본 발명은, 입체적인 구조체가 상면에 형성된 기판의 주면에 대략 수직한 방향으로부터 수속 에너지선을 조사함과 함께 상기 기판의 상면을 주사시키고, 상기 기판 및 상기 구조체로부터 발생한 2차 에너지선 또는 상기 기판 및 상기 구조체에 의해 반사 또는 산란된 에너지선의 강도를 검출 및/또는 측정하고, 상기 구조체의 상면 관찰상을 취득하는 처리와, 상기 상면 관찰상에 있어서의 수속 에너지선의 조사 위치와 측정된 상기 강도로부터 상기 구조체의 표면의 요철 형상에 의한 산란 강도의 불확정성 정보를 구하는 처리와, 구한 상기 불확정성 정보에 의거하여 상기 구조체의 표면의 경사 각도θ를 구하는 처리와, 구한 경사 각도θ에 의거하여 상기 구조체의 입체 형상을 추정하는 처리를 갖는다.The cross-sectional shape or the three-dimensional shape of the circuit pattern is estimated and evaluated only from the plane of the circuit pattern observed from above the wafer. The present invention relates to a method for manufacturing a semiconductor device, which irradiates a convergent energy line from a direction substantially perpendicular to a main surface of a substrate on which a three-dimensional structure is formed on an upper surface, scans an upper surface of the substrate, A process of detecting and / or measuring the intensity of an energy ray reflected or scattered by the structure and obtaining an image of the top view of the structure; A process of obtaining uncertainty information on the scattering intensity due to the concavo-convex shape of the surface of the structure, a process of obtaining the inclination angle? Of the surface of the structure on the basis of the obtained uncertainty information, .

Description

패턴 형상 평가 방법, 반도체 장치의 제조 방법 및 패턴 형상 평가 장치{PATTERN SHAPE EVALUATION METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND PATTERN SHAPE EVALUATION DEVICE}TECHNICAL FIELD [0001] The present invention relates to a pattern shape evaluation method, a semiconductor device manufacturing method, and a pattern shape evaluation apparatus. BACKGROUND OF THE INVENTION 1. Field of the Invention [0002]

본 발명은, 예를 들면 주사형 현미경을 사용한 비파괴 관측 및 화상 처리에 의한 상세한 형상 평가 방법, 그를 위한 장치, 및 당해 기술을 채용하는 반도체 장치의 제조 방법에 관한 것이다.The present invention relates to, for example, a detailed shape evaluation method by non-destructive observation and image processing using a scanning microscope, an apparatus therefor, and a method of manufacturing a semiconductor device employing the technique.

반도체 집적회로(LSI)는, 회로 패턴의 미세화에 따른 고성능화·고집적화가 진행되고 있다. 현재, 최(最)선단 LSI의 최소 회로 패턴의 선폭은 30㎚(나노미터) 이하이며, LSI의 성능을 확보하기 위해서는, 이들 회로 치수를 엄밀하게(예를 들면 치수의 편차 변동의 허용값이 설계값의 10% 이하가 되는 정밀도로) 관리할 필요가 있다. 상기 회로 치수의 측정에는 현재 널리 주사형 전자 현미경(SEM)이 사용되고 있다. 비특허문헌 1에는, 웨이퍼를 상면으로부터 관찰하는 회로 치수 계측 전용의 전계 방출형 전자 현미경(CD-SEM: Critical Dimension SEM)에 대해서 기재되어 있다.2. Description of the Related Art Semiconductor integrated circuits (LSIs) are becoming more sophisticated and highly integrated as circuit patterns become finer. At present, the line width of the minimum circuit pattern of the LSI endmost is 30 nm (nanometer) or less. In order to secure the performance of the LSI, it is necessary to strictly set these circuit dimensions (for example, With a precision of less than 10% of the design value). Currently, a scanning electron microscope (SEM) is widely used to measure the circuit dimensions. Non-Patent Document 1 describes a field emission type electron microscope (CD-SEM: Critical Dimension SEM) for circuit dimension measurement for observing a wafer from above.

상기 CD-SEM은, 반도체 회로의 선폭 측정 외에, 다양한 특징량을 계측하기 위해서 사용되고 있다. 예를 들면, 상기 회로 패턴의 에지에는 라인 에지 러프니스(line edge roughness; LER)라고 불리는 요철이 존재하고, 회로 성능에 악영향을 끼치는 것이 알려져 있다. CD-SEM은 상기 LER의 계측에 널리 사용되고 있고, 예를 들면 특허문헌 1에는 그 측정 방법이 기재되어 있다.The CD-SEM is used for measuring various characteristic quantities in addition to line width measurement of a semiconductor circuit. For example, it is known that the edge of the circuit pattern has unevenness called line edge roughness (LER), which adversely affects circuit performance. The CD-SEM is widely used for the measurement of the LER. For example, Patent Literature 1 describes a measurement method thereof.

한편, 웨이퍼 위에 적층·패터닝에 의해 형성된 소자 구조의 입체적인 형상을 알고 싶다는 요구가 있다. 특히, LSI의 양산 공정에서는, 단면 관찰에 의하지 않고 비파괴로 이를 행하는 것이 바람직하지만, 이를 위한 방법으로서, 일반적으로 AFM 또는 광학적 방법(Scatterometry)이 사용되고 있다.On the other hand, there is a demand for knowing the three-dimensional shape of the element structure formed by lamination and patterning on the wafer. Particularly, in the LSI mass production process, it is desirable to do this non-destructively without observing the cross section. However, AFM or optical method (Scatterometry) is generally used as a method for this.

AFM은, 미세한 선단을 갖는 탐침에 의해, 탐침 선단과 시료 표면 사이의 원자간 힘이 일정해지도록 주사함으로써, 시료 표면의 요철 형상을 계측하는 방법이다. 그 상세한 것은, 예를 들면, 특허문헌 2에 기재되어 있다.The AFM is a method of measuring the shape of the concavo-convexity of the surface of a sample by scanning the probe with a fine tip so that the interatomic force between the tip of the probe and the surface of the sample becomes constant. The details thereof are described, for example, in Patent Document 2.

Scatterometry는, 주기적 입체 구조를 갖는 패턴에 광을 입사해서 그 반사 회절광의 파장 또는 회절각 의존성을 측정하고, 이를 미리 다양한 단면 형상에 대하여 계산에 의해 구한 회절각 의존성과 비교함으로써 입체 구조의 단면 형상을 추정한다. Scatterometry에 대해서는, 예를 들면 비특허문헌 2에 기재되어 있다.Scatterometry is a method of measuring the cross-sectional shape of a three-dimensional structure by measuring the wavelength or diffraction angle dependence of the reflection diffracted light by inputting light into a pattern having a periodic three-dimensional structure and comparing it with diffraction angle dependence obtained by calculation for various cross- . Scatterometry is described in Non-Patent Document 2, for example.

Scatterometry와 유사한 방법이며, SEM을 이용하여 단면 형상을 추정하는 방법으로, MBL(model-based library)법이 있다. MBL법은, 시료에 수속(收束) 전자빔을 주사해서 얻어지는 2차 전자 검출 신호 강도 분포와, 미리 다양한 단면 형상에 대하여 계산에 의해 구한 2차 전자 신호 강도 분포와의 비교에 의해, 입체 구조의 단면 형상을 추정한다. MBL에 대해서는, 예를 들면 특허문헌 3 또는 비특허문헌 3에 기재되어 있다.Similar to Scatterometry, there is a model-based library (MBL) method to estimate the cross-sectional shape using SEM. In the MBL method, by comparing the secondary electron detection signal intensity distribution obtained by scanning a converged electron beam to a sample and the secondary electron signal intensity distribution obtained by calculation for various sectional shapes in advance, Estimate the cross-sectional shape. The MBL is described in, for example, Patent Document 3 or Non-Patent Document 3.

또한, SEM을 이용하여 입체 구조를 측정하는 방법으로서, tilt-SEM이 있다. 이 방법은 웨이퍼에 대하여 서로 다른 각도로부터 전자선을 입사해서 얻어진 복수의 상(像)으로부터 스테레오 화상의 원리에 의해 3차원 형상을 추측한다. tilt-SEM에 대해서는, 예를 들면 특허문헌 4에 기재되어 있다.As a method of measuring the three-dimensional structure using SEM, there is tilt-SEM. This method assumes a three-dimensional shape based on the principle of a stereoscopic image from a plurality of images obtained by incidence of an electron beam from different angles with respect to a wafer. The tilt-SEM is described in, for example, Patent Document 4.

일본국 특개2006-215020호 공보Japanese Patent Application Laid-Open No. 2006-215020 일본국 특개2009-257937호 공보Japanese Patent Application Laid-Open No. 2009-257937 일본국 특개2007-227618호 공보Japanese Patent Application Laid-Open No. 2007-227618 일본국 특개2005-183369호 공보Japanese Patent Application Laid-Open No. 2005-183369

Hitachi Review vol. 60 (2011), No. 5 pp. 203-209Hitachi Review vol. 60 (2011), No. 5 pp. 203-209 Solid State Technology, Vol. 54, Issue 8, (2011)Solid State Technology, Vol. 54, Issue 8, (2011) Proceedings of SPIE Vol. 5375 (SPIE, Bellingham, WA, 2004) Dimensional Metrology of Resist Lines using a SEM Model-Based Library ApproachProceedings of SPIE Vol. 5375 (SPIE, Bellingham, WA, 2004) Dimensional Metrology of Resist Lines using a SEM Model-Based Library Approach

반도체 집적회로(LSI)의 제조 공정에서는, 회로 패턴 또는 이를 형성하기 위한 레지스트 패턴 등의 단면 형상, 또는 3차원 형상을 소정의 설계 범위 내로 관리하는 것이 중요하다. 이들 형상이 설계값 대로 되도록 제조 조건을 설정하거나, 형상이 열화된 경우에는, 신속하게 이를 검지하고, 제조 프로세스에 피드백함으로써 품질 열화를 억제할 필요가 있다.In the process of manufacturing a semiconductor integrated circuit (LSI), it is important to manage a circuit pattern or a cross-sectional shape such as a resist pattern for forming the circuit pattern, or a three-dimensional shape within a predetermined design range. It is necessary to set the manufacturing conditions such that these shapes conform to the design values or, when the shape deteriorates, to quickly detect these shapes and feed back to the manufacturing process to suppress quality deterioration.

그러나, 현재까지, 임의 형상의 미세한 회로 패턴의 단면 형상 또는 3차원 형상을, 비파괴, 고(高)정밀도이며 또한 간편하게 계측할 수 있는 방법이 존재하지 않는다는 기술 과제가 있었다. 예를 들면 CD-SEM은, 임의 형상의 미세한 회로 패턴의 치수를 비파괴, 고정밀도이며 또한 간편하게 계측할 수 있지만, 웨이퍼의 상방으로부터 회로의 평면 형상을 관찰하기 때문에, 단면 형상의 추정이 곤란하다는 기술 과제를 갖고 있다.However, up to now, there has been a technical problem that there is no method for easily measuring the sectional shape or three-dimensional shape of a fine circuit pattern of arbitrary shape with non-destructive, high accuracy. For example, the CD-SEM can measure the dimensions of fine circuit patterns of arbitrary shapes in a non-destructive, high-precision, and simple manner. However, since the planar shape of the circuit is observed from above the wafer, I have an assignment.

그래서 일반적으로는, 웨이퍼를 파괴해서 회로 패턴의 단면을 노출시켜, 그 단면 형상을 전자 현미경으로 관찰하는 방법이 이용된다. 그런데, 제품 웨이퍼에 대하여, 이 방법을 적용하는 것은 어렵다. 이와 같이, 종래, CD-SEM을 이용한 단면 형상의 추정은 곤란하다고 생각되고 있어, 전술한 바와 같은 각종 방법이 시도되어 왔다.In general, therefore, a method is used in which a wafer is broken to expose an end face of a circuit pattern and the cross-sectional shape is observed with an electron microscope. However, it is difficult to apply this method to product wafers. Thus, conventionally, it has been considered difficult to estimate the cross-sectional shape using the CD-SEM, and various methods as described above have been attempted.

그러나, 그 어느 방법에 있어서도, 이하와 같은 기술상의 과제가 있다.However, in any of these methods, there are technical problems as follows.

예를 들면 AFM에 의한 방법에서는, 회로 패턴의 치수가 축소됨에 따라, 탐침이 패턴 간에 들어갈 수 없어, 형상 측정이 곤란해진다는 과제가 있다.For example, in the method using AFM, there is a problem that it becomes difficult to measure the shape because the size of the circuit pattern is reduced, the probe can not enter between the patterns.

또한, scatterometry 또는 MBL에 의한 방법에서는, 다양한 단면 형상에 대하여 예상되는 측정 결과를 미리 계산에 의해 구해 라이브러리로서 준비할 필요가 있다. 그러나, 이에는 방대한 계산을 요하며, 적용 가능한 형상이 사다리꼴 등의 비교적 단순 형상으로 한정된다는 과제가 있다. 특히, scatterometry에 의한 방법에서는, 피계측 패턴이, 수십 미크론 각(角)의 넓은 영역 내에 일정하게 존재하는 주기 패턴에 한정되기 때문에, 논리 LSI의 회로와 같은 불규칙적인 패턴의 단면 형상을 추정하는 것이 곤란하다. 또한, 이 방법은, 계측 패턴을 위해 전용의 넓은 영역을 확보할 필요가 있다는 과제가 있다.Further, in the method using scatterometry or MBL, it is necessary to prepare the library as a library by previously calculating the expected measurement results for various sectional shapes. However, this requires a large amount of calculation, and there is a problem that the applicable shape is limited to a relatively simple shape such as a trapezoid. Particularly, in the method based on scatterometry, since the measurement pattern is limited to a periodic pattern that is constantly present within a wide region of several tens of microns (angles), it is difficult to estimate the cross-sectional shape of an irregular pattern such as a circuit of a logic LSI It is difficult. Further, this method has a problem that it is necessary to secure a wide area dedicated for the measurement pattern.

또한, tilt-SEM을 이용하는 방법에서는, 수속 전자선의 입사각을 변화시키기 위한 특수한 전자 광학계가 필요해지고, 일반적으로, 분해능 등의 성능이 열화되는 등의 과제가 있다. 또한, 스테이지를 기울이기 위해서 장치가 대형화한다는 과제, 계측에 시간을 요한다는 과제 등도 존재한다.In addition, in the method using tilt-SEM, a special electro-optical system for changing the angle of incidence of the convergent electron beam is required, and in general, the performance such as resolution deteriorates. Further, there is also a problem that the apparatus is made larger in order to tilt the stage, a problem that it takes time to measure, and the like.

그래서, 본 발명은, 하전 입자선 장치를 이용하여 취득한 기판의 상면으로부터의 관찰상만을 이용하면서, 기판의 상면에 형성된 임의의 구조체의 단면 형상을 비파괴이며 또한 고정밀도로 추정할 수 있는 기술을 제공한다.Therefore, the present invention provides a technique capable of estimating the cross-sectional shape of an arbitrary structure formed on the upper surface of the substrate to nondestructive and highly accurate, while using only the observation image from the upper surface of the substrate obtained using the charged particle beam device .

상기 과제를 해결하기 위해서, 예를 들면 특허청구범위에 기재된 구성을 채용한다. 일례로서의 발명은, (a) 입체적인 구조체가 상면에 형성된 기판의 주면(主面)에 대략 수직한 방향으로부터 수속 에너지선을 조사함과 함께 상기 기판의 상면을 주사시키고, 상기 기판 및 상기 구조체로부터 발생한 2차 에너지선 또는 상기 기판 및 상기 구조체에 의해 반사 또는 산란된 에너지선의 강도를 검출 및/또는 측정하고, 상기 구조체의 상면 관찰상을 취득하는 처리와, (b) 상기 상면 관찰상에 있어서의 수속 에너지선 조사 위치와 측정된 상기 강도로부터 상기 구조체의 표면의 요철 형상에 의한 산란 강도의 불확정성(uncertainty) 정보를 구하는 처리와, (c) 구한 상기 불확정성 정보에 의거하여 상기 구조체의 표면의 경사 각도θ를 구하는 처리와, (d) 구한 경사 각도θ에 의거하여 상기 구조체의 입체 형상을 추정하는 처리를 갖는다.In order to solve the above problems, for example, the configuration described in claims is adopted. (A) irradiating a convergent energy beam from a direction substantially perpendicular to a main surface of a substrate on which a three-dimensional structure is formed on an upper surface, scanning the upper surface of the substrate, and A process of detecting and / or measuring the intensity of an energy ray reflected or scattered by the secondary energy ray or the substrate and the structure and obtaining an image of the top view of the structure, and (b) (C) obtaining uncertainty information of scattering intensity due to the concavo-convex shape of the surface of the structure from the energy ray irradiation position and the measured intensity; and (c) calculating an inclination angle? Of the surface of the structure based on the obtained uncertainty information. And (d) estimating the three-dimensional shape of the structure based on the obtained inclination angle?.

본 발명에 따르면, 기판의 상면 관찰상만으로부터, 기판의 상면에 형성된 구조체의 단면 형상 또는 3차원 형상을 추정하고 평가할 수 있다. 전술한 것 이외의 과제, 구성 및 효과는 이하의 실시형태의 설명에 의해 밝혀진다.According to the present invention, it is possible to estimate and evaluate the sectional shape or the three-dimensional shape of the structure formed on the upper surface of the substrate only from the upper surface observation side of the substrate. The problems, constitutions and effects other than those described above are revealed by the following description of the embodiments.

도 1은 전자 현미경의 관찰상 형성 과정을 설명하는 개념도.
도 2는 피관찰 구조체의 특징을 나타낸 개념도.
도 3은 본 발명의 원리를 설명하기 위한 개념도.
도 4는 제1 실시형태를 설명하기 위한 시료의 단면 형상의 특징을 나타낸 모식도.
도 5는 도 4에 나타낸 각 시료에 대한 계측 결과를 모식적으로 나타낸 특성도.
도 6은 도 4에 나타낸 각 시료에 대한 계측 결과를 모식적으로 나타낸 다른 특성도.
도 7은 화상 노이즈의 영향을 나타낸 특성도.
도 8은 도 6에 나타낸 계측 결과에 대한 해석 결과를 나타낸 특성도.
도 9는 구조체 표면의 경사 각도와 에지 검출점 변동폭의 관계를 나타낸 원리도.
도 10은 구조체 표면의 경사 각도와 에지 검출점 변동폭의 관계를 구하는 다른 모델을 설명하기 위한 원리도.
도 11은 구조체 측면의 변동이 측면으로부터 비교적 떨어진 점에 입사한 전자선에 대한 검출 신호 강도에 미치는 영향을 나타낸 모식도.
도 12는 도 6에 나타낸 계측 결과에 대한 해석 결과를 나타낸 다른 특성도.
도 13은 도 4에 나타낸 각 시료에 대한 단면 형상의 추정 결과를 나타내기 위한 특성도.
도 14는 제1 실시형태에 있어서의 처리 순서를 설명하는 플로차트.
도 15는 제1 실시예에서 이용하는 장치의 구성예를 나타낸 모식도.
도 16은 제2 실시예에 따른 3차원 형상 추정 방법의 모식도.
도 17은 제3 실시예에 따른 프로세스 모니터의 모식도.
도 18은 피관찰 구조체의 특징예를 나타낸 다른 개념도.
도 19는 제2 실시형태에 있어서의 처리 순서를 설명하는 플로차트.
도 20은 제2 실시형태에 따른 해석 결과를 설명하기 위한 특성도.
도 21은 제5 실시예를 설명하기 위한 개념도.
도 22는 제5 실시예를 설명하기 위한 개념도.
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a conceptual diagram illustrating an electron microscope observation process. FIG.
2 is a conceptual view showing a feature of an observed structure.
3 is a conceptual diagram for explaining the principle of the present invention;
4 is a schematic view showing the characteristics of a cross-sectional shape of a sample for explaining the first embodiment;
Fig. 5 is a characteristic diagram schematically showing measurement results of each sample shown in Fig. 4; Fig.
Fig. 6 is another characteristic diagram schematically showing measurement results for each sample shown in Fig. 4; Fig.
7 is a characteristic diagram showing the influence of image noise.
8 is a characteristic diagram showing an analysis result of the measurement result shown in Fig.
9 is a principle view showing the relationship between the inclination angle of the structure surface and the fluctuation width of the edge detection point.
10 is a principle view for explaining another model for obtaining the relationship between the inclination angle of the structure surface and the fluctuation width of the edge detection point.
11 is a schematic diagram showing the influence of the variation of the side surface of the structure on the detection signal intensity for an electron beam incident on a point relatively far from the side.
12 is another characteristic diagram showing an analysis result of the measurement result shown in Fig.
Fig. 13 is a characteristic diagram showing the result of estimation of the cross-sectional shape of each sample shown in Fig. 4; Fig.
FIG. 14 is a flowchart for explaining a processing procedure in the first embodiment. FIG.
15 is a schematic diagram showing a configuration example of an apparatus used in the first embodiment;
16 is a schematic diagram of a three-dimensional shape estimation method according to the second embodiment;
17 is a schematic diagram of a process monitor according to the third embodiment.
18 is another conceptual diagram showing a feature example of the observed structure.
FIG. 19 is a flowchart for explaining a processing procedure in the second embodiment. FIG.
20 is a characteristic diagram for explaining analysis results according to the second embodiment;
FIG. 21 is a conceptual diagram for explaining the fifth embodiment. FIG.
22 is a conceptual diagram for explaining a fifth embodiment;

이하, 도면에 의거하여, 본 발명의 실시형태를 설명한다. 또, 본 발명의 실시 태양은, 후술하는 형태 예로 한정되는 것이 아니라, 그 기술 사상의 범위에 있어서, 다양한 변형이 가능하다. 예를 들면 이하의 설명에서는 전자 현미경을 이용하여 시료를 관찰할 경우에 대해서 설명하지만, 집속(集束) 이온빔 장치 이외의 하전 입자선 장치를 이용하여 시료를 관찰할 경우나 가공할 경우에 대해서도 마찬가지로 적용할 수 있다.Hereinafter, embodiments of the present invention will be described with reference to the drawings. The embodiment of the present invention is not limited to the following embodiment, but various modifications are possible within the scope of the technical idea. For example, in the following description, a case of observing a sample using an electron microscope is described. However, the case of observing a sample using a charged particle beam apparatus other than a focusing (focused) ion beam apparatus, can do.

(3차원 형상 관찰상에 있어서의 에지 변동 요인과 그 성분 분해)(Edge Variation Factors and Component Decomposition on Three-Dimensional Shape Observation)

우선, 본 발명에서 이용하는 전자 현미경에 의한 관찰상의 형성 과정을, 도 1을 이용하여 설명한다. 단순화를 위해, 기판 면 위에 형성된 대략 입방체 형상의 구조체(예를 들면 반도체나 레지스트 패턴 등)를 생각한다. 기판 면을 x-y평면, 구조체의 에지가 연장되는 방향(에지 방향)을 y방향으로 한다. 상기 구조체의 특징 치수보다 충분히 가늘게 수속된 전자선을, 상기 기판 면과 대략 수직한 방향(z방향)으로부터 기판 위에 조사함과 함께, 기판 위를 상기 에지 방향과 대략 수직한 방향(x방향)으로 주사한다. 상기 기판 또는 구조체에 입사된 전자는, 기판 또는 구조체 내부에서 산란을 받아서 2차 전자를 방출하거나, 또는 직접 반사(또는 후방 산란)되어, 그 일부를 기판 또는 구조체의 외부로 방출한다. 상기 2차 전자 또는 반사 전자(이하, 「2차 전자 등」이라고 함)의 방출량은, 전자선이 볼록 형상의 볼록부(또는 모서리의 상부)에 입사한 경우에 증대한다.First, a process of forming an observation image by an electron microscope used in the present invention will be described with reference to Fig. For the sake of simplicity, a substantially cubic structure (for example, a semiconductor or a resist pattern) formed on the substrate surface is considered. Let the substrate surface be the x-y plane, and the direction in which the edge of the structure extends (the edge direction) is the y direction. Irradiating the substrate with an electron beam converged sufficiently narrower than the characteristic dimension of the structure on the substrate in a direction (z direction) substantially perpendicular to the substrate surface, and scanning the substrate on the substrate in a direction (x direction) substantially perpendicular to the edge direction do. The electrons incident on the substrate or the structure are scattered in the substrate or the structure to emit secondary electrons or are directly reflected (or backscattered) and emit a part thereof to the outside of the substrate or the structure. The emission amount of the secondary electron or the reflection electron (hereinafter referred to as " secondary electron or the like ") increases when the electron beam enters the convex portion (or the upper portion of the corner) of the convex shape.

그래서, 전자선의 주사 중에 방출된 상기 2차 전자 등을 검출기에 의해 검출하여, 그 검출 강도를 주사 방향에 있어서의 전자선의 조사 위치x에 대하여 플롯하면, 도 1의 하단에 나타내는 바와 같은 2차 전자 등의 검출 신호 강도 분포가 얻어진다. 일반적으로, 검출 신호 강도 분포를 검출 신호의 최대값으로 규격화했을 때의 소정의 임계값 레벨에서 잘랐을 때의 위치, 또는 검출 신호 강도 분포의 경사가 최대로 되는 위치 등에 의거하여 패턴 및 비패턴의 경계를 결정한다. 2개의 패턴 경계 위치 간의 거리로부터 패턴 치수가 계측된다. 또한, 주사를 에지 방향(y방향)과 다른 위치에서 행하고, 구한 패턴/비패턴 경계를 이음으로써 패턴의 에지 형상이 얻어진다. 통상, 이렇게 해서 얻어진 에지 형상은, 에지 방향을 따라 요철 형상을 나타낸다. 이 요철의 크기를 라인 에지 러프니스라고 부른다. 본 발명자는, 상기 러프니스의 원인을 고찰함으로써, 패턴 에지에 평행한 방향 및 수직한 방향을 포함하는 평면(이하,「기판 면」이라고 함) 내의 검출 신호 강도 분포로부터, 상기 기판 면에 수직한 방향의 패턴의 입체 형상을 추정하는 방법을 고안했다.Thus, when the secondary electrons or the like emitted during the scanning of the electron beam are detected by the detector and the detected intensity is plotted against the irradiation position x of the electron beam in the scanning direction, the secondary electron And the like are obtained. Generally, when the detection signal intensity distribution is normalized to the maximum value of the detection signal and the position where the detection signal intensity distribution is cut at a predetermined threshold level or the position where the inclination of the detection signal intensity distribution becomes maximum, . The pattern dimension is measured from the distance between the two pattern boundary positions. Further, the scanning is performed at a position different from the edge direction (y direction), and the edge shape of the pattern is obtained by connecting the obtained pattern / non-pattern boundary. Usually, the edge shape thus obtained exhibits a concavo-convex shape along the edge direction. The size of this irregularity is called line edge roughness. The inventors of the present invention have studied the cause of the roughness, and have found that from the detected signal intensity distribution in a plane (hereinafter referred to as " substrate surface ") including a direction parallel to the pattern edge and a direction perpendicular to the pattern edge, We have devised a method for estimating the three-dimensional shape of a pattern in a direction.

상술한 라인 에지 러프니스는, 일반적으로, 도 2의 상단도(a)에 나타나는 바와 같은 구조체의 에지 위치의 편차에 기인하는 것으로 생각되고 있다. 실제의 구조체는 입방체가 아니고, 그 측벽은 도 2의 상단도(a)에 나타나는 바와 같이 경사져 있거나, 또는 도 2의 중단도(b)에 나타내는 바와 같이 표면에 요철(표면 러프니스)을 갖는다. 검출 신호 강도 분포는, 경사각의 변화나 표면의 요철의 영향을 받는다고 생각된다.The above-described line edge roughness is generally considered to be due to the deviation of the edge position of the structure as shown in the top view (a) of Fig. The actual structure is not a cube, and its side wall is inclined as shown in the upper view (a) of Fig. 2, or has irregularities (surface roughness) on the surface as shown in the interrupted view (b) of Fig. It is considered that the detected signal intensity distribution is affected by the change of the inclination angle and the unevenness of the surface.

y방향에 대해서 일정한 샘플링 간격으로 규정된 개시점으로부터 x방향으로 전자선을 주사해서 2차 전자 검출 신호 강도를 계측하면, 도 2의 하단도(c)에 나타내는 바와 같은 2차 전자 검출 신호 강도의 2차원 분포가 얻어진다. 여기에서, 2차 전자 검출 신호 강도의 2차원 분포는, (1) 구조체의 입체 형상 및 재료 특성에 기인하는 강도 변화, (2) 에지 방향을 따른 구조체의 x방향 위치 변동에 따른 강도 분포의 변동, (3) 구조체 표면의 요철에 기인하는 강도 분포의 변동의 3가지 요인의 영향을 받는다고 생각된다.When the secondary electron detection signal intensity is measured by scanning an electron beam in the x direction from a starting point defined at a constant sampling interval with respect to the y direction, the secondary electron detection signal intensity of 2 Dimensional distribution is obtained. Here, the two-dimensional distribution of the secondary electron detection signal intensity is as follows: (1) intensity variation due to the three-dimensional shape and material characteristics of the structure, (2) variation in intensity distribution due to the x- , And (3) fluctuation of the intensity distribution due to the unevenness of the surface of the structure.

그래서, 이들 3가지 요인을 분해해서 생각한다. 우선, y좌표를 고정하여, xz평면에서 패턴을 절단했을 때의 단면 형상과, 당해 형상에 대응하는 1차원 신호강도 분포에 대해서 생각한다. 이 경우, 도 2의 중단도(b)의 구조체는, 도 3의 상단도(a)의 좌측에 모식적으로 나타내는 것과 같은 매크로(macro)한 패턴 구조의 표면에, 도 3의 중단도(b)의 좌측에 모식적으로 나타내는 것과 같은 마이크로(micro)한 표면의 요철 구조가 겹친 형상을 갖는 것으로 생각된다. 도 3의 하단도(c)의 좌측에 매크로 패턴과 마이크로 패턴이 중첩된 이미지를 나타낸다.So, I think about these three factors. First, the y-coordinate is fixed, and the cross-sectional shape when the pattern is cut in the xz plane and the one-dimensional signal intensity distribution corresponding to the shape are considered. In this case, the structure shown in the interrupted diagram (b) of Fig. 2 is a diagram showing the state of the macro structure shown in the left side of Fig. 3 (a) The concavo-convex structure of a micro-surface as shown schematically on the left side of FIG. 3 shows an image in which a macro pattern and a micro pattern are superimposed on the left side of the lower portion (c) of Fig.

전술한 바와 같이, 2차 전자 방출량은, 전자선이 볼록부에 입사하면 증대하고, 반대로 전자선이 오목부에 입사하면 감소된다. 따라서, 패턴 전체의 매크로한 구조를 보면, 패턴의 상면 모서리부는 볼록 형상이므로, 상면 모서리부를 향하여 신호 강도가 증대한다(도 3의 상단도(a)의 우측). 한편, 마이크로한 구조를 보면, 패턴 표면에 존재하는 요철의 볼록부에 입사했을 때, 신호 강도는 증대한다(도 3의 중단도(b)의 우측). 따라서, 이에 대응해서 2차 전자 신호 강도 분포는, 매크로한 패턴 구조에 대응한 큰 피크 형상 분포(도 3의 상단도(a)의 우측)에, 표면 요철 구조에 대응한 미세한 신호 변동(도 3의 중단도(b)의 우측)이 중첩한 분포(도 3의 하단도(c)의 우측)로 된다. 다만, 구조체의 측면에서는 요철에 대한 전자선의 입사 각도가 변화하기 때문에, 요철에 의한 신호 변동도 변화되는 것에 유의한다.As described above, the secondary electron emission amount increases as the electron beam enters the convex portion, and conversely, decreases as the electron beam enters the concave portion. Therefore, in the macroscopic structure of the entire pattern, since the upper surface corner portion of the pattern is convex, the signal intensity increases toward the upper surface corner portion (the right side of the top view (a) of FIG. 3). On the other hand, in the case of the micro structure, the signal intensity is increased when it is incident on the protrusions of the irregularities existing on the pattern surface (the right side of the interrupted view (b) of FIG. 3). Accordingly, the secondary electron signal intensity distribution correspondingly corresponds to a large peak distribution corresponding to the macro pattern structure (the right side of the top view (a) in Fig. 3), a fine signal variation corresponding to the surface rugged structure (The right side of the bottom view (c) of Fig. 3) overlapped with each other. Note, however, that the angle of incidence of the electron beam with respect to the unevenness changes on the side surface of the structure, so that the signal variation due to the unevenness also changes.

여기서, y방향의 임의의 위치로부터 x방향에 대한 2차 전자 신호 강도의 분포를 생각한다. 상기 표면 요철은 랜덤으로 발생한다고 생각되므로, 대응하는 신호 강도 분포도 도 3의 하단도(c)의 우측에 있어서의 실선 내지 일점 쇄선과 같이 불확실성을 갖고 편차가 있다. 측장(測長) SEM에서는, 통상, 얻어진 2차 전자 신호 강도 분포를 어떤 임계값에서 잘랐을 때의 x좌표를 에지 좌표로서 검출한다.Here, the distribution of the secondary electron signal intensity in the x direction from an arbitrary position in the y direction is considered. Since the surface irregularities are thought to occur at random, the corresponding signal intensity distribution also has uncertainty and deviations as indicated by the solid line or dot chain line on the right side of the bottom view (c) of Fig. In a length measuring SEM, typically, the x-coordinate when the obtained secondary electron signal intensity distribution is cut at a certain threshold value is detected as edge coordinates.

그런데, 임계값을 바꾸면, 구조체의 서로 다른 높이 위치z에 대응하는 복수의 에지가 검출된다. 상기 에지 좌표는 불확실성을 갖고 변동하며, 그 변동량σ의 특징은 임계값의 함수로 된다. 즉, 구조체의 서로 다른 높이 위치z(또는 에지와 수직 방향의 위치x)의 함수로 된다.By changing the threshold value, however, a plurality of edges corresponding to different height positions z of the structure are detected. The edge coordinates fluctuate with uncertainty, and the characteristic of the variation? Is a function of the threshold value. That is, it is a function of the different height positions z (or position x in the vertical direction) of the structure.

후술하는 제1 실시형태에서는, 상기 함수의 특징으로부터 구조체의 입체(단면) 형상z(x)를 추정하는 방법에 대하여 설명한다. 또한, 제2 실시형태에서는, 2차원 신호 강도 분포를 이용하여, 2차원 입체 형상을 추정하는 방법에 대하여 설명한다. 또, 제2 실시형태의 상세는, 후술하는 실시예 4에서 설명한다.In the following first embodiment, a method of estimating the solid (cross-sectional) shape z (x) of the structure from the characteristics of the function will be described. In the second embodiment, a method of estimating a two-dimensional solid shape using a two-dimensional signal intensity distribution will be described. The details of the second embodiment will be described in the fourth embodiment which will be described later.

(제1 실시형태)(First Embodiment)

이하, 본 발명의 제1 실시형태에 따른 구조체의 입체(단면) 형상 추정 방법의 상세에 대하여 설명한다. 이하에서는, 도 4의 상단도(a), 중단도(b) 및 하단도(c)에 나타내는 단면 프로파일을 갖는 3종류의 시료A, B 및 C를 해석 대상으로 한다.Hereinafter, details of a method of estimating the solid (cross-sectional) shape of the structure according to the first embodiment of the present invention will be described. Hereinafter, three types of samples A, B and C having cross-sectional profiles shown in the upper part (a), the middle part (b) and the lower part (c) of FIG. 4 are analyzed.

우선, CD-SEM에 의해, 상기 3종류의 단면 프로파일을 갖는 구조체의 상면 관찰 화상을 취득하고, 상기 구조체의 에지부를 해석 영역으로 지정한다. 대상 에지에는, 설계상의 직선 부분을 선택하고, 상기 직선을 따른 방향을 y방향으로 한다.First, an upper-side observation image of a structure having the three types of cross-sectional profiles is acquired by CD-SEM, and an edge portion of the structure is designated as an analysis region. In the target edge, the straight line portion of the design is selected, and the direction along the straight line is the y direction.

다음으로, 에지 검출시의 임계값T를 다양하게 바꿔서 에지를 검출하고, T의 함수로서 에지점 열{xi(T)}(단, i=1,2,...,n)을 구하고, 상기 점 열의 평균 에지 위치<x(T)>로부터의 편차σ2(T)=Σ[xi(T)-<x(T)>]2을 계산했다. 다음으로, σ(T)를 임계값T에 대하여 플롯하면, 도 5의 상단도(a), 중단도(b) 및 하단도(c)가 얻어진다. 전술한 바와 같이, 각 단(段)에 나타내는 점 열은, 도 4와 동일한 단의 단면 프로파일을 갖는 구조체에 대응한다.Next, the edge is detected by varying the threshold value T at the time of edge detection and an edge point sequence {xi (T)} (where i = 1, 2, ..., n) is obtained as a function of T, The deviation σ 2 (T) = Σ [xi (T) - <x (T)>] 2 from the average edge position <x (T)> of the point sequence was calculated. Next, plotting? (T) with respect to the threshold value T results in the upper diagram (a), the interim diagram (b) and the lower diagram (c) of FIG. As described above, the row of points shown at each stage corresponds to a structure having the same cross-sectional profile as that of Fig.

일반적으로, 검출 신호 강도의 x방향 분포I(x)는, 구조체의 에지 근방에 피크를 갖는다. 예를 들면 레지스트 라인 패턴 등, 어떤 폭W로 볼록 구조를 갖는 이른바 라인 패턴을 관찰하면, 도 4에 나타내는 각 패턴의 좌우 에지의 각각에 대응해서 2가지의 강도 피크가 나타난다. 즉, 한쪽의 에지를 관찰하면, 동일한 임계값T에 대하여 검출 신호 강도의 분포 피크의 양측으로 2가지의 에지 검출점이 얻어진다. 따라서, σ(T)는, 상기 피크의 구조체의 내측과 외측의 각각에 대하여 별개로 구한다.Generally, the x-direction distribution I (x) of the detected signal intensity has a peak in the vicinity of the edge of the structure. For example, when a so-called line pattern having a convex structure with a certain width W is observed, such as a resist line pattern, two intensity peaks are shown corresponding to the left and right edges of each pattern shown in Fig. That is, when one edge is observed, two edge detection points are obtained on both sides of the distribution peak of the detected signal intensity with respect to the same threshold value T. Therefore,? (T) is obtained separately for each of the inside and outside of the structure of the peak.

일반적으로, 구조체의 외측(스페이스측)에서는, 외측으로부터 강도가 서서히 증대되어 소정의 임계값에 도달한 점의 x좌표를 에지 위치로 한다. 한편, 구조체의 내측(라인측)에서는, 내측으로부터 강도가 서서히 증대되어 소정의 임계값에 도달한 점의 x좌표를 에지 위치로 하여, 이들 값으로부터 σ(T)를 구한다. 또, 패턴 폭W가 극단적으로 작아지면, 좌우의 에지에 대한 상기 피크가 겹쳐, 1개의 라인 패턴에 대하여 1개의 피크가 관찰되게 된다. 이 경우, 임계값과 x좌표는 1대 1로 대응한다.Generally, at the outer side (space side) of the structure, the x-coordinate of the point at which the intensity gradually increases from the outside and reaches a predetermined threshold value is defined as an edge position. On the other hand, at the inner side (line side) of the structure, the intensity is gradually increased from the inside, and the x coordinate of the point reaching the predetermined threshold value is taken as the edge position, and? (T) is obtained from these values. Further, when the pattern width W becomes extremely small, the peaks with respect to the left and right edges overlap, and one peak is observed with respect to one line pattern. In this case, the threshold value and the x coordinate correspond one by one.

다음으로, 해석 영역 내에서, 검출 신호 강도의 분포I(x)의 y방향의 평균 분포<I>(x)를 구하고, 각 임계값T를, 검출 신호 강도가 <I>(x)=T로 되는 특정의 X좌표에 대응시킨다. 이에 의해, 임계값T의 함수로서의 편차σ(T)를, x의 함수로서의 편차σ(x)로 변환한다. 이때, 상기 피크의 구조체의 외측/내측의 x에 대한 편차σ(T)는, 각각의 구조체의 외측/내측의 x에 대응시킨다. 편차σ(x)를 검출 신호 강도 분포<I>(x)와 함께 플롯하면, 도 6에 나타내는 특성도로 된다. 도 6의 상단도(a), 중단도(b) 및 하단도(c)에 나타내는 특성도는, 모두 도 4의 동일 단의 단면 프로파일을 갖는 구조체에 대응한다. 도 6의 각 도면은, 위치x에 입사한 전자선에 대하여 얻어지는 평균적인 에지 위치에 있어서의 검출 에지 변동을 나타낸다.Next, the average distribution <I> (x) of the distribution I (x) of the detected signal intensities in the y direction is obtained in the analysis region and each of the threshold values T is calculated as follows: To a specific X-coordinate of the X-axis. Thereby, the deviation? (T) as a function of the threshold value T is converted into the deviation? (X) as a function of x. At this time, the deviation? (T) with respect to x on the outside / inside of the structure of the peak corresponds to x on the outside / inside of each structure. When the deviation sigma (x) is plotted together with the detected signal intensity distribution < I > (x), the characteristic shown in Fig. 6 is obtained. The characteristic diagrams shown in the upper part (a), the intermediate part (b) and the lower part (c) of FIG. 6 all correspond to the structural part having the same section profile shown in FIG. Each of Figs. 6A to 6C shows a detection edge variation at an average edge position obtained with respect to the electron beam incident on the position x.

막의 줄어듦이 크고, 또한, 순(順) 테이퍼 형상을 갖는 시료A의 경우(도 4의 상단도(a)), 편차σ(x)는 구조체의 외측 하부의 평탄면에서 크고, 입사 전자선이 에지에 가까워지면 급격하게 감소하여 최소값으로 되고, 그 후, 구조체의 중심을 향해서 서서히 증대하고, 구조체의 상부의 평탄면 근방에서 극대를 취한다.In the case of the sample A having a large film reduction and also in a forward taper shape (upper view (a) in Fig. 4), the deviation sigma (x) is large on the flat surface of the outer lower portion of the structure, And then gradually increases toward the center of the structure and takes the maximum at the vicinity of the flat surface of the upper portion of the structure.

한편, 대략 수직 또는 약간 역(逆) 테이퍼 형상의 측벽을 갖고, 또한 막의 줄어듦이 적은 시료B(도 4의 중단도(b)), 시료C(도 4의 하단도(c))에서는, 편차σ(x)는, 최소값을 취한 후, 급격하게 증대해서 대지(臺地) 형상의 피크를 취하고, 일단 감소된 후, 구조체의 중심을 향해서 서서히 증대하고, 구조체의 상부의 평탄면 근방에서 극대로 된다. 상기 피크의 높이는, 역 테이퍼 형상 측벽을 갖는 시료C 쪽이 약간 크다.On the other hand, in the sample B (the interrupted diagram (b) of FIG. 4) and the sample C (the lower diagram (c) of FIG. 4) having the sidewalls of a substantially vertical or slightly inverted tapered shape, (x) takes a minimum value and then abruptly increases to take a peak in the shape of a ground, gradually decreases gradually toward the center of the structure after it is once decreased, and reaches a maximum at the vicinity of the flat surface of the upper part of the structure do. The height of the peak is slightly larger than that of the sample C having the reverse tapered side wall.

이러한 분포 형상의 차이는, 단면 프로파일의 차이를 반영한 것으로 생각된다.It is considered that this difference in the distribution shape reflects the difference in the cross-sectional profile.

다음으로, 편차σ(x)의 형상이 갖는 의미에 대하여 설명한다.Next, the meaning of the shape of the deviation? (X) will be described.

우선, 해석 영역 내부에 있어서, 평균 검출 신호 강도 분포<I>(x)에 대응하여, 편의적으로 평균 단면 프로파일<Z>(x)를 고려하여, 실제의 구조체의 3차원 형상Z(x, y)를 다음 식으로 나타낸다.First, the three-dimensional shape Z (x, y) of the actual structure is calculated in consideration of the average sectional profile <Z> (x) for convenience, corresponding to the average detected signal intensity distribution <I> ) Is expressed by the following equation.

[수식 1][Equation 1]

Figure pct00001
Figure pct00001

즉, 실제의 3차원 형상Z(x, y)는, 평균 단면 프로파일<Z>(x)를 에지점 위치y를 따라 x방향으로 Δx(y) 시프트하고, 또한 단면 프로파일에 변동ΔZ(x, y)를 준 것으로 생각한다. 여기서, Δx(y)는, y마다, 예를 들면 |ΔZ (x, y)|의 x방향 적분값이 최소로 되도록 정한다.That is, the actual three-dimensional shape Z (x, y) is obtained by shifting the average cross-sectional profile Z (x) in the x direction by? X (y) along the edge point position y, y). Here,? X (y) is determined so that the x-direction integral value of |? Z (x, y) |

다음으로, 상기 표현에 대응하여, 실제로 관찰되는 검출 신호 강도의 3차원 분포도, 다음과 같이 나타낼 수 있다.Next, the three-dimensional distribution of the detected signal intensity actually observed corresponding to the above expression can be expressed as follows.

[수식 2][Equation 2]

Figure pct00002
Figure pct00002

여기서, ΔI(x, y)는, ΔZ(x, y)에 대응해서 단면 프로파일의 평균으로부터의 어긋남에 의해 발생하는 항이다. Δnoise(x, y)는, 실제의 검출 화상에 중첩하는 랜덤인 검출 노이즈이며, 그 진폭은 위치·화상에 의존하지 않는다. Δx(y)는, y마다, 예를 들면 |ΔI(x, y)|2의 x방향의 에지 근방에 있어서의 적분값이 최소로 되도록 정한다.Here,? I (x, y) is a term generated by a deviation from the average of the sectional profile corresponding to? Z (x, y). ? Noise (x, y) is a random detection noise superimposed on an actually detected image, and its amplitude does not depend on the position / image. ? X (y), for example, |? I (x, y) | 2 is set to be the minimum in the vicinity of the edge in the x direction.

또한, 상기 표현에 대응하여, 검출되는 에지점 열의 평균으로부터의 편차σ(x)도, 상기 각 항에 기인하는 성분으로 분할할 수 있다.In addition, the deviation? (X) from the average of the detected edge point sequences corresponding to the above expressions can be also divided into the components attributable to the respective terms.

[수식 3][Equation 3]

Figure pct00003
Figure pct00003

여기서, σ_measured는 계측 결과이다. σ_y는, y마다, 그 단면을 평균 단면 프로파일로 최적 피트(fit)했을 때의 구조체의 에지 위치의 편차에 기인하는 성분이다. σ_xz는, 구조체의 단면 형상의 변화에 기인하는 성분이다. σ_noise는, 검출(화상) 노이즈에 기인하는 에지 검출 오차에 기인하는 성분이다.Here, σ_measured is the measurement result. ? y is a component due to the deviation of the edge position of the structure when the cross section is optimized for an average cross-sectional profile every y. ? xz is a component due to a change in the cross-sectional shape of the structure. ? noise is a component due to an edge detection error due to detection (image) noise.

(에지 변동 성분의 분해 방법의 상세)(Details of decomposition method of edge variation component)

다음으로, 계측된 에지 변동값σ_measured를, 상술한 4개의 성분으로 분해하는 방법에 대하여 설명한다. 이하, 각 성분에 대하여 설명한다.Next, a method of decomposing the measured edge variation value? _Measured into the above-described four components will be described. Hereinafter, each component will be described.

구조체의 에지 위치의 y방향의 변동은, 일반적으로, 그 공간 주파수 특성이 1/f 특성에 따르는 것에 반해, 표면 요철에 기인하는 계측 편차 및 검출 노이즈는, 그 공간 주파수 특성이 랜덤이라고 생각된다. 여기에서, σ_y는, y방향의 공간 주파수f에 대하여 1/f 특성을 갖는 성분(편의상「참(眞)의 LER」이라고 부름)이라고 생각한다.The variation in the y direction of the edge position of the structure is generally considered to be the spatial frequency characteristic of the measurement error and the detection noise caused by the surface irregularities, while the spatial frequency characteristic generally depends on the 1 / f characteristic. Here,? _Y is considered to be a component having a 1 / f characteristic with respect to the spatial frequency f in the y-direction (called "true LER" for convenience).

또, 에지를 따라 측정된 x방향의 에지점 검출 위치의 편차를, 1/f 특성을 갖는 성분과, 그 이외의 성분으로 분해하는 방법에 대해서는, 특허문헌 1에 설명되어 있다. 여기에서, LER 성분의 분해는, 임계값마다 행해도 되고, 또는 어떤 대표적인 임계값T에 대해서 행해도 된다.A method for decomposing the deviation of the edge point detection position in the x direction measured along the edge into a component having a 1 / f characteristic and other components is described in Patent Document 1. Here, the decomposition of the LER component may be performed for each threshold value, or may be performed for any representative threshold value T.

참의 LER은, 구조체의 에지 위치 자체의 y방향의 변동이다. 따라서, 임계값T를 바꿔서 구한 계측 결과에 대해서는 거의 공통이라고 생각할 수 있다. 이 때문에, 후자의 생각도 합리적이다.The true LER is the variation in the y direction of the edge position itself of the structure. Therefore, it can be considered that measurement results obtained by changing the threshold value T are almost common. Because of this, the latter idea is reasonable.

σ_noise는, 신호 강도 분포의 경사에 의존한다. 평활 평탄면에 대하여 얻어진 화상에, 1차원 (x)방향으로 강도 구배를 가하고, 평균 레벨의 임계값에 대하여 얻어진 에지점의 변동을 구한 결과를 도 7에 나타낸다. 도 7에 나타나 있는 바와 같이 검출 신호의 강도 구배가 커지면, σ_noise는 작아진다. σ_noise(x)는, 평균 검출 강도 분포의 위치x에 있어서의 구배에 대한 σ_noise를 도 7로부터 구함으로써 계산할 수 있다.[sigma] noise depends on the slope of the signal strength distribution. Fig. 7 shows the result of obtaining the variation of the edge point obtained with respect to the threshold of the average level by adding an intensity gradient in the one-dimensional (x) direction to the image obtained with respect to the smooth flat surface. As shown in Fig. 7, when the intensity gradient of the detection signal increases,? _Noise decreases. sigma_noise (x) can be calculated by obtaining sigma_noise with respect to the gradient at the position x of the average detected intensity distribution from Fig.

계측 결과σ_measured로부터, σ_y 및 σ_noise의 기여를 제거하면, σ_xz를 구할 수 있다.By removing the contribution of σ_y and σ_noise from the measurement result σ_measured, σ_xz can be obtained.

여기에서, 도 4의 상단도(a), 중단도(b) 및 하단도(c)에 나타내는 바와 같은 단면 프로파일을 갖는 시료A, B 및 C에 대하여 σ_y 및 σ_noise를 계산하고, 상정 결과σ_measured를, σ_y, σ_noise, σ_xz로 분해한 결과를 도 8의 상단도(a), 중단도(b) 및 하단도(c)에 나타낸다. 도 8에서 알 수 있는 바와 같이, 단면 프로파일이 역 테이퍼 형상인 경우, σ_xz에 현저한 피크가 나타난다.Here, σ_y and σ_noise are calculated for the samples A, B and C having the cross-sectional profiles shown in the upper diagram (a), the interim diagram (b) and the lower diagram (c) of FIG. 4 and the assumed result σ_measured , σ_y, σ_noise, and σ_xz are shown in the upper, middle, and lower diagrams (a), (b), and (c) of FIG. As can be seen from Fig. 8, when the cross-sectional profile is an inverted tapered shape, a remarkable peak appears in? _Xz.

이하에서는, 현저한 피크가 발생하는 원인을 고찰하기 위해서, 표면의 요철이 검출 신호 강도 분포에 미치는 영향을 다음의 2가지로 분류한다.Hereinafter, in order to consider the cause of remarkable peaks, the influence of the surface irregularities on the detected signal intensity distribution is classified into the following two types.

[분류1][Category 1]

이 분류에서는, 전자선은, 최초에 순 테이퍼면에 입사한다고 생각한다. 이때, 구조체 내부에서 산란된 후, 다시 구조체 외부로 나와 검출되는 전자의 수는, 순 테이퍼면 위의 입사 위치의 극히 근방의 요철 패턴의 영향을 받는다고 생각된다.In this classification, the electron beam is first considered to be incident on the forward taper surface. At this time, it is considered that the number of electrons detected outside the structure after scattering in the structure is affected by the concave-convex pattern in the vicinity of the incident position on the forward taper surface.

[분류2][Category 2]

이 분류에서는, 전자선은, 에지점으로부터 떨어진 구조체 상부에 있어서의 비교적 평탄한 면에 입사한다고 생각한다. 이때, 구조체 내부에서 산란된 전자의 일부가 구조체의 측면 또는 역 테이퍼면으로부터 구조체의 외부로 빠져나와, 검출된다. 이때, 외부로 빠져나온 전자는, 측면의 요철 패턴의 영향을 받는다. 이와 같이 입사면과는 다른 면으로부터 외부로 빠져나오는 전자는, 그 절대 수가 적다. 그러나, 표면 산란에 의해 검출되는 전자수도 비교적 적으므로, 측면의 요철 패턴의 영향을 받은 전자가 순 테이퍼면에 대한 검출 결과에 미치는 영향은 무시할 수 없다고 생각된다.In this classification, the electron beam is considered to be incident on a relatively flat surface on the upper portion of the structure away from the edge point. At this time, a part of the electrons scattered inside the structure is extracted from the side surface or reverse taper surface of the structure to the outside of the structure and is detected. At this time, the electrons exiting to the outside are influenced by the concave-convex pattern of the side surface. In this way, the absolute number of electrons that escape to the outside from the plane different from the plane of incidence is small. However, since the number of electrons detected by surface scattering is relatively small, it is considered that the influence of electrons influenced by the concavo-convex pattern on the side on the detection result on the forward taper surface is not negligible.

이 영향은, 구조체 내부의 전자선 진입 길이의 범위에서, 수직 또는 역 테이퍼면의 면적에 의존하고, 수직 또는 역 테이퍼면 높이가 클 수록 크다. 또한, 그 영향은, 구조체의 에지로부터 내부쪽의 비교적 넓은 영역에 입사한 전자선에 미친다.This influence depends on the area of the vertical or inverse tapered surface in the range of the length of the electron beam entry length inside the structure, and is larger as the height of the vertical or reverse tapered surface is larger. The influence also extends to the electron beam incident on the relatively large area from the edge of the structure toward the inner side.

도 8의 상단도(a), 중단도(b) 및 하단도(c)에 보이는 σ_xz의 피크는, 수직또는 역 테이퍼의 측면을 갖는 구조체에 있어서, 전자선이 구조체의 상부 평탄면에 입사했을 경우에 볼 수 있다. 이로부터, 구조체의 상부 평탄면에 출현하는 σ_xz의 피크는, 분류2에 기인하는 것으로 생각된다.The peak of sigma_xz shown in the upper part (a), the middle part (b) and the lower part (c) of FIG. 8 is the peak of the peak . From this, it is considered that the peak of? _Xz appearing on the upper flat surface of the structure is due to classification 2.

그러면, σ_xz를, 이하의 2가지의 성분으로 분해한 (식 4)로 나타낸다.Then, σ_xz is expressed by (Equation 4) which is decomposed into the following two components.

(1) 전자선 입사점 근방의 순 테이퍼면 위의 요철 패턴의 영향(1) Influence of the concave-convex pattern on the forward tapered surface near the electron beam incidence point

:σ_xz_near     : σ_xz_near

(2) 전자선 입사점으로부터 비교적 떨어진 대략 수직 또는 역 테이퍼면 위의 요철 패턴의 영향(2) Influence of the concave-convex pattern on the substantially vertical or inverse taper surface relatively far from the electron beam incidence point

:σ_xz_far     : σ_xz_far

[수식 4][Equation 4]

Figure pct00004
Figure pct00004

이하, 상기 (1) 및 (2)의 성분의 상세에 대해서 더 설명한다.Hereinafter, the details of the components (1) and (2) will be described in detail.

우선, 요철 패턴을 갖는 순 테이퍼면에 입사한 전자선의 산란에 미치는 요철의 영향(상기 (1)의 성분)에 대해서 생각한다. 어떤 일정 각도의 경사면에 정현파 형상의 요철이 존재하고, 오목부 또는 볼록부의 중심(도 9의 상단도(a)의 점P)으로 빔이 입사됐다고 한다. 빔의 입사 위치에 대하여 요철의 위상을 180도 변화시키면, 검출 위치가, 위상의 ±방향으로 1주기분 변동한다. 예를 들면, 빔이 오목부의 중심으로 입사했을 경우, 검출 위치는 위상의 +방향으로 최대의 어긋남이 발생하고, 빔이 볼록부의 중심으로 입사했을 경우, 검출 위치는 위상의 -방향으로 최대의 어긋남이 발생한다.First, the influence of the unevenness (the component (1)) on the scattering of the electron beam incident on the forward tapered surface having the concavo-convex pattern will be considered. It is assumed that sinusoidal irregularities exist at an inclined plane at a predetermined angle, and the beam is incident on the center of the concave or convex portion (point P in the top view (a) of Fig. 9). When the phase of the concave and convex is changed 180 degrees with respect to the incident position of the beam, the detection position fluctuates by one cycle in the ± direction of the phase. For example, when the beam is incident at the center of the concave portion, the maximum displacement occurs in the positive direction of the detection position, and when the beam is incident at the center of the convex portion, the maximum displacement in the negative direction of the phase Lt; / RTI &gt;

이하에서는, 설명을 간단하게 하기 위해서, 도 9의 상단도(a)에 나타내는 바와 같이, 평균적인 경사각θ가 일정하며, 또한 그 표면을 따라 요철 패턴을 갖는 측벽 형상을 갖는 구조체의 평균 측벽면 위의 점P를 생각한다. 점P 위에 대표 주기L의 표면 요철의 볼록부 중심이 존재하는 경우, 전자가 점P를 향하여 입사했을 때, 검출되는 신호 강도의 증가분이 최대로 되고, 에지 검출 위치의 시프트량이 한 방향으로 최대로 된다고 한다. 반대로, 점P 위에 표면 요철의 오목부 중심이 존재하는 경우, 검출되는 신호 강도의 감소분이 최대로 되고, 에지 검출 위치의 시프트량이 +방향으로 최대로 된다고 한다.Hereinafter, for the sake of simplicity, as shown in the top view (a) of Fig. 9, the average sidewall surface of the structure having the sidewall shape having a constant inclination angle &amp;thetas; Is considered. In the case where the convex center of the surface irregularities of the representative period L exists on the point P, when the electron is incident toward the point P, the increase of the detected signal intensity becomes maximum and the shift amount of the edge detection position becomes maximum It is said. Conversely, when the center of the concave portion of the surface unevenness exists on the point P, it is assumed that the decrease amount of the detected signal intensity becomes the maximum, and the shift amount of the edge detection position becomes the maximum in the + direction.

이때, 요철에 의한 에지의 검출 위치의 시프트량은, 볼록부 중심의 x좌표 위치에 의해 결정되고, 그 변동 진폭Δx는, 상기 2가지의 케이스에 있어서의 볼록부 중심간의 x방향 거리로 된다. 따라서, 측벽면 위의 요철 주기를 L로 하며(즉, 상기 x방향의 거리는 L/2이며), 검출 위치가 도 9의 중단도(b)에서 결정된다고 하면, 에지의 검출 위치의 변동폭ΔX는, 요철 주기L, 측벽 경사면의 각도(경사각)θ에 의해, 다음 식과 같이 추정할 수 있다.At this time, the shift amount of the detection position of the edge due to the unevenness is determined by the x-coordinate position of the center of the convex portion, and the fluctuation amplitude? X is the x-direction distance between the centers of the convex portions in the two cases. Assuming that the concave-convex period on the sidewall surface is L (that is, the distance in the x direction is L / 2) and the detected position is determined in the interrupted view (b) of Fig. 9, , The concavity and convexity period L, and the angle (inclination angle) &amp;thetas; of the side wall sloping surface.

[수식 5][Equation 5]

Figure pct00005
Figure pct00005

이에 대해, 검출 위치가 도 9의 하단도(c)에서 결정된다고 하면, 에지의 검출 위치의 변동폭ΔX는, 높이H, 측벽 경사면의 각도(경사각)θ에 의해, 다음 식과 같이 추정할 수 있다.On the other hand, assuming that the detection position is determined in the bottom view (c) of Fig. 9, the fluctuation width? X of the detection position of the edge can be estimated by the following equation according to the height H and the angle (inclination angle)

[수식 6][Equation 6]

Figure pct00006
Figure pct00006

일반적으로, 경사각θ가 비교적 작은 영역에서는 식 5가 지배적으로 되고, 경사각θ가 비교적 큰 영역에서는 식 6이 지배적으로 된다. 실제로는, 경사각θ는, 측면의 요철 주기와 높이의 양쪽에 의존한다고 생각된다. 따라서, 양 식을 가산한 다음 식에 의해, 에지 검출 위치의 변동폭ΔX를 추정하는 것도 가능하다.In general, Equation 5 becomes dominant in a region where the inclination angle &amp;thetas; is comparatively small, and Equation 6 dominates in a region where the inclination angle &amp;thetas; is relatively large. In practice, it is considered that the inclination angle? Depends on both the period of the concavity and convexity of the side surface and the height. Therefore, it is also possible to estimate the variation width? X of the edge detection position by adding the formulas and then by the following equation.

[수식 7][Equation 7]

Figure pct00007
Figure pct00007

또, 에지 검출 위치의 변동폭ΔX와 경사면의 경사각θ와의 관계는, 표면 요철에 의한 전자선 신호의 강도 변동량이 표면에 대한 전자선의 입사각에 의존한다고 하는 특성을 이용하여 구할 수도 있다.The relationship between the variation width? X of the edge detection position and the inclination angle? Of the inclined surface can be obtained by using a characteristic that the intensity variation of the electron beam signal due to the surface irregularities depends on the incident angle of the electron beam to the surface.

예를 들면, 표면에 적당한 주기L 또는 높이H의 요철을 갖는 평면(도 10의 상단도(a1))에, 다양한 입사 각도의 전자선을 조사·주사했을 때의 검출 신호 강도 분포를 시뮬레이션에 의해 계산한다(도 10의 상단도(a2)). 이때, 검출 강도의 변동폭ΔI는, 입사각θ의 함수로 된다(도 10의 중단도(b)).For example, the detected signal intensity distribution when irradiating and scanning electron beams having various incidence angles to a plane (uneven view (a1) in Fig. 10) having concave and convex portions of appropriate period L or height H on the surface is calculated by simulation (Upper view (a2) in Fig. 10). At this time, the variation width? I of the detected intensity is a function of the incident angle? (FIG. 10, the interrupted view (b)).

한편, 강도 변동폭ΔI(θ)와, 에지 검출 위치의 변동폭ΔX와, 평균 신호 강도 분포I(x)의 관계는, 도 10의 하단도(c)에 나타내는 바와 같이, 다음 식으로 나타낼 수 있다.On the other hand, the relationship between the intensity fluctuation width? I (?), The fluctuation width? X of the edge detection position, and the average signal intensity distribution I (x) can be expressed by the following equation as shown in the lower diagram (c) of FIG.

[수식 8][Equation 8]

Figure pct00008
Figure pct00008

다만, 식 8은, 검출 신호의 강도 분포의 피크에 있어서 구배가 0(zero)이 되면, 값이 발산한다. 이 때문에, 식 8은, 검출 신호의 강도 분포의 피크에 있어서 구배가 0(zero)인 경우에는 이용할 수 없는 것에 주의가 필요하다. 실제로는, 에지 시프트량의 진폭ΔX는, 측벽 표면 요철에 의한 에지 검출 위치의 계측 편차로부터 추정되는 통계량이며, σ_xz_near가 이에 상당한다. 또, 측벽 표면의 요철 패턴은 랜덤량이므로, 그 주기L 및 높이H에 관해서도 통계적인 대표값을 이용한다. 예를 들면 편의상, 평탄면의 경사각θ가 0(zero)이 되도록 선택해도 된다.Equation (8), however, emits a value when the gradient becomes 0 (zero) at the peak of the intensity distribution of the detection signal. Therefore, it is necessary to note that Equation 8 can not be used when the gradient of the intensity distribution of the detection signal is 0 (zero). Actually, the amplitude? X of the edge shift amount is a statistic estimated from the measurement deviation of the edge detection position due to the unevenness of the side wall surface, and? _Xz_near corresponds to this. Since the concavo-convex pattern of the side wall surface is a random amount, a statistical representative value is also used for the period L and the height H. For example, for convenience, the inclination angle? Of the flat surface may be selected to be zero.

다음으로, 상기 (2)의 성분(빔의 입사 위치로부터 떨어진 표면 위치의 요철 패턴의 영향)에 대하여 설명한다.Next, the component (2) (the influence of the concavo-convex pattern at the surface position away from the incident position of the beam) will be described.

평균적인 측벽면에 대하여, 도 11의 상단도(a)의 Q점에 전자선이 입사했을 때의 검출 강도를 IQ라고 할 경우에, 이 도면 내의 곡선A 및 B에 나타내는 바와 같이 측벽면의 패턴이 변동한 경우를 생각한다. 이때, 도 11의 하단도(b)에 나타내는 바와 같이, 검출 강도는 검출 강도IQ에 대하여 ΔIA 및 ΔIB만큼 변화되고, 검출 에지는 각각 ΔxA , ΔxB만큼 어긋난다.When the detected intensity when the electron beam is incident on the Q point in the upper diagram (a) of FIG. 11 is IQ with respect to the average sidewall surface, as shown by the curves A and B in the figure, We consider the case of fluctuation. At this time, as shown in Fig. (B) at the bottom of Fig. 11, the detected intensity is varied by ΔIA and ΔIB against the IQ detection strength, edge detection is shifted by Δx A, Δx B, respectively.

이때, 측벽면의 크기에 대하여 전자선의 퍼짐이 같은 정도인 것으로 하면, 검출 에지에 출현하는 어긋남량ΔxA 및 ΔxB는, 입사 위치(Q점)에 의존하지 않고, 거의 일정하다고 생각할 수 있다.At this time, if the spread of the electron beam is about the same as the size of the sidewall surface, then the displacement amounts? X A and? X B appearing at the detection edge can be considered to be substantially constant without depending on the incident position (Q point).

여기서, 전자선의 입사 위치가, 구조체의 에지 위치로부터 구조체 중의 산란 전자가 측벽에 미치는 범위의 사이에서는, 전술한 (2)의 영향이 거의 일정하다고 가정하고, σ_xz_far에 대하여 사다리 형상의 분포 형상으로서 근사한다.Here, assuming that the incident position of the electron beam is substantially constant in the range of the scattering electrons in the structure from the edge position of the structure to the sidewall, the influence of the above-mentioned (2) is almost constant, do.

사다리꼴의 높이는, 측벽의 높이와 각도에 의존하지만, 예를 들면 식 4에 따라서, σ_xz의 최소값으로부터 구조체측에 있어서, σ_xz로부터 σ_xz_far를 뺀 후의 σ_xz_near의 분포가, 0(zero)으로부터 거의 선형으로 증대하는 것 같은 높이로 설정하는 것을 생각할 수 있다. 도 4의 상단도(a), 중단도(b) 및 하단도(c)에 대응하는 각 시료에 대해서, σ_xz를 상기 2가지의 성분으로 분해한 결과를 도 12의 상단도(a), 중단도(b) 및 하단도(c)에 내타낸다.The height of the trapezoid depends on the height and angle of the sidewall, but the distribution of? _Xz_near after subtracting? _Xz_far from? _Xz on the structure side from the minimum value of? _Xz increases substantially linearly from 0 (zero) As shown in FIG. The results obtained by decomposing sigma_xz into the above two components for each of the samples corresponding to the upper diagram (a), the intermediate diagram (b) and the lower diagram (c) of FIG. 4 are shown in the upper part (B) and the bottom view (c).

(단면 형상의 추정 방법)(Method of estimating cross-sectional shape)

다음으로, 불확정성을 나타내는 편차σ를 분해한 결과로부터, 구조체의 단면 형상을 추정하는 방법에 대하여 설명한다.Next, a method for estimating the cross-sectional shape of the structure from the result of decomposing the deviation? Indicating uncertainty will be described.

실제의 구조체의 단면 형상은, 둥근 모양을 띠거나, 곡선을 이루며 완만하게 연장되거나, 다양한 형상을 갖는 것으로 생각된다. 즉, 일반적으로, 측벽각θ는, 구조체의 높이 방향 또는 x방향의 위치에 대하여 일정하지 않다. 본 실시형태에 따른 방법을 이용하면, 이러한 구조체여도, 그 단면 형상을 적절하게 추정할 수 있다.The actual cross-sectional shape of the structure is considered to have a round shape, a curved shape, a gentle extension, or various shapes. Namely, in general, the sidewall angle? Is not constant with respect to the position in the height direction or x direction of the structure. By using the method according to the present embodiment, the cross-sectional shape of such a structure can be appropriately estimated.

이하, 해석적 형상 추정법과 모델 베이스에 의한 형상 추정법의 2가지의 어프로치에 의한 단면 추정법에 대하여 설명한다.Hereinafter, the section estimation method by the two approaches of the analytical shape estimation method and the model-based shape estimation method will be described.

(해석적 추정법)(Analytical estimation method)

해석적 추정법에서는, 임계값 또는 x방향의 위치에 대하여, 식 5, 식 6, 식 7, 식 8 등을 이용하여, 구조체의 대응하는 높이에 있어서의 구조체 표면의 국소적인 각도를 구하고, 이를 x방향으로 적분함으로써 구조체의 단면 형상을 구한다. 즉, 다음 식에 의해, 단면 형상을 구한다. 단, 다음 식에 있어서 적분 범위는 0(zero)부터 x까지이다.In the analytical estimation method, the local angle of the surface of the structure at the corresponding height of the structure is obtained by using Equation 5, Equation 6, Equation 7, Equation 8 or the like with respect to the position in the threshold or x direction, Direction to obtain the cross-sectional shape of the structure. That is, the cross-sectional shape is obtained by the following formula. However, in the following equation, the integral range is 0 (zero) to x.

[수식 9][Equation 9]

Figure pct00009
Figure pct00009

여기에서는, 식 5와 식 8을 이용하여, 도 12의 σ_xz_near로부터 추정한 θ(x)의 분포와, 추정되는 단면 형상Z(x)를 도 13의 상단도(a), 중단도(b) 및 하단도(c)에 각각 나타낸다. 추정된 단면 형상(실선으로 나타내는 형상)은, 별도, 단면 관찰로부터 얻어진 형상(도 4의 상단도(a), 중단도(b), 하단도(c)의 형상)과 잘 일치하고 있음을 알 수 있다.Here, the distribution of? (X) estimated from? _Xz_near in Fig. 12 and the estimated cross-sectional shape Z (x) are shown in the upper diagram (a) And (c), respectively. The estimated cross-sectional shape (the shape shown by the solid line) is separately found to be in good agreement with the shape obtained from the cross-sectional observation (the top view (a), the intermediate view (b), and the bottom view (c) .

한편, 모델 베이스에 의한 형상 추정법에서는, 다양한 단면 형상에 대하여, 그 표면의 요철(예를 들면 그 위상)을 변화시켰을 때의 검출 신호 강도의 분포를 구하고, 각각에 대하여 검출되는 에지 위치 간의 편차(불확정성)를, 임계값 또는 x방향의 위치의 함수로서 미리 구해 둔다. 다음으로, 이 편차(불확정성)와 실제의 측정 결과를 매칭시키고, 가장 가까운 단면 형상을 구하거나, 또는 내삽(內揷)·외삽(外揷)에 위해 단면 형상을 추정한다.On the other hand, in the shape estimation method using the model base, the distribution of the detected signal intensities when various irregularities (for example, phase) of the surface are varied for various cross-sectional shapes and the deviation Uncertainty) is determined in advance as a function of the threshold or position in the x direction. Next, this deviation (uncertainty) is matched with the actual measurement result, and the cross-sectional shape is estimated for the nearest cross-sectional shape or for interpolation / extrapolation.

검출 신호의 강도 분포 자체의 계산 결과 라이브러리와 계측 결과와의 매칭을 구함으로써 단면 형상을 추정하는 종래의 MBL법은, 측정 결과가 검출계나 검출 강도 변동에 영향을 받는다는 과제가 있는 것에 반해, 본 방법은, 이들 영향을 받기 어렵다. 물론, 본 방법과 종래의 MBL법을 병용할 수도 있다. 또, 매칭에는 최우법(最尤法) 등을 이용해도 된다.The conventional MBL method for estimating the cross-sectional shape by obtaining the matching between the library and the measurement result as a result of calculation of the intensity distribution of the detection signal itself has a problem that the measurement result is influenced by the detection system or the variation of the detection intensity, Are hardly affected by these. Of course, this method and the conventional MBL method may be used in combination. The maximum likelihood method or the like may be used for matching.

(구조체 입체 형상 추정 처리 순서)(Structural solid shape estimation processing procedure)

도 14에, 제1 실시형태에 대응하는, 구조체의 입체 형상을 추정하기 위한 방법의 플로차트를 나타낸다. 또, 후술하는 일련의 처리는, 계산기에서 실행되는 프로그램에 의거하여 실현된다.Fig. 14 shows a flowchart of a method for estimating the three-dimensional shape of the structure corresponding to the first embodiment. A series of processes to be described later is realized based on a program executed in the computer.

우선, 전자 현미경에 의해 2차원 화상을 취득하고, 해석 영역을 지정한다(스텝 1401). 이 후, 지정된 해석 영역에 있어서의 평균적인 신호 강도 분포I(x)를 산출한다(스텝 1402). 신호 강도는, 지정 범위에 있어서의 최대 강도로 규격화된다. 이때, 상기 범위에 있어서의 평균적인 에지 방향이 y방향이 되도록 화상을 조정하는 것이 바람직하다.First, a two-dimensional image is acquired by an electron microscope, and an analysis area is designated (step 1401). Thereafter, the average signal intensity distribution I (x) in the designated analysis area is calculated (step 1402). The signal strength is normalized to the maximum intensity in the specified range. At this time, it is preferable to adjust the image so that the average edge direction in the range is the y direction.

다음으로, 임계값을 지정된 최소값으로부터 최대값까지 소정의 간격마다(예를 들면 5%로부터 100%까지 5%마다) 설정하여, 입계값마다 패턴 에지를 검출하고, 입계값T의 함수로서 LER을 구한다(스텝 S1403∼1408). 여기에서는, T=I(x)의 관계 를 이용하여 LER을 x의 함수로 변환한 후, 이를 각 성분으로 분해한다. 또한, 식 5, 식 6, 식 7, 식 8 등에 따라서 측벽 각도θ를 구하고(스텝 S1409, 1410), 측벽 각도θ를 x방향으로 적분해서 단면 형상을 얻는다(스텝 S1411). 여기까지의 설명에서는, 구조체의 표면의 요철 패턴은, 표면에 있어서 등방적(等方的)이라고 가정했다. 국소적인 레지스트의 용해성 편차 등에 유래하는 요철에 관해서는, 상기의 가정은, 거의 옳다. 한편, 이 가정이 성립하지 않는 케이스에 대해서는, 후술하는 실시예 5에 기술한다.Next, the threshold value is set at a predetermined interval from the designated minimum value to the maximum value (for example, from 5% to 100% every 5%) to detect a pattern edge for each of the threshold values, and LER (Steps S1403 to S1408). Here, LER is transformed into a function of x using the relation of T = I (x), and then decomposed into components. Further, the sidewall angle? Is obtained in accordance with equations 5, 6, 7 and 8 (steps S1409 and 1410), and the sidewall angle? Is integrated in the x direction to obtain a sectional shape (step S1411). In the description so far, it is assumed that the concavo-convex pattern of the surface of the structure is isotropic on the surface. Regarding the irregularities derived from the local variation in the solubility of the resist and the like, the above assumption is almost correct. On the other hand, a case in which this assumption does not hold is described in the fifth embodiment to be described later.

[실시예1][Example 1]

본 실시예에서는, 전술한 추정 방법을 CD-SEM에 적용한 실시예에 대하여 설명한다.In this embodiment, an embodiment in which the above-described estimation method is applied to a CD-SEM will be described.

(장치 구성)(Device Configuration)

도 15에, 본 실시예에서 사용한 CD-SEM의 하드웨어 구성의 모식도를 나타낸다. 본 실시예의 CD-SEM은, 주로 전자 광학 칼럼(SEM 칼럼)과 시료실로 이루어지는 주사형 전자선 현미경의 케이싱(1801)과, 주사형 전자선 현미경의 제어계(1811)와, 정보 처리 장치(1812)에 의해 구성된다.15 is a schematic diagram of the hardware configuration of the CD-SEM used in this embodiment. The CD-SEM of this embodiment mainly comprises a casing 1801 of a scanning electron microscope consisting of an electron optical column (SEM column) and a sample chamber, a control system 1811 of a scanning electron microscope and an information processing apparatus 1812 .

정보 처리 장치(1812)에는, 얻어진 주사 전자 화상이나 해석에 필요한 CAD 데이터 등을 저장하는 데이터 기억 장치(1813)가 접속되어 있다. 물론, 데이터 기억 장치(1813)는, 정보 처리 장치(1812) 내에 격납되어 있어도 된다. 도시되어 있지 않지만, 정보 처리 장치(1812)는, CD-SEM의 조작자가 데이터 처리를 위해서 필요한 정보를 장치에 대하여 입력하기 위한 정보 입력 단말 및 취득되는 주사 전자 화상을 표시하기 위한 화상 표시 수단을 구비하고 있다. 정보 입력 단말의 구체예에는, 키보드, 마우스, 화상 표시 수단 위에서 표시되는 GUI 화면 등이 있다.The information processing apparatus 1812 is connected to a data storage device 1813 for storing the obtained scanning electronic image and CAD data necessary for analysis. Of course, the data storage device 1813 may be stored in the information processing device 1812. [ Although not shown, the information processing apparatus 1812 includes an information input terminal for inputting information necessary for data processing by the operator of the CD-SEM to the apparatus and image display means for displaying the scanned electronic image to be acquired . Specific examples of the information input terminal include a keyboard, a mouse, and a GUI screen displayed on the image display means.

전자 광학 칼럼은, 전자총(1802), 수속 렌즈(1804), 편향기(1805), 대물 렌즈(1806), 검출기(1810) 등에 의해 구성된다. 시료실은, 피검사 대상인 관찰 웨이퍼(1807)를 재치(載置)하는 스테이지(1808)를 구비한다. 전자총(1802)으로부터 관찰 웨이퍼(1807)에 대하여 조사되는 전자선(1803)에 의해 발생한 2차 전자(1809)는 검출기(1810)에 의해 검출되고, 제어계(1811)에 의해 디지털 데이터로 변환된 후, 정보 처리 장치(1812)에 전송되어, 해석에 사용하는 화상 데이터가 생성된다.The electron optical column is constituted by an electron gun 1802, a convergent lens 1804, a deflector 1805, an objective lens 1806, a detector 1810 and the like. The sample chamber has a stage 1808 on which an observation wafer 1807 to be inspected is placed. Secondary electrons 1809 generated by the electron beam 1803 irradiated from the electron gun 1802 to the observation wafer 1807 are detected by the detector 1810 and converted into digital data by the control system 1811, And is transmitted to the information processing apparatus 1812 to generate image data to be used for analysis.

본 실시예에서는, CD-SEM에 구비된 주사 전자 현미경을 이용하여 패턴 관찰을 행하여 피검사 대상의 화상 데이터를 취득했다. 얻어진 화상 데이터를 데이터 기억 장치(1813)에 보존하고, 관찰 종료 후, 정보 입력 단말을 조작하여 화상 데이터 해석을 실행하고, 러프니스 지표 및 단면 구조 추정의 해석을 행했다. 해석 처리는, 정보 처리 장치(1812)가 실행한다.In this embodiment, pattern observation was performed using a scanning electron microscope provided in a CD-SEM to obtain image data of a subject to be inspected. The obtained image data was stored in the data storage device 1813, and after the observation was completed, the image data analysis was performed by operating the information input terminal to analyze the roughness index and the cross-sectional structure estimation. The analysis processing is executed by the information processing apparatus 1812.

(화상 취득 공정) (Image Acquisition Step)

우선, 제어계(1811)(정보 처리 장치(1812))는, ArF 레지스트의 라인 패턴에 대하여, 시야의 좌측 위로부터 우측 아래까지의 주사를 32번 행하여 얻어진 2차 전자 신호 강도를 평균화하고, 2차 전자 신호 강도의 2차원 분포 화상을 취득한다. 필요에 따라, 정보 처리 장치(1812)는, 취득한 화상을 CD-SEM의 모니터 화면 위에 표시한다. 여기에서, 관찰 화상의 화소 수는, 세로·가로 방향으로 1500화소, 1화소의 1변을 1㎚(나노미터), 시야 내의 관찰 화상의 길이를 종횡 1.5㎛(마이크로미터)로 한다.First, the control system 1811 (information processing apparatus 1812) averages the secondary electron signal intensities obtained by scanning the line pattern of the ArF resist 32 times from the upper left to the lower right of the visual field, A two-dimensional distribution image of the electronic signal intensity is obtained. If necessary, the information processing apparatus 1812 displays the acquired image on the monitor screen of the CD-SEM. Here, the number of pixels of the observation image is 1500 pixels in the vertical and horizontal directions, 1 nm (one nanometer) on one side of one pixel, and 1.5 micrometers (micrometers) in the vertical direction.

정보 처리 장치(1812)는, 2차 전자 신호 강도의 2차원 분포 화상 중 해석하고자 하는 에지를 포함하는 영역에, 각각 세로 1024화소, 가로 50화소의 직사각형 형상의 검사 영역을 설정한다. 또한, 에지 추출을 위한 임계값T의 최소값Tmin, 최대값Tmax, 증분값ΔT, 데이터 추출의 y방향의 샘플링 간격Δy, x방향의 노이즈 저감 파라미터, y방향의 평균화 파라미터S 등, 데이터 계열 추출에 필요한 정보를 설정한다. 또, 데이터 추출에 있어서의 y방향의 샘플링 간격이 아니라, 검출 수를 설정하는 것도 가능하다. 이들 검사 영역 및 데이터 계열 추출의 조건은, 예를 들면 CD-SEM의 GUI 화면 등을 통해, 미리 설정하는 것이 바람직하다.The information processing apparatus 1812 sets a rectangular inspection area of 1024 pixels in the vertical direction and 50 pixels in the horizontal direction in the area including the edge to be analyzed out of the two-dimensional distribution image of secondary electron signal intensity. In the data series extraction, the minimum value Tmin, the maximum value Tmax, the increment value? T, the sampling interval? Y in the y direction, the noise reduction parameter in the x direction, and the averaging parameter S in the y direction, Set the necessary information. It is also possible to set the number of detections, not the sampling interval in the y direction in data extraction. It is preferable that these inspection regions and conditions of data series extraction are set in advance through, for example, a GUI screen of a CD-SEM.

다음으로, 정보 처리 장치(1812)는, 영역 내의 에지 러프니스의 데이터 계열을 추출하는 태스크를 실행한다. 즉, 정보 처리 장치(1812)는, 설정된 추출 개시점이나 샘플링 간격에 따라서, 검사 영역 내의 화소 데이터로부터 샘플링 위치에서의 y좌표에 대응하는 신호 강도 분포를 산출하고, 또한, 최소값Tmin으로부터 최대값Tmax까지 증분값ΔT마다 설정한 임계값T에 따라, 상기 신호 강도 분포로부터 에지점의 x좌표 데이터를 산출한다. 이들 처리를, 설정된 샘플링 간격에 따라서 연속적으로 y방향으로 이동하면서 실행하고, 최종적으로, 임계값T의 함수로서 검사 영역 내에서의 에지 러프니스의 데이터 계열X(T)={Δxi(T)}={Δx1(T), Δx2(T), …}을 취득한다.Next, the information processing apparatus 1812 executes a task of extracting data series of edge roughness in the area. That is, the information processing apparatus 1812 calculates the signal intensity distribution corresponding to the y coordinate at the sampling position from the pixel data in the inspection region in accordance with the set extraction start timing and the sampling interval, and also calculates the signal intensity distribution corresponding to the maximum value Tmax The x-coordinate data of the edge point is calculated from the signal intensity distribution according to the threshold value T set for each increment value? (T) = {[Delta] xi (T)} in the inspection region as a function of the threshold value T, and finally, = {? X1 (T),? X2 (T), ... }.

구체적으로는, 정보 처리 장치(1812)는, 데이터 추출 개시점의 y좌표로서, 상기 검사 영역의 하변에 대응하는 y좌표를 설정하고, y방향의 샘플링 간격으로서 1㎚(나노미터)를 설정하고, 검사 영역 내의 에지점으로서 1㎚(나노미터) 간격으로 1024개의 점의 위치(x1(T), y1(T)), … (xi(T), yi(T)), … (x1024(T), y1024(T))를 추출했다.Specifically, the information processing apparatus 1812 sets the y coordinate corresponding to the lower side of the inspection area as the y coordinate of the data extraction starting point, sets 1 nm (nanometer) as the sampling interval in the y direction , Positions (x1 (T), y1 (T)) of 1024 points at intervals of 1 nm (nanometers) as edge points in the inspection region, ... (xi (T), yi (T)), ... (x1024 (T), y1024 (T)).

다음으로, 정보 처리 장치(1812)는, 추출된 점을 이하의 직선으로 근사하고, 피팅 파라미터(fitting parameter)인 α와 β의 값을 구했다.Next, the information processing apparatus 1812 approximates the extracted point by the following straight line, and obtains the values of? And? Which are fitting parameters.

[수식 10][Equation 10]

Figure pct00010
Figure pct00010

다음으로, 모든 임계값T에 대한 에지점의 좌표에 대하여, 정보 처리 장치(1812)는, 이하의 식 11에 따라서 에지점의 상기 직선으로부터의 어긋남량Δxi를 구하고, 에지 러프니스 계열X(T)={Δxi}T를 생성한다.Next, regarding the coordinates of the edge point with respect to all the threshold values T, the information processing apparatus 1812 obtains the shift amount? Xi of the edge point from the straight line according to the following Expression 11, and calculates the edge roughness series X ) = {DELTA xi} T.

[수식 11][Equation 11]

Figure pct00011
Figure pct00011

(화상 처리 공정)(Image processing step)

다음으로, 정보 처리 장치(1812)는, 에지 러프니스 계열X(T)={Δxi(T)}로부터 임계값마다 LER을 구하고, 구한 LER을 참의 LER에 의한 측정 편차σ_y와, 표면 요철에 의한 계측 편차σ_xz로 분해한다. 에지 러프니스 계열로부터, 참의 LER에 의한 측정 편차σ_y와 표면 요철에 의한 계측 편차σ_xz를 구하는 방법에 대해서는, 예를 들면 특허문헌 1에 기재되어 있는 방법을 이용할 수 있지만, 그 대표적인 수단에 대해서 기술하면 이하와 같다.Next, the information processing apparatus 1812 obtains the LER for each threshold value from the edge roughness series X (T) = {DELTA xi (T)}, calculates the obtained LER by the measurement deviation? Lt; RTI ID = 0.0 &gt; sigma_xz. &Lt; / RTI &gt; For example, the method described in Patent Document 1 can be used as a method of obtaining the measurement deviation σ_y by the true LER and the measurement deviation σ_xz by the surface irregularity from the edge roughness series. The following is shown below.

전술한 바와 같이, 측정된 LER에는, 파워 스펙트럼 밀도가 공간 주파수f의 2승에 반비례하는 성분(참의 LER)과, 그 이외의 고주파 성분(노이즈)이 중첩해 있다. 측정된 LER에 평균화 처리를 실시하면, 후자의 성분이 감소된다. 이 때문에, 평균화 처리의 정도를 나타내는 파라미터값을 높임에 따라, 고주파 영역에서의 파워 스펙트럼 밀도 분포가 f의 2승에 반비례하게 된다. 구체적으로는, 서로 다른 y좌표에 있어서의 x방향의 1차원 신호 강도 분포를, y방향으로 S개 평균화하는 것을 생각하면, 랜덤 노이즈의 강도는, 평균화에 의해 1/S로 저감된다. 즉, 평균화 파라미터S의 증가에 따라, 파워 스펙트럼 밀도의 주파수 의존성이 1/f2에 가까워져 간다. 이때 얻어지는 파워 스펙트럼의 밀도가 참의 LER이 된다.As described above, in the measured LER, the component (LER of true) in which the power spectral density is inversely proportional to the square of the spatial frequency f and the other high-frequency components (noise) are superimposed. When the averaged processing is performed on the measured LER, the latter component is reduced. Therefore, as the parameter value indicating the degree of averaging processing is increased, the power spectrum density distribution in the high frequency range becomes inversely proportional to the square of f. Concretely, when the one-dimensional signal intensity distribution in the x direction at different y coordinates is averaged S in the y direction, the intensity of the random noise is reduced to 1 / S by averaging. That is, as the averaging parameter S increases, the frequency dependency of the power spectrum density approaches 1 / f 2 . At this time, the density of the power spectrum obtained becomes the true LER.

여기서, 평균화 파라미터S로 평균화 처리된 데이터로부터 얻어지는 라인 에지 러프니스 지표의 값을 σm(S)로 해서, 그 S 의존성을 다음 식으로 피팅한다.Here, the value of the line edge roughness index obtained from the data averaged with the averaging parameter S is defined as? M (S), and the S dependency is fitted to the following expression.

[수식 12][Equation 12]

Figure pct00012
Figure pct00012

다만, σm(1)은, 평균화 처리 전의 데이터로부터 구한 라인 에지 러프니스 계측값이며, Δy는 에지점의 y방향 추출 간격이고, A는 피팅 파라미터이다. S 및 에지점의 추출 간격Δy는, 2SΔy<1/f0[㎚(나노미터)]을 만족시키는 것이 바람직하다. 여기에서, f0은, 통상의 레지스트 패턴의 경우, 스펙트럼의 굴곡점에 있어서, 0.008㎚-1 이하인 경우가 많다.However,? M (1) is the line edge roughness measurement value obtained from the data before the averaging processing,? Y is the y direction extraction interval of the edge point, and A is the fitting parameter. S and the extraction interval Δy of the edge points preferably satisfy 2SΔy <1 / f 0 [nm (nanometer)]. Here, f 0 is, in the case of a typical resist pattern, at a bending point of the spectrum of 0.008 nm -1 Or more.

여기에서는, 실험 결과를 잘 설명하는 S 중에서 가장 작은 S에 대하여 구해진 σ0=σ를 참의 LER로 했다. 이 경우, 공간 주파수에 의하지 않는 계측 편차 성분σb는, 다음 식에 의해 구해진다.Here, σ 0 = σ obtained for the smallest S among S, which best describes the experimental results, is set as the true LER. In this case, the measurement error component? B independent of the spatial frequency is obtained by the following equation.

[수식 13][Equation 13]

Figure pct00013
Figure pct00013

또, 정보 처리 장치(1812)는, 측정된 LER로부터 계측 오차σ_noise를 구하고, 이를 σb(T)로부터 제거함으로써, 측벽 표면의 요철 패턴이 xy평면에 투영되는 것에 의한 계측 편차(불확정성)를 나타내는 σ_xz(T)를 구했다.The information processing apparatus 1812 obtains the measurement error sigma_noise from the measured LER and removes the measurement error sigma_noise from the measured LER to obtain sigma_xz (t) representing the measurement error (uncertainty) due to projection of the concavo-convex pattern on the sidewall surface onto the xy plane (T).

[수식 14][Equation 14]

Figure pct00014
Figure pct00014

여기서, 구조체의 임계값T에 대응하는 높이에 있어서의 측벽 각도θ는, 다음 식에 의해 구해진다.Here, the sidewall angle? At the height corresponding to the threshold value T of the structure is obtained by the following equation.

[수식 15][Equation 15]

Figure pct00015
Figure pct00015

여기에서, σs는, 표면 요철의 공간 주기의 대표값이다.Here,? S is a representative value of the spatial period of the surface irregularities.

한편, y방향의 계측 범위에 대하여, x방향의 신호 강도 분포의 평균<I>(x)를 산출했다. 임계값T에 대응하는 에지 좌표x는, x= <I>-1(T)로부터 구할 수 있다. 다만, <I>-1은 <I>의 역함수이다.On the other hand, the average <I> (x) of the signal intensity distribution in the x direction was calculated with respect to the measurement range in the y direction. The edge coordinate x corresponding to the threshold value T can be obtained from x = < I > -1 (T). However, <I> -1 is the inverse of <I>.

그런데, 구조체의 단면 형상z(=Z(x))는, 전술한 바와 같이, 다음 식에 의해 구할 수 있다.By the way, the sectional shape z (= Z (x)) of the structure can be obtained by the following equation as described above.

[수식 16][Equation 16]

Figure pct00016
Figure pct00016

다만, 적분 범위는, T=Ia(0)으로부터 T=Ia(x)이다.However, the integral range is from T = Ia (0) to T = Ia (x).

이렇게 해서 구한 단면 형상을, 웨이퍼의 패턴부를 절단해서 SEM으로 관찰한 단면 형상과 비교를 행한 바, 양자는 양호하게 일치하는 것이 확인되었다. 또한, AFM에 의한 계측 결과와의 비교도 행했지만, 이들도 양호하게 일치하는 것도 확인되었다.The sectional shape obtained in this way was compared with the sectional shape obtained by cutting the pattern portion of the wafer and observing with SEM. Also, comparison with measurement results by AFM was also made, and it was confirmed that these were also in good agreement.

또, 상기 설명에서는 σs와 σ_noise는 물리적인 의미를 갖는 값으로서, 각각 독립적으로 계측해서 구한다고 했지만, 이들 양은 피팅 파라미터로서 생각해도 된다. 즉, 다른 방법에 의해 관찰한 단면 형상과, 본 발명에 의해 추정한 단면 형상이 양호하게 일치하는, σs와 σ_noise를 이용하면 된다.In the above description, σs and σ_noise are values having physical meaning and are independently measured and obtained. However, these quantities may be considered as fitting parameters. That is, σs and σ_noise may be used in which the cross-sectional shape observed by another method and the cross-sectional shape estimated by the present invention are in good agreement.

[실시예2][Example 2]

본 실시예에 있어서는, 1차원 마스크 패턴의 단면 구조뿐만 아니라, 2차원 마스크 패턴에 대해서도 입체적 구조를 추정할 수 있는 방법의 일례를 설명한다. 본 실시예에서 이용하는 주사형 전자 현미경의 구성은, 실시예1과 같으므로 설명을 생략한다.In this embodiment, an example of a method capable of estimating a three-dimensional structure not only for a cross-sectional structure of a one-dimensional mask pattern but also for a two-dimensional mask pattern will be described. The configuration of the scanning electron microscope used in this embodiment is the same as that of the first embodiment, and a description thereof will be omitted.

실시예1의 방법을 2차원 마스크 패턴으로 확장하는 방법에는 다음 2가지의 방법을 생각할 수 있다.The following two methods can be considered as a method of extending the method of the first embodiment into a two-dimensional mask pattern.

[제1 방법][First Method]

이 방법에서는, 실시예1의 방법에 의해 1차원 패턴에 대하여 임계값과 패턴 높이의 관계를 구하고, 이 관계를 그대로 2차원 패턴에 대하여 적용한다.In this method, the relationship between the threshold value and the pattern height is obtained for the one-dimensional pattern by the method of the first embodiment, and this relationship is directly applied to the two-dimensional pattern.

[제2 방법][Second Method]

이 방법에서는, 실시예1의 방법을 2차원 에지 정보에 대하여 확장한다.In this method, the method of the first embodiment is extended with respect to the two-dimensional edge information.

우선, 제1 방법에 대해서 설명한다. 정보 처리 장치(1812)는, 실시예1의 방법과 마찬가지로 취득한 2차원 화상(도 16의 상단 좌측도)에 대하여, 그 1차원 패턴 부분에 실시예1의 방법을 적용해서 단면 형상z=Z(x)를 구한다(추정한다).First, the first method will be described. The information processing apparatus 1812 applies the method of Embodiment 1 to the one-dimensional pattern portion of the obtained two-dimensional image (upper left view in Fig. 16) in the same manner as the method of Embodiment 1 to obtain the sectional shape z = Z ( x) is estimated (estimated).

다음으로, 정보 처리 장치(1812)는, 상기 z=Z(x)와, 상기 형상의 도출에 이용한 신호 강도 분포T=I(x)의 2가지의 관계로부터 x를 소거함으로써, 구조체의 높이z와 임계값T의 관계z=Z'(T)를 얻는다. 도 16의 상단 좌측도의 2차원 마스크 패턴에 대한 SEM 관찰 화상에 대하여, 임계값T를 바꿔서 에지를 추출하면, 도 16의 상단 중간도와 같은 등고선(等高線)이 얻어진다.Next, the information processing apparatus 1812 erases x from the two relations of z = Z (x) and the signal intensity distribution T = I (x) used for deriving the shape to obtain the height z And the threshold value T, z = Z '(T). When the edge is extracted by changing the threshold value T with respect to the SEM observation image of the two-dimensional mask pattern of the upper left diagram in Fig. 16, contour lines (contour lines) similar to those at the upper half of Fig. 16 are obtained.

다음으로, 정보 처리 장치(1812)는, 상기 구조체의 높이z와 임계값T의 관계에 따라, 도 16의 상단 중간도의 T를 z로 변환하고, 도 16의 상단 우측도와 같은 2차원 패턴의 높이 정보를 얻는다. 또한, T와 z 사이에는, 도 16의 하단에 나타내는 관계가 성립한다. 이 관계를 주는 데이터는, 예를 들면 데이터 기억 장치(1813)에 미리 저장해 둔다.Next, the information processing apparatus 1812 converts T in the upper middle diagram of Fig. 16 into z according to the relationship between the height z of the structure and the threshold value T, Get height information. Between T and z, the relationship shown in the lower part of Fig. 16 is established. The data giving this relationship is stored in advance in the data storage device 1813, for example.

본 방법의 과제는, 실시예1의 방법에 의해 구한 구조체의 높이z와 임계값T의 관계z=Z(T)가, 2차원 마스크 패턴의 구조체에 있어서 성립하는 보증이 반드시 있는 것은 아니다. 일반적으로, 높이와 신호 강도의 관계는 일의적이지 않다. 그러나, 라인 폭 등의 패턴 특성이 가까운 패턴에 대해서는, 본 방법은, 비교적 양호한 근사를 줄 수 있다.The problem of this method is that there is not necessarily a guarantee that the relation z = Z (T) between the height z and the threshold value T of the structure obtained by the method of the first embodiment is satisfied in the structure of the two-dimensional mask pattern. Generally, the relationship between height and signal strength is not unconventional. However, for a pattern having a close pattern characteristic such as a line width, the present method can give comparatively good approximation.

다음으로, 제2 방법에 대하여 설명한다. 본 방법은, 동일한 마스크 위의 상이한 복수의 위치에 동일한 설계 패턴이 존재할 경우에 적용할 수 있다. 우선, 정보 처리 장치(1812)는, 마스크 위의 복수의 동일 2차원 패턴에 대해서 형성된, 웨이퍼 위의 복수의 2차원 패턴을 동일 조건에서 SEM 관찰하고, 복수의 SEM 화상(신호 강도 분포)을 얻는다.Next, the second method will be described. The present method can be applied when the same design pattern exists in a plurality of different positions on the same mask. First, the information processing apparatus 1812 obtains a plurality of SEM images (signal intensity distribution) by observing a plurality of two-dimensional patterns on a wafer formed on a plurality of identical two-dimensional patterns on a mask under the same conditions by SEM observation .

이들 복수의 SEM 화상에 대하여, 정보 처리 장치(1812)는, 어떤 임계값T에서 잘랐을 때의 에지 좌표열을 구하고, 각 좌표점을 이은 등고선을 생각한다. 이때, 계측 정밀도를 확보하기 위해서, 에지의 추출은, 등고선에 대략 수직한 방향을 따른 신호 강도 분포를 구하고, 이에 대하여 임계값을 적용함으로써 구하는 것이 바람직하다.For the plurality of SEM images, the information processing apparatus 1812 obtains an edge coordinate string at a certain threshold value T, and considers contour lines after each coordinate point. At this time, in order to secure the measurement accuracy, it is preferable to extract the edge by finding a signal intensity distribution along a direction substantially perpendicular to the contour line and applying a threshold value thereto.

다음으로, 정보 처리 장치(1812)는, 상기 복수의 화상에 대하여 구한 등고선 간의 거리 편차의 총합이 최소로 되도록, 각 화상을 평행 방향으로 시프트한다. 시프트 위치의 기준은, 적절히 설정해도 된다. 또한, 정보 처리 장치(1812)는, 평행 시프트 후의 각 화상의 등고선에 대하여 평균 형상을 구하고, 각 화상의 등고선에 대하여 등고선의 접선과 수직한 방향에 대한 평균 형상과의 차분(거리)을 산출한다.Next, the information processing apparatus 1812 shifts each image in the parallel direction so that the sum of the distance deviations between the contour lines obtained for the plurality of images is minimized. The reference of the shift position may be set appropriately. The information processing apparatus 1812 calculates an average shape of the contour line of each image after the parallel shift and calculates the difference (distance) between the contour line and the average shape in the direction perpendicular to the tangent line of the contour line .

정보 처리 장치(1812)는, 각 에지점에 대하여, 차분의 분포의 표준 편차를 구하고, 이를 실시예1에 있어서의 σb(T)에 상당하는 값으로 가정한다. 이에 따라, 실시예1과 마찬가지로, 식 5, 식 6, 식 7, 식 8 등을 이용하여 구한 경사각θ를, 당해 위치에 있어서의 임계값T에 대응하는 높이의 측벽 각도θ로 한다. 임계값T를 바꿔서 에지를 추출한 방향을 따라서, 식 9와 마찬가지인 적분을 행함으로써, 상기 방향을 따른 세로 구조를 구할 수 있다.The information processing apparatus 1812 calculates the standard deviation of the distribution of the differences for each edge point and assumes a value corresponding to? B (T) in the first embodiment. Accordingly, as in the first embodiment, the inclination angle? Obtained by using equations 5, 6, 7, 8, etc. is defined as the sidewall angle? Of the height corresponding to the threshold value T at the position. The vertical structure along the above direction can be obtained by carrying out integration similar to the expression (9) along the direction in which the edge is extracted by changing the threshold value T. [

제2 방법으로 구한 σb(T)는, 참의 LER에 상당하는 성분을 포함하는 것이 염려되지만, 평행 시프트를 행함으로써, 비교적 장(長)주기의 LER에 기인하는 에지시프트는 상쇄(相殺)할 수 있다고 기대된다. 또한, 참의 LER의 단(短)주기 성분과 계측 오(誤)계산 성분은, 상기 σb(T)로부터 적절히 제거하는 것이 바람직하다.It is feared that the σb (T) obtained by the second method includes a component corresponding to the true LER. By performing the parallel shift, however, the edge shift due to the LER of a comparatively long period is canceled It is expected to be able. It is preferable that the short period component of the true LER and the measurement error calculation component are appropriately removed from the above-mentioned? B (T).

본 실시예의 경우에도, 실시예1의 경우와 마찬가지로, σs와 σe는, 물리적인 의미를 갖는 값으로서, 각각 독립적으로 계측해서 구해도 되고, 피팅 파라미터로서 생각해도 된다. 발명자는, 이러한 방법에 의해 추정한 구조체의 단면 형상이, 동일한 구조체를 절단해서 SEM 화상으로서 관찰한 단면 형상과 양호하게 일치하는 것을 확인했다.In the case of this embodiment, as in the case of the first embodiment,? S and? E are values having physical meaning and can be obtained by measuring them independently, or may be considered as fitting parameters. The inventors confirmed that the cross-sectional shape of the structure estimated by this method was in good agreement with the cross-sectional shape observed as an SEM image by cutting the same structure.

[실시예3][Example 3]

본 실시예에서는, 본 발명을, 반도체 집적회로 등의 제조에 사용되는 광 리소그래피에 의한 레지스트 패턴 형성 프로세스, 또는 이를 이용하여 형성한 반도체 집적회로의 입체적 구조의 품질 평가, 및 상기 프로세스 모니터에 적용하는 예에 대하여 설명한다.In the present embodiment, the present invention is applied to a process for forming a resist pattern by photolithography used for manufacturing a semiconductor integrated circuit or the like, or for evaluating the quality of a three-dimensional structure of a semiconductor integrated circuit formed using the same, An example will be described.

본 실시예에서는, 형성된 패턴의 품질 지표로서, 추정한 패턴의 높이와 설계상의 패턴의 높이의 편차의 절대값을 평가 영역의 전체면에 대해서 적분한 값, 또는 그 값의 2승 평균값을 이용한다(도 17의 상단도(a)의 우측도에 해칭에 의해 나타내는 부분의 면적에 대응하는 양).In the present embodiment, a value obtained by integrating the absolute value of the deviation of the height of the estimated pattern and the height of the designed pattern on the entire surface of the evaluation region or a root mean square value of the evaluation value is used as the quality index of the formed pattern The amount corresponding to the area of the portion indicated by hatching in the right side view of the top view (a) of Fig. 17).

또, 보다 단순하게, 전술한 실시예의 방법을 적용해서 추정한 단면 형상(예를 들면 도 17의 상단도(a)의 좌측도에 있어서의 곡선 하부)의 단면적Sp와 이상적 설계 형상(예를 들면 도 17의 상단도(a)의 좌측도에 있어서의 장방형 부분)의 단면적Si의 비(比)Sp/Si(1차원 마스크 패턴의 경우), 또는 전술한 실시예의 방법에 의해 추정한 형상의 체적Vp와 이상적 설계 형상의 체적Vi의 비Vp/Vi(2차원 마스크 패턴의 경우)를, 패턴 품질 지표로서 이용해도 된다. 다만, 이 경우에는, 설계상의 패턴이 원래 존재하지 않아야 할 영역에 존재하는 패턴의 면적 또는 체적을 부(負)의 값으로 취급하는 것이 바람직하다. 이에 따라, 설계상의 패턴이 본래 존재하지 않아야 할 영역에 패턴이 존재하는 경우에도, 품질 열화로서 검지할 수 있다.In addition, the cross sectional area Sp of the cross-sectional shape estimated by applying the method of the embodiment described above (for example, the lower part of the curve in the left side view of the top view (a) in Fig. 17) and the ideal design shape (Ratio) Sp / Si (in the case of the one-dimensional mask pattern) of the cross-sectional area Si of the rectangular shape in the upper view of FIG. 17 (left side view in FIG. The ratio Vp / Vi of the volume Vi of the ideal design shape (in the case of the two-dimensional mask pattern) may be used as the pattern quality index. However, in this case, it is preferable to treat the area or the volume of the pattern existing in the area where the design pattern should not originally exist as a negative value. Thus, even when a pattern exists in an area where a design pattern should not exist, it can be detected as quality deterioration.

2차원 마스크 패턴에 대한 이상적인 설계 형상에는, 회로 패턴의 설계 형상, 상기 회로 설계 형상에 대하여 광학적 근접 효과 보정 등을 실시한 마스크 패턴에 대한 광학 시뮬레이션의 결과, 다양한 실제의 노광 결과에 대하여 전술한 실시예에서 설명한 방법을 적용해서 추정한 체적 중의 최대값 등을 이용할 수 있다.As a result of optical simulation on a mask pattern obtained by performing optical proximity effect correction on the design pattern of the circuit pattern and the circuit design pattern, the ideal design form for the two-dimensional mask pattern includes various actual exposure results, And the maximum value of the volume estimated by applying the method described in the above.

패턴 형성용 노광 장치의 포커스 및 노광량 조건을 웨이퍼 위의 노광 샷마다 매트릭스 형상으로 다양하게 변화시켜서 노광한 웨이퍼에 대하여, 상기 노광 샷마다 마스크 위의 동일 패턴에 대한 레지스트 패턴을 CD-SEM으로 관찰하고, 그 관찰상으로부터 샷마다 패턴 치수를 측정함과 함께, 실시예1에 기재한 방법에 의해 패턴 단면 형상을 추정하고, 상기 패턴 품질 지표를 구했다.A resist pattern for the same pattern on the mask for each of the exposure shots was observed by CD-SEM on wafers exposed by varying the focus and exposure amount conditions of the pattern forming exposure apparatus in a matrix form for each exposure shot on the wafer , The pattern dimension was measured for each shot from the observation image, the pattern cross-sectional shape was estimated by the method described in Example 1, and the pattern quality index was obtained.

도 17의 중단도(b)에 나타내는 바와 같이, 패턴의 치수는, 노광량에 대하여 단조 감소됐지만, 포커스 설정값에 대한 패턴 치수 변화는 작고, 패턴 치수 변화로부터 포커스 설정값을 추정하는 것은 어려웠다. 이에 대해 도 17의 하단도(c)에 나타내는 바와 같이, 패턴 품질 지표는, 노광량 및 포커스의 양쪽의 설정값에 대하여 거의 단조적으로 변화되며, 포커스 설정값의 모니터로서 적용할 수 있었다. 다만, 패턴 품질 지표는, 노광량에 대해서도 변화된다. 그래서, 우선 패턴 치수 변화로부터 노광량을 추정하고, 상기 노광량에 대하여, 패턴 품질 지표를 이용하여 포커스 설정값을 추정하는 것이 바람직하다.As shown in the interim diagram (b) of FIG. 17, although the pattern dimension is monotonously decreased with respect to the exposure amount, the pattern dimension variation with respect to the focus setting value is small, and it is difficult to estimate the focus setting value from the pattern dimension variation. On the other hand, as shown in the lower diagram (c) of FIG. 17, the pattern quality index changes almost monotonously with respect to both the exposure amount and the focus, and was applicable as a monitor of the focus setting value. However, the pattern quality index is also changed with respect to the exposure amount. Therefore, it is preferable to first estimate the exposure amount from a change in the pattern dimension, and estimate the focus setting value using the pattern quality index with respect to the exposure amount.

본 실시예와 같이, 추정된 단면 형상을, 형성된 패턴의 품질 지표에 이용하면, 간편하고 또한 고속으로 최적인 제조 조건을 판정하거나, 품질 저하를 검지할 수 있다. 또한, 당해 판정 결과 등을 제조 프로세스에 피드백하면, 형성되는 패턴의 품질 열화를 억제하고, 반도체 장치를 비롯한 각종 소자의 성능을 향상시킬 수 있다.As in the present embodiment, by using the estimated cross-sectional shape in the quality index of the formed pattern, it is possible to determine the optimum manufacturing conditions at a simple and high speed, and to detect the quality deterioration. Further, if the determination result or the like is fed back to the manufacturing process, quality deterioration of the formed pattern can be suppressed and the performance of various devices including the semiconductor device can be improved.

[실시예4][Example 4]

본 실시예에서는, σx, σs를 구하는 다른 방법으로서, xy방향의 2차원 신호 강도 분포를 주파수 분석하는 방법에 대하여 설명한다. 즉, 제2 실시형태에 따른 2차원 입체 형상의 추정 방법에 대하여 설명한다.In this embodiment, as another method of obtaining? X and? S, a method of performing frequency analysis on the two-dimensional signal intensity distribution in the xy direction will be described. That is, a method of estimating a two-dimensional solid shape according to the second embodiment will be described.

여기에서는, 도 18의 상단도(a)와 같은 입체 구조체의 측벽의 전체면에, 표면을 따라서 등방적인 표면 요철이 존재한다고 가정하고, 그 요철 정보만을, 입체 구조체의 상방으로부터 관찰할 경우를 상정한다. 이때, 도 18의 하단도(b)에 나타내는 패턴이 요철 정보로서 취득된다고 생각된다.Here, assuming that isotropic surface irregularities are present along the surface on the entire surface of the side wall of the three-dimensional structure as shown in the upper part (a) of Fig. 18 and assuming that only the irregularity information is observed from above the three- do. At this time, it is considered that the pattern shown in the bottom view (b) of Fig. 18 is acquired as the unevenness information.

여기서, 요철의 x방향의 주기를 Lx로 하고 y방향의 주기를 Ly로 하면, 경사각θ는 다음 식에 의해 구해진다.Here, when the period of the concavities and convexities in the x direction is Lx and the period in the y direction is Ly, the inclination angle? Is obtained by the following equation.

[수식 17][Equation 17]

Figure pct00017
Figure pct00017

이때, 관찰되는 2차 전자 신호의 2차원 강도 분포는, 첫째로 x방향의 매크로한 강도 분포, 둘째로 y방향의 참의 LER에 의한 강도 변동, 셋째로 표면 요철에 의한 국소적인 강도 변동이라고 하는, 3가지 변동 요인을 포함한다. 따라서, 관찰상으로부터 제1 및 제2 변동 요인을 제거함으로써, 제3 표면 요철 정보만을 추출할 수 있다. 구체적인 순서의 일례를, 도 19를 사용하여 설명한다.At this time, the two-dimensional intensity distribution of the secondary electron signal observed is firstly the macroscopic intensity distribution in the x direction, secondly the intensity variation due to the true LER in the y direction, and thirdly the local intensity variation due to the surface irregularities , And 3 variables. Therefore, by removing the first and second variation factors from the observation image, only the third surface irregularity information can be extracted. An example of a specific sequence will be described with reference to Fig.

우선, 정보 처리 장치(1812)는, SEM상을 취득한 후, 해석 영역을 지정하고, 상기 영역 내에서 2차원 강도 분포를 취득한다(스텝 S1901). 다음으로, 정보 처리 장치(1812)는, 취득된 2차원 강도 분포에 대하여 2차원 공간 주파수 필터링을 적용하고, 제1 변동 성분에 의한 부분 및 제2 변동 성분의 고주파 성분을 제외한 부분의 합과, 제2 변동 성분의 고주파 성분과 제3 변동 요인에 의한 부분의 합으로 분해한다(스텝 S1902). 후자는, 참의 LER에 의한 변동의 고주파 성분과 표면 요철에 의한 변동을 포함한다.First, the information processing apparatus 1812 acquires a SEM image, designates an analysis area, and acquires a two-dimensional intensity distribution within the area (step S1901). Next, the information processing apparatus 1812 applies the two-dimensional spatial frequency filtering to the acquired two-dimensional intensity distribution, and calculates a sum of the sum of the portion excluding the high-frequency component of the portion due to the first fluctuation component and the high- Frequency component of the second fluctuation component and the portion due to the third fluctuation factor (step S1902). The latter includes fluctuations due to high frequency components of the variation due to true LER and surface irregularities.

일반적으로, 구조체의 측벽각θ은 x방향으로 변화되므로, 강도 분포 변동의 x방향 공간 주기는 x방향으로 변화된다. 따라서, 공간 주기는, x방향에 대하여 국소적으로 평가하는 것이 바람직하다. 이러한 해석을 행하는 일반적인 방법으로서, 예를 들면 웨이브렛(wavelet) 해석이 있다. 정보 처리 장치(1812)는, x방향으로 웨이브렛 해석을 행함으로써, x방향을 따른 공간 주파수 특성의 변화를 검출한다(스텝 S1903).Generally, since the sidewall angle? Of the structure changes in the x direction, the x direction spatial period of the intensity distribution variation changes in the x direction. Therefore, it is preferable to evaluate the spatial period locally with respect to the x direction. As a general method for carrying out such an analysis, for example, there is a wavelet analysis. The information processing apparatus 1812 detects wavelet analysis in the x direction to detect a change in the spatial frequency characteristic along the x direction (step S1903).

이 방법에 의해 얻어진 공간 주파수 특성(파워 스펙트럼 밀도PSD의 공간 주파수 의존성)의 x의존성의 일례를 도 20에 내타낸다. 공간 주파수 특성은, x에 의존하여 변화되고, 특성에 피크가 얻어지는 경우에는 피크 위치의 주파수를 대표 공간 주파수로 하고, 피크가 명확하지 않을 경우에는 공간 주파수의 분포 영역(예를 들면 반치폭(半値幅))을 대표 공간 주파수로 하고, 그 역수에 의해 x방향의 공간 주기σx를 구한다. 마찬가지로, 정보 처리 장치(1812)는, y방향으로 공간 주파수 해석을 행함으로써 구한 대표 공간 주파수로부터 σy를 구한다. 또한, 정보 처리 장치(1812)는, xy양방향으로 2차원의 웨이브렛 해석을 해도 된다. 또, 이 과정에 있어서, 필요에 따라, 정보 처리 장치(1812)는, 제2 변동 성분의 고주파 성분을 추정하고, 후자로부터 제거한다. 이렇게 해서, 정보 처리 장치(1812)는, σx와 σy의 2차원 분포를 구한다.An example of the x dependency of the spatial frequency characteristic (spatial frequency dependency of power spectral density PSD) obtained by this method is shown in Fig. When the peak is obtained, the frequency of the peak position is set as the representative spatial frequency, and when the peak is not clear, the spatial frequency characteristic is changed in the distribution area of the spatial frequency (for example, half width ) Is set as the representative spatial frequency, and the spatial period? X in the x direction is determined by the inverse number. Similarly, the information processing apparatus 1812 obtains? Y from the representative spatial frequency obtained by performing spatial frequency analysis in the y direction. Further, the information processing apparatus 1812 may perform a two-dimensional wavelet analysis in both x and y directions. In this process, if necessary, the information processing apparatus 1812 estimates the high-frequency component of the second variation component and removes it from the latter. In this way, the information processing apparatus 1812 obtains a two-dimensional distribution of? X and? Y.

정보 처리 장치(1812)는, 측벽각의 2차원 분포θ(x, y)를 다음 식으로부터 구한다(스텝 S1904).The information processing apparatus 1812 obtains the two-dimensional distribution? (X, y) of the sidewall angle from the following equation (step S1904).

[수식 18][Equation 18]

Figure pct00018
Figure pct00018

또한, 정보 처리 장치(1812)는, 상기 식을 위치(x, y)에서 적분함으로써 2차원 입체 형상을 추정한다(스텝 S1905).Further, the information processing apparatus 1812 estimates the two-dimensional solid shape by integrating the above equation at the position (x, y) (step S1905).

[실시예5][Example 5]

본 실시예에서는, 구조체의 표면에 형성된 요철 패턴이 반드시 등방적인 것은 아닌 경우에 대하여 설명한다. 구조체 표면의 요철 패턴이 등방적이라는 전제가 성립되지 않는 케이스에는, 예를 들면 레지스트 구조체의 측벽 형상이, 레지스트막 내에 있어서의 노광 광의 간섭에 의한 정재파의 영향을 받을 경우가 있다. 이 경우, 2차 전자 신호 강도 분포는, 도 21에 나타내는 바와 같이, 구조체의 1개의 에지에 대하여, 평행한 복수의 에지로서 관찰된다. 이 경우, 복수 에지 간의 측벽 경사 각도는, 에지 간격을 ΔLx로 해서, 다음 식으로 나타내진다.In this embodiment, the case where the concavo-convex pattern formed on the surface of the structure is not necessarily isotropic will be described. In a case where the assumption that the concavo-convex pattern on the surface of the structure is isotropic is not established, for example, the shape of the side wall of the resist structure may be influenced by the standing wave due to the interference of exposure light in the resist film. In this case, the secondary electron signal intensity distribution is observed as a plurality of edges parallel to one edge of the structure, as shown in Fig. In this case, the sidewall inclination angle between the plural edges is expressed by the following equation, with the edge interval being? Lx.

[수식 19][Expression 19]

Figure pct00019
Figure pct00019

다만, λ는 당해 레지스트 패턴의 노광에 사용된 광의 파장이며, n은 상기 파장의 광에 대한 상기 레지스트 재료의 굴절률이다. 정재파는, 레지스트 노광시에 레지스트 하지(下地)막으로부터의 반사가 크면 출현하지만, 이 경우, 레지스트막 두께의 변동에 따라 레지스트 치수가 민감하게 변동한다. 이는, 실제의 생산 공정에서는 바람직한 것이 아니므로, 통상 이를 억제하기 위해서 충분한 반사 방지책이 이루어진다. 이 때문에, 실제로는 상기와 같은 정재파가 영향을 주는 경우는 적다.Lambda is the wavelength of light used for exposure of the resist pattern, and n is the refractive index of the resist material with respect to the light of the wavelength. The standing wave appears when the reflection from the resist underlayer film is large at the time of resist exposure, but in this case, the resist dimension fluctuates sensitively according to the fluctuation of the resist film thickness. This is not preferable in an actual production process, and therefore, a sufficient antireflective measure is provided in order to suppress this. Therefore, in reality, the standing wave as described above affects less.

표면 요철이 등방적인 전제가 반드시 성립하는 것은 아닌 다른 케이스로서, 등방적인 표면 요철을 갖는 레지스트 패턴을 에칭해서 피에칭 구조를 전사할 경우가 있다. 레지스트 패턴 표면의 요철은, 에칭에 의해 피에칭막의 구조체 표면에 전사되지만, 에칭 전사 후의 구조체의 러프니스 해석에 의하면, 피에칭 구조체의 러프니스는, 레지스트 구조체의 러프니스를 거의 반영한 것인 경우가 많다.There is a case in which a resist pattern having isotropic surface irregularities is etched to transfer the etched structure as a case other than the one in which the irregularities on the surface irregularities are not necessarily established. The roughness of the surface of the resist pattern is transferred to the surface of the structure of the etched film by etching. According to the roughness analysis of the structure after etching transfer, roughness of the etched structure substantially reflects the roughness of the resist structure many.

이 경우, 도 22에 나타내는 바와 같이, 요철의 에지 방향(y방향)의 주기는 변하지 않지만, 세로 방향의 치수는 변화된다. 여기에서, 레지스트 재료의 에칭 속도Vr과 피에칭막의 에칭 속도Ve의 비를 Retch=Ve/Vr로 하고, 에칭이 완전하게 이방적(異方的)이라고 하면, 요철의 세로 방향 치수는 대략 Retch배로 된다고 생각된다. 이 경우, 측벽 각도가 비교적 급준(急峻)하다고 가정하면, 식 5에 있어서의 L도 Retch배 하면 된다.In this case, as shown in Fig. 22, the period in the edge direction (y direction) of the unevenness does not change, but the dimension in the vertical direction changes. Assuming that the ratio of the etching rate Vr of the resist material to the etching rate Ve of the etched film is Retch = Ve / Vr and the etching is completely anisotropic, the longitudinal dimension of the concavities and convexities is approximately Retch times . In this case, assuming that the sidewall angle is relatively steep, L in Expression (5) may be multiplied by Retch.

실제로는, 에칭은 에지 방향과 수직한 방향(x방향)으로도 진행한다(에칭). 이 경우, 요철의 종횡 치수비는 반드시 Retch와 같은 것은 아니다. 따라서, 예를 들면 다른 방법에 의해 관찰한 단면 형상과, 전술한 방법에 의해 추정한 단면 형상이 양호하게 일치하도록, 식 5에 있어서의 L을 피팅 파라미터로서 최적화하는 등 하는 것이 바람직하다.Actually, the etching also proceeds in a direction (x direction) perpendicular to the edge direction (etching). In this case, the aspect ratio of the irregularities is not necessarily the same as Retch. Therefore, for example, it is preferable to optimize L in the expression (5) as a fitting parameter so that the cross-sectional shape observed by another method and the cross-sectional shape estimated by the above-described method are in good agreement.

또, 본 발명의 가장 일반적인 개념은, 구조체를 상면으로부터 관찰한 2차원 화상의 신호 강도 분포의 국소적인 편차의 정보로부터, 구조체의 입체 형상을 추정하는 것이다. 따라서, 추정 알고리즘은 상기에 나타낸 방법으로 한정되지 않는다.The most general concept of the present invention is to estimate the three-dimensional shape of a structure from information of local variations in the signal intensity distribution of a two-dimensional image observed from the top surface of the structure. Therefore, the estimation algorithm is not limited to the above-described method.

(다른 실시예)(Another embodiment)

또, 본 발명은 상술한 실시예에 한정되는 것이 아니라, 다양한 변형예를 포함하고 있다. 예를 들면 상술한 실시예는, 본 발명을 이해하기 쉽게 설명하기 위해서, 일부의 실시예에 대해서 상세하게 설명한 것이며, 반드시 설명한 모든 구성을 구비할 필요는 없다. 또한, 어떤 실시예의 일부를 다른 실시예의 구성으로 치환하는 것이 가능하며, 어떤 실시예의 구성에 다른 실시예의 구성을 부가하는 것도 가능하다. 또한, 각 실시예의 구성의 일부에 대해서, 다른 구성을 추가, 삭제 또는 치환하는 것도 가능하다.The present invention is not limited to the above-described embodiments, but includes various modifications. For example, the above-described embodiment has been described in detail with respect to some embodiments in order to facilitate understanding of the present invention, and it is not necessarily required to include all the configurations described above. It is also possible to replace some of the embodiments with the configurations of other embodiments, and it is also possible to add configurations of other embodiments to the configurations of some embodiments. It is also possible to add, delete or replace other configurations with respect to some of the configurations of the embodiments.

또한, 상술한 각 구성, 기능, 처리부, 처리 수단 등은, 이들의 일부 또는 전부를, 예를 들면 집적회로 이외의 하드웨어로서 실현해도 된다. 또한, 상기의 각 구성, 기능 등은, 프로세서가 각각의 기능을 실현하는 프로그램을 해석하고, 실행함으로써 실현해도 된다. 즉, 소프트웨어로서 실현해도 된다. 각 기능을 실현하는 프로그램, 테이블, 파일 등의 정보는, 메모리나 하드디스크, SSD(Solid State Drive) 등의 기억 장치, IC 카드, SD 카드, DVD 등의 기억 매체에 저장할 수 있다.The above-described components, functions, processing units, processing means, and the like may be partially or entirely realized as hardware other than, for example, an integrated circuit. Further, the above-described configurations, functions, and the like may be realized by analyzing and executing a program that realizes the respective functions of the processor. That is, it may be realized as software. Information such as a program, a table, and a file that realize each function can be stored in a storage device such as a memory, a hard disk, a solid state drive (SSD), or a storage medium such as an IC card, an SD card, or a DVD.

또한, 제어선이나 정보선은, 설명상 필요하다고 생각되는 것을 나타낸 것이며, 제품상 필요한 모든 제어선이나 정보선을 나타내는 것은 아니다. 실제로는 거의 모든 구성이 서로 접속되어 있다고 생각해도 된다.Note that the control line and the information line indicate what is considered necessary for explanation, and not all the control lines and information lines necessary for the product. In practice, it can be considered that almost all configurations are connected to each other.

1801 주사형 전자선 현미경의 케이싱
1802 전자총
1803 전자선
1804 수속 렌즈
1805 편향기
1806 대물 렌즈
1807 관찰 웨이퍼
1808 스테이지
1809 2차 전자
1810 검출기
1811 제어계
1812 정보 처리 장치
1813 데이터 기억 장치
1801 Casing of scanning electron microscope
1802 electron gun
1803 electron beam
1804 compliant lens
1805 deflector
1806 objective lens
1807 observation wafer
1808 Stage
1809 Secondary electron
1810 detector
1811 Control system
1812 Information processing equipment
1813 Data storage device

Claims (10)

계산기에,
입체적인 구조체가 상면에 형성된 기판의 주면(主面)에 대략 수직한 방향으로부터 수속(收束) 에너지선을 조사함과 함께 상기 기판의 상면을 주사시키고, 상기 기판 및 상기 구조체로부터 발생한 2차 에너지선 또는 상기 기판 및 상기 구조체에 의해 반사 또는 산란된 에너지선의 강도를 검출 및/또는 측정하고, 상기 구조체의 상면 관찰상(觀察像)을 취득하는 처리와,
상기 상면 관찰상에서의 수속 에너지선의 조사 위치와 측정된 상기 강도로부터 상기 구조체의 표면의 요철 형상에 의한 산란 강도의 불확정성(uncertainty) 정보를 구하는 처리와,
구한 상기 불확정성 정보에 의거하여 상기 구조체의 표면의 경사 각도θ를 구하는 처리와,
구한 경사 각도θ에 의거하여 상기 구조체의 입체 형상을 추정하는 처리
를 실행시키는 것을 특징으로 하는 패턴 형상 평가 방법.
On the calculator,
Irradiating an upper surface of the substrate with a convergent energy beam from a direction substantially perpendicular to the main surface of the substrate having the three-dimensional structure formed on the upper surface thereof, scanning the upper surface of the substrate with a secondary energy ray A process of detecting and / or measuring the intensity of an energy ray reflected or scattered by the substrate and the structure and obtaining a top view of the structure,
A process of obtaining uncertainty information of scattering intensity due to the concave-convex shape of the surface of the structure from the irradiation position of the convergent energy line on the top surface observation and the measured intensity,
A step of obtaining an inclination angle? Of the surface of the structure based on the obtained uncertainty information,
A process of estimating the three-dimensional shape of the structure based on the obtained inclination angle?
Is performed.
제1항에 있어서,
상기 수속 에너지선은 수속 전자선이고, 상기 2차 에너지선은 2차 전자인
것을 특징으로 하는 패턴 형상 평가 방법.
The method according to claim 1,
Wherein the convergent energy beam is a convergent electron beam, and the secondary energy beam is a secondary electron beam
And the pattern shape evaluation method.
제1항에 있어서,
상기 불확정성 정보를 구하는 처리는,
상기 2차 에너지선의 강도가 서로 다른 복수의 레벨에 대응해서 복수의 에지점 열(列)을 추출하고, 상기 복수의 에지점 열의 각 좌표의 설계 좌표로부터의 어긋남을 산출해서 에지점의 변동값을 산출하는 서브 처리와,
상기 변동값에 의거하여, 상기 서로 다른 복수의 레벨에 대응하는 상기 상면 관찰상 위의 각 위치에서의 상기 구조체의 표면의 경사 각도를 구하는 서브 처리
를 갖는 것을 특징으로 하는 패턴 형상 평가 방법.
The method according to claim 1,
The process for obtaining the uncertainty information may include:
A plurality of edge point arrays corresponding to a plurality of levels having different intensities of the secondary energy rays are extracted and a deviation of each of the plurality of edge point arrays from the design coordinates is calculated to obtain a variation value of the edge point A sub-
A sub-process for obtaining an inclination angle of the surface of the structure at each position above the upper surface observation corresponding to the plurality of different levels based on the variation value
And the pattern shape evaluation method.
제3항에 있어서,
상기 에지점의 변동값을 산출하는 서브 처리는,
상기 복수의 에지점 열의 공간 주파수에 의존하지 않는 성분을 구하는 공정,
상기 에지점 열로부터 그 파워 스펙트럼이 공간 주파수의 2승(乘)에 반비례하는 성분을 제거하는 공정, 또는
검출된 노이즈 성분을 제거하는 공정
을 갖는 것을 특징으로 하는 패턴 형상 평가 방법.
The method of claim 3,
The sub-process for calculating the variation value of the edge point,
A step of obtaining a component that does not depend on the spatial frequency of the plurality of edge point sequences,
A step of removing a component whose power spectrum is inversely proportional to the square of the spatial frequency from the edge point sequence,
A step of removing the detected noise component
And the pattern shape evaluation method.
제1항에 있어서,
상기 경사 각도θ를 구하는 처리는,
상기 상면 관찰상의 임의의 소정의 영역 내에서, 상기 불확정성 정보σx의 함수로 해서, 상기 영역의 상기 기판의 주면에 대한 경사 각도θ를 구하는
것을 특징으로 하는 패턴 형상 평가 방법.
The method according to claim 1,
The processing for obtaining the inclination angle?
As a function of the uncertainty information [sigma] x, an inclination angle &amp;thetas; with respect to the main surface of the substrate in the region is obtained within any predetermined region on the top surface observation
And the pattern shape evaluation method.
제1항에 있어서,
상기 경사 각도θ를 구하는 처리는,
상기 상면 관찰상의 상기 강도의 분포에 대하여 서로 다른 복수의 임계값을 설정하고, 각 임계값에 대하여 복수의 에지점 열을 추출함으로써 구한 경사 각도θ를, 상기 복수의 에지점 열의 각 에지 위치에서의 경사 각도θ로 하고,
상기 입체 형상을 추정하는 처리는,
상기 상면 관찰상의 각 점에서의 경사 각도 분포를 구하고, 상기 경사 각도의 분포를 적분함으로써 입체 형상을 추정하는
것을 특징으로 하는 패턴 형상 평가 방법.
The method according to claim 1,
The processing for obtaining the inclination angle?
Wherein a plurality of threshold values different from each other are set for the distribution of the intensity on the top surface observation and a tilt angle? Obtained by extracting a plurality of edge point rows with respect to each threshold value is set to a value at each edge position of the plurality of edge point rows The inclination angle?
The processing for estimating the three-
A three-dimensional shape is estimated by obtaining a tilt angle distribution at each point on the top surface observation and integrating the distribution of the tilt angle
And the pattern shape evaluation method.
제1항에 있어서,
상기 불확정성 정보를 구하는 처리는,
상기 상면 관찰상 내의 국소 영역에서의 2차 에너지선의 강도 변동의 에지에 수직 및 평행한 방향에 대한 공간 주파수 특성의 차이에 의거하여, 상기 국소 영역에서의 상기 구조체의 표면의 경사 각도를 구하는 서브 처리를 포함하는
것을 특징으로 하는 패턴 형상 평가 방법.
The method according to claim 1,
The process for obtaining the uncertainty information may include:
A sub-process for obtaining a tilt angle of the surface of the structure in the local region based on a difference in spatial frequency characteristics in a direction perpendicular and parallel to an edge of an intensity variation of a secondary energy line in a local region within the top- Containing
And the pattern shape evaluation method.
제3항에 있어서,
상기 에지점 열은 곡선인
것을 특징으로 하는 패턴 형상 평가 방법.
The method of claim 3,
The edge point sequence is a curve
And the pattern shape evaluation method.
계산기에,
반도체 장치를 포함하는 입체적인 구조체가 상면에 형성된 기판의 주면에 대략 수직한 방향으로부터 수속 에너지선을 조사함과 함께 상기 기판의 상면 위를 주사시키고, 상기 기판 및 상기 구조체로부터 발생한 2차 에너지선 또는 상기 기판 및 상기 구조체에 의해 반사 또는 산란된 에너지선의 강도를 검출 및/또는 측정하고, 상기 구조체의 상면 관찰상을 취득하는 처리와,
상기 상면 관찰상에서의 수속 에너지선의 조사 위치와 측정된 상기 강도로부터 상기 구조체의 표면의 요철 형상에 의한 산란 강도의 불확정성 정보를 구하는 처리와,
구한 상기 불확정성 정보에 의거하여 상기 구조체의 표면의 경사 각도θ를 구하는 처리와,
구한 경사 각도θ에 의거하여 상기 구조체의 입체 형상을 추정하는 처리와,
추정된 상기 입체 형상의 특징에 의거하여 상기 반도체 장치의 제조 공정에서의 제조 조건을 추정하는 처리
를 실행시키는 것을 특징으로 하는 반도체 장치의 제조 방법.
On the calculator,
A three-dimensional structure including a semiconductor device is irradiated with a convergent energy beam from a direction substantially perpendicular to a main surface of a substrate formed on an upper surface thereof, and is scanned on an upper surface of the substrate. A secondary energy ray generated from the substrate and the structure A process of detecting and / or measuring the intensity of energy rays reflected or scattered by the substrate and the structure and obtaining an image of the top view of the structure,
A process of obtaining uncertainty information of the scattering intensity by the projected shape of the surface of the structure from the irradiation position of the convergent energy line on the top surface observation and the measured intensity,
A step of obtaining an inclination angle? Of the surface of the structure based on the obtained uncertainty information,
A process of estimating the three-dimensional shape of the structure based on the obtained inclination angle?
Processing for estimating the manufacturing conditions in the manufacturing process of the semiconductor device based on the estimated characteristics of the three-dimensional shape
Is carried out.
입체적인 구조체가 상면에 형성된 기판의 주면에 대략 수직한 방향으로부터 수속 에너지선을 조사함과 함께 상기 기판의 상면 위를 주사시키고, 상기 기판 및 상기 구조체로부터 발생한 2차 에너지선 또는 상기 기판 및 상기 구조체에 의해 반사 또는 산란된 에너지선의 강도를 검출 및/또는 측정하고, 상기 구조체의 상면 관찰상을 취득하는 데이터 처리부와,
상기 상면 관찰상에서의 수속 에너지선의 조사 위치와 측정된 상기 강도로부터 상기 구조체의 표면의 요철 형상에 의한 산란 강도의 불확정성 정보를 구하는 데이터 처리부와,
구한 상기 불확정성 정보에 의거하여 상기 구조체의 표면의 경사 각도θ를 구하는 데이터 처리부와,
구한 경사 각도θ에 의거하여 상기 구조체의 입체 형상을 추정하는 데이터 처리부
를 갖는 것을 특징으로 하는 패턴 형상 평가 장치.
Irradiating a convergent energy beam from a direction substantially perpendicular to a main surface of a substrate having a three-dimensional structure formed on an upper surface thereof, scanning the upper surface of the substrate with a second energy beam generated from the substrate and the structure, A data processing section for detecting and / or measuring the intensity of the energy line reflected or scattered by the light source and acquiring an image of the top surface of the structure,
A data processing unit for obtaining uncertainty information on the scattering intensity due to the concave-convex shape of the surface of the structure from the irradiation position of the convergent energy line on the top surface observation and the measured intensity,
A data processing unit for obtaining an inclination angle? Of the surface of the structure based on the obtained uncertainty information;
And estimates the three-dimensional shape of the structure based on the obtained inclination angle?
And the pattern shape evaluation device.
KR1020157016060A 2012-12-28 2013-12-25 Pattern shape evaluation method, semiconductor device manufacturing method, and pattern shape evaluation device KR101727950B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012287906A JP2014130077A (en) 2012-12-28 2012-12-28 Pattern shape evaluation method, semiconductor device manufacturing method, and pattern shape evaluation device
JPJP-P-2012-287906 2012-12-28
PCT/JP2013/084580 WO2014104055A1 (en) 2012-12-28 2013-12-25 Pattern shape evaluation method, semiconductor device manufacturing method, and pattern shape evaluation device

Publications (2)

Publication Number Publication Date
KR20150087327A true KR20150087327A (en) 2015-07-29
KR101727950B1 KR101727950B1 (en) 2017-04-18

Family

ID=51021134

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157016060A KR101727950B1 (en) 2012-12-28 2013-12-25 Pattern shape evaluation method, semiconductor device manufacturing method, and pattern shape evaluation device

Country Status (5)

Country Link
US (1) US9449790B2 (en)
JP (1) JP2014130077A (en)
KR (1) KR101727950B1 (en)
TW (1) TWI502165B (en)
WO (1) WO2014104055A1 (en)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10724856B2 (en) * 2016-09-01 2020-07-28 Hitachi High-Tech Corporation Image analysis apparatus and charged particle beam apparatus
KR20190029670A (en) * 2016-09-01 2019-03-20 가부시키가이샤 히다치 하이테크놀로지즈 Pattern measuring device, and computer program
WO2018213487A1 (en) * 2017-05-17 2018-11-22 Applied Materials Israel Ltd. Method, computer program product and system for detecting manufacturing process defects
US10748272B2 (en) * 2017-05-18 2020-08-18 Applied Materials Israel Ltd. Measuring height difference in patterns on semiconductor wafers
JP2019039884A (en) * 2017-08-29 2019-03-14 株式会社日立ハイテクノロジーズ Pattern measuring method, and pattern measurement device
JP6871833B2 (en) * 2017-09-19 2021-05-12 キオクシア株式会社 Shape measuring device and shape measuring method
WO2019063206A1 (en) 2017-09-27 2019-04-04 Asml Netherlands B.V. Method of determining control parameters of a device manufacturing process
KR101813624B1 (en) * 2017-11-17 2017-12-29 한전원자력연료 주식회사 Measuring method of recrystallization of Zirconium alloy for nuclear fuel rod using EBSD pattern quiality
JP7074489B2 (en) 2018-02-08 2022-05-24 株式会社Screenホールディングス Data processing method, data processing device, and data processing program
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
CN111837226B (en) * 2018-03-05 2024-03-08 科磊股份有限公司 Visualization of three-dimensional semiconductor structures
JP2019184354A (en) 2018-04-06 2019-10-24 株式会社日立ハイテクノロジーズ Electronic microscope device, inspection system using electronic microscope device, and inspection method using electronic microscope device
JP2019185972A (en) 2018-04-06 2019-10-24 株式会社日立ハイテクノロジーズ Scanning electron microscopy system and pattern depth measurement method
US10679372B2 (en) * 2018-05-24 2020-06-09 Lowe's Companies, Inc. Spatial construction using guided surface detection
WO2020096731A1 (en) * 2018-11-08 2020-05-14 Applied Materials, Inc. Signal recognition during substrate patterning via digital photolithography
WO2020100180A1 (en) * 2018-11-12 2020-05-22 株式会社日立ハイテク System for estimating the occurrence of defects, and computer-readable medium
US11133152B2 (en) * 2019-03-21 2021-09-28 Applied Materials, Inc. Methods and apparatus for performing profile metrology on semiconductor structures
JP2020165910A (en) * 2019-03-29 2020-10-08 キヤノン株式会社 Shape measuring device, pattern forming device, and article manufacturing method
JP7149906B2 (en) 2019-08-07 2022-10-07 株式会社日立ハイテク Scanning electron microscope and pattern measurement method
KR20220074927A (en) * 2019-10-31 2022-06-03 칼 짜이스 에스엠테 게엠베하 FIB-SEM 3D Tomography to Measure Shape Deviation of Solid Aspect Ratio Structures

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4094327B2 (en) * 2002-04-10 2008-06-04 株式会社日立ハイテクノロジーズ PATTERN MEASURING METHOD, PATTERN MEASURING DEVICE, AND PATTERN PROCESS CONTROL METHOD
JP3841024B2 (en) * 2002-06-13 2006-11-01 株式会社日立製作所 Three-dimensional shape measuring apparatus and etching condition setting method
JP4364524B2 (en) 2003-02-20 2009-11-18 株式会社日立製作所 Pattern inspection method
JP4500653B2 (en) 2003-11-25 2010-07-14 株式会社日立ハイテクノロジーズ Sample observation method and apparatus
JP4801427B2 (en) 2005-01-04 2011-10-26 株式会社日立ハイテクノロジーズ Pattern shape evaluation method
JP4382030B2 (en) * 2005-11-15 2009-12-09 富士通マイクロエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
WO2007067296A2 (en) 2005-12-02 2007-06-14 Alis Corporation Ion sources, systems and methods
JP4920268B2 (en) 2006-02-23 2012-04-18 株式会社日立ハイテクノロジーズ Semiconductor process monitoring method and system
TWI585806B (en) * 2008-04-11 2017-06-01 荏原製作所股份有限公司 Sample observation method and apparatus, and inspection method and apparatus using the same
JP5203787B2 (en) 2008-04-17 2013-06-05 株式会社日立ハイテクノロジーズ Data analysis device
JP5030906B2 (en) * 2008-09-11 2012-09-19 株式会社日立ハイテクノロジーズ Panorama image synthesis method and apparatus using scanning charged particle microscope
JP5315040B2 (en) * 2008-12-26 2013-10-16 株式会社日立ハイテクノロジーズ Charged particle beam apparatus and image acquisition condition determination method using charged particle beam apparatus
WO2010095392A1 (en) * 2009-02-20 2010-08-26 株式会社日立ハイテクノロジーズ Sample observing method and scanning electron microscope
JP5386446B2 (en) * 2010-07-13 2014-01-15 株式会社日立ハイテクノロジーズ Image data analyzer
JP2012173028A (en) * 2011-02-18 2012-09-10 Hitachi High-Technologies Corp Method for measuring pattern shape and apparatus therefor

Also Published As

Publication number Publication date
WO2014104055A1 (en) 2014-07-03
TW201439501A (en) 2014-10-16
US20160035538A1 (en) 2016-02-04
TWI502165B (en) 2015-10-01
US9449790B2 (en) 2016-09-20
JP2014130077A (en) 2014-07-10
KR101727950B1 (en) 2017-04-18

Similar Documents

Publication Publication Date Title
KR101727950B1 (en) Pattern shape evaluation method, semiconductor device manufacturing method, and pattern shape evaluation device
KR100501596B1 (en) Micro pattern inspecting device, control device of cd-sem device, micro pattern inspecting method, control method of cd-sem device and recording medium which is readable with computer
US7095884B2 (en) Method and apparatus for circuit pattern inspection
JP5433522B2 (en) Pattern dimension measuring method using electron microscope, pattern dimension measuring system, and method for monitoring change with time of electron microscope apparatus
TWI698705B (en) Pattern measuring method and pattern measuring device
US20110208477A1 (en) Measuring method of pattern dimension and scanning electron microscope using same
KR101808470B1 (en) Pattern measurement device and computer program
JP7169976B2 (en) Methods for Characterization by CD-SEM Scanning Electron Microscopy
JP5533045B2 (en) Fine pattern measuring method and fine pattern measuring apparatus
JP5880134B2 (en) Pattern measuring method and pattern measuring apparatus
KR102154667B1 (en) Pattern measuring device, and computer program
JP2003302214A (en) Pattern measuring method, pattern measuring device and pattern process control method
JP2010085376A (en) Method for measuring pattern using scanning electron microscope
JP4961500B2 (en) Pattern shape evaluation device
KR101487113B1 (en) Pattern determination device and storage medium
JP2016217816A (en) Pattern measurement device, pattern measurement method, and pattern measurement program
CN114556516A (en) Numerically compensated SEM-induced charging using diffusion-based models
JP2017102039A (en) Pattern measurement program, pattern measurement method, and device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant