KR20150040806A - Memory transistor with multiple charge storing layers - Google Patents

Memory transistor with multiple charge storing layers Download PDF

Info

Publication number
KR20150040806A
KR20150040806A KR20147035197A KR20147035197A KR20150040806A KR 20150040806 A KR20150040806 A KR 20150040806A KR 20147035197 A KR20147035197 A KR 20147035197A KR 20147035197 A KR20147035197 A KR 20147035197A KR 20150040806 A KR20150040806 A KR 20150040806A
Authority
KR
South Korea
Prior art keywords
layer
oxide
nitride
gate electrode
oxygen
Prior art date
Application number
KR20147035197A
Other languages
Korean (ko)
Other versions
KR102078611B1 (en
Inventor
이고르 폴리시척
사기 레비
크리쉬나스와미 람쿠마르
Original Assignee
사이프레스 세미컨덕터 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/539,466 external-priority patent/US8633537B2/en
Application filed by 사이프레스 세미컨덕터 코포레이션 filed Critical 사이프레스 세미컨덕터 코포레이션
Publication of KR20150040806A publication Critical patent/KR20150040806A/en
Application granted granted Critical
Publication of KR102078611B1 publication Critical patent/KR102078611B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

비휘발성 메모리들을 포함하는 반도체 디바이스들 및 이들이 성능을 개선시키기 위한, 이들의 제조 방법들이 제공된다. 일반적으로, 디바이스는 메모리 트랜지스터를 포함하며, 메모리 트랜지스터는, 기판내에 형성된 소스 영역과 드레인 영역을 전기적으로 연결하는 폴리실리콘 채널 영역, 채널 영역 위에 배치되는 ONNO(oxide-nitride-nitride-oxide) 스택, 및 ONNO 스택의 표면 위에 형성되는 높은 일함수 게이트 전극을 포함한다. 일 실시예에서, ONNO 스택은, 산소-풍부 제 1 질화물 층 및 제 1 질화물 층 위에 배치되는 산소-부족 제 2 질화물 층을 포함하는 다층 전하-트랩핑 영역을 포함한다. 다른 실시예들이 또한 개시된다.Semiconductor devices including non-volatile memories and methods of manufacturing them for improving their performance are provided. Generally, the device includes a memory transistor, which includes a polysilicon channel region for electrically connecting a source region and a drain region formed in the substrate, an oxide-nitride-nitride-oxide (ONNO) stack disposed over the channel region, And a high work function gate electrode formed on the surface of the ONNO stack. In one embodiment, the ONNO stack includes a multilayer charge-trapping region comprising an oxygen-rich first nitride layer and an oxygen-deficient second nitride layer disposed over the first nitride layer. Other embodiments are also disclosed.

Description

다수의 전하 저장 층들을 갖는 메모리 트랜지스터 {MEMORY TRANSISTOR WITH MULTIPLE CHARGE STORING LAYERS}[0001] MEMORY TRANSISTOR WITH MULTIPLE CHARGE STORING LAYERS [0002]

[0001] 본 출원은 2011년 11월 3일자로 출원된 공동계류중인 미국 출원 일련번호 13/288,919호의 부분 연속출원이며, 이는 2008년 5월 13일자로 출원된 미국 출원 일련번호 12/152,518호의 분할출원(현재, 2011년 11월 22일에 특허 8,063,434호로 등록됨)이며, 이는 2007년 5월 25일자로 출원된 미국 가특허출원 일련번호 60/940,160호에 대해 35 U.S.C. 119(e)하에 우선권의 장점을 청구하며, 이로써 이들 출원들 모두는 그 전체가 인용에 의해 포함된다. [0001] This application is a continuation-in-part of co-pending U.S. Serial No. 13 / 288,919 filed on November 3, 2011, which is a continuation-in-part of U.S. Serial No. 12 / 152,518 filed May 13, 2008 Filed on May 22, 2007, which is hereby incorporated by reference in its entirety for all purposes. This application is incorporated herein by reference in its entirety for all purposes. 119 (e), each of which is hereby incorporated by reference in its entirety.

[0002] 본 발명은 일반적으로 반도체 디바이스들에 관한 것으로, 보다 구체적으로는 비휘발성 반도체 메모리들을 포함하는 집적회로들 및 이들을 제조하는 방법들에 관한 것이다. FIELD OF THE INVENTION [0002] The present invention relates generally to semiconductor devices, and more particularly to integrated circuits including non-volatile semiconductor memories and methods of fabricating them.

[0003] 비휘발성 반도체 메모리들은 전기적으로 소거되고 재프로그램될 수 있는 디바이스들이다. 컴퓨터들과 다른 전자 디바이스들 사이에서의 그리고 이들에서의 일반적인 데이터 저장 및 전달을 위해 광범위하게 이용되는 일 타입의 비휘발성 메모리로는 플래시 메모리, 예컨대 스플릿 게이트 플래시 메모리(split gate flash memory)가 있다. 스플릿 게이트 플래시 메모리 트랜지스터는, 그가 기판내의 소스와 드레인을 연결하는 채널 위에 형성되는 제어 게이트를 또한 포함한다는 점에서, 통상의 논리 트랜지스터, 예컨대 금속-산화물-반도체 전계 효과 트랜지스터(MOSFET)와 유사한 아키텍처를 갖는다. 그러나 메모리 트랜지스터는, 제어 게이트와 채널 사이에 있고 절연 층 또는 유전체 층에 의해 이 둘다로부터 절연되는 메모리 또는 전하 트랩핑 층을 더 포함한다. 제어 게이트에 인가되는 프로그래밍 전압은 전하 트랩핑 층상에 전하를 트랩하며, 제어 게이트로부터 전기장을 부분적으로 삭제 또는 스크리닝(screening)하여, 이로써 트랜지스터의 임계 전압(VT)이 변하고 메모리 셀이 프로그래밍된다. 판독(read-out)동안, VT에서의 이러한 시프트(shift)는, 미리결정된 판독 전압의 인가로 채널을 지나 흐르는 전류의 존재 또는 부재에 의해 감지된다. 메모리 트랜지스터를 소거(erase)하기 위해, 제어 게이트에 소거 전압이 인가되어 VT에서의 시프트가 복원되거나 반전된다(reverse). [0003] Non-volatile semiconductor memories are devices that can be electrically erased and reprogrammed. One type of non-volatile memory that is widely used for general data storage and delivery between computers and other electronic devices and in them is flash memory, such as split gate flash memory. The split gate flash memory transistor has an architecture similar to a conventional logic transistor, e.g., a metal-oxide-semiconductor field effect transistor (MOSFET), in that it also includes a control gate formed over the channel connecting the source and drain in the substrate . However, the memory transistor further comprises a memory or charge trapping layer between the control gate and the channel and insulated from both by an insulating layer or a dielectric layer. The programming voltage applied to the control gate traps the charge on the charge trapping layer and partially eliminates or screens the electric field from the control gate, thereby changing the threshold voltage (V T ) of the transistor and programming the memory cell. During a read-out, this shift in V T is sensed by the presence or absence of a current passing through the channel with the application of a predetermined read voltage. To erase the memory transistor, an erase voltage is applied to the control gate to reverse or reverse the shift at V T.

[0004] 플래시 메모리들에 대한 잇점의 중요한 판단기준(measure)은 데이터 보유 시간인데, 이는 메모리 트랜지스터가 전력의 인가 없이 프로그램된 채 유지되거나 또는 전하를 보유할 수 있는 시간이다. 전하 트랩핑 층에 저장된 또는 트랩핑된 전하는 절연 층들을 통한 전류 누설로 인해 시간에 따라 줄어들게 되어, 이로써 메모리 트랜지스터의 데이터 보유력(data retention) 제한하는, 소거된 임계 전압(VTE)과 프로그램된 임계 전압(VTP) 간의 차가 감소된다. [0004] An important measure of the advantage for flash memories is data retention time, which is the time at which a memory transistor can remain programmed or hold charge without power applied. The stored or trapped charge in the charge trapping layer is reduced over time due to current leakage through the insulating layers, thereby limiting the data retention of the memory transistor. The erased threshold voltage (VTE) and the programmed threshold voltage (VTP) is reduced.

[0005] 통상의 메모리 트랜지스터들 및 이를 형성하는 방법들이 갖는 한가지 문제점은, 통상적으로 시간에 따라 전하 트랩핑 층은 데이터 보유력이 악화(poor)되거나 줄어들어, 트랜지스터 유효 수명을 제한한다는 것이다. 도 1a를 참조로, 전하 트랩핑 층이 실리콘(Si) 풍부이면, VTP(그래프에 의해 또는 라인(102)로 표현됨)과 VTE(라인(104)로 표현됨) 사이에는 큰 초기 윈도우 또는 차가 존재하지만, 상기 윈도우는 보유 모드에서 약

Figure pct00001
초 미만의 EOL(end of life)(106)로 매우 급격하게 쇠퇴한다(collapse). [0005] One problem with conventional memory transistors and methods of forming them is that the charge trapping layer typically has poor or reduced data retention over time, limiting transistor useful life. 1A, if the charge trapping layer is silicon (Si) rich, there is a large initial window or difference between VTP (represented by graph or by line 102) and VTE (represented by line 104) , The window is displayed in the holding mode
Figure pct00001
Lt; RTI ID = 0.0 > (106). ≪ / RTI >

[0006] 도 1b를 참조로, 다른 한편으로 전하 트랩핑 층이 낮은 화학량론적(stoichiometric) 농도의 Si를 갖는 고품질 질화물 층인 경우, 보유 모드에서의 윈도우 또는 Vt 슬로프의 쇠퇴 레이트는 감소되지만 초기 프로그램-소거 윈도우 또한 감소된다. 게다가, 보유 모드에서 Vt의 슬로프는 여전히 눈에 띄게 가파르며(steep) 데이터 보유력을 크게 개선시킬 만큼 누설 경로가 충분히 최소화되지 않고, 이로써 EOL(106)가 단지 적당히만 개선된다. [0006] Referring to Figure IB, on the other hand, if the charge-trapping layer is a high-quality nitride layer with a low stoichiometric concentration of Si, the rate of decay of the window or Vt slope in retention mode is reduced, The erase window is also reduced. In addition, in the hold mode, the slope of Vt is still noticeably steep and the leakage path is not sufficiently minimized to significantly improve data retention, thereby causing the EOL 106 to improve only moderately.

[0007] 또 다른 문제점은, 점차적으로 반도체 메모리들이 논리 트랜지스터들, 예컨대 MOSFET들을, 내장형 메모리 또는 SOC(System-On-Chip) 애플리케이션들에 대해 공통 기판상에 제조되는 집적 회로들(IC들)의 메모리 트랜지스터들과 결합한다는 점이다. 메모리 트랜지스터들의 성능을 만들어내기(forming) 위한 현재의 많은 프로세스들은, 논리 트랜지스터들을 제조하는데 사용되는 것들과 양립할 수 없다.  [0007] Another problem is that semiconductor memories are increasingly being used by logic circuits, such as MOSFETs, for integrated circuits (ICs) that are fabricated on a common substrate for embedded memory or system-on-chip (SOC) Memory transistors. Many current processes for forming the performance of memory transistors are incompatible with those used to fabricate logic transistors.

[0008] 따라서, 개선된 데이터 보유력 및 증가된 트랜지스터 수명을 제공하는 메모리 트랜지스터들 및 이들을 형성하는 방법들이 요구된다. 추가로, 메모리 디바이스를 형성하는 방법들이, 공통 기판상에 형성된 동일한 IC에 논리 엘리먼트들을 형성하는 방법들과 양립할 수 있는 것이 요구된다. Thus, there is a need for memory transistors and methods of forming them that provide improved data retention and increased transistor lifetime. In addition, methods of forming memory devices are required to be compatible with methods of forming logic elements in the same IC formed on a common substrate.

[0009] 본 발명은 이러한 및 다른 문제점들에 대한 해결책을 제공하며, 통상의 메모리 셀들 또는 디바이스들 및 이들을 제조하는 방법들에 비해 추가의 장점들을 제공한다.  [0009] The present invention provides solutions to these and other problems and provides additional advantages over conventional memory cells or devices and methods of fabricating them.

[0010] 일반적으로, 디바이스는 메모리 트랜지스터를 포함하며, 상기 메모리 트랜지스터는, 기판내에 형성된 소스 영역과 드레인 영역을 전기적으로 연결하는 폴리실리콘 채널 영역, 채널 영역 위에 배치된 ONNO(oxide-nitride-nitride-oxide) 스택, 및 ONNO 스택의 표면상에 형성된 높은 일함수(high work function) 게이트 전극을 포함한다. 일 실시예에서, ONNO 스택은 산소-풍부(oxygen-rich) 제 1 질화물 층 및 제 1 질화물 층 위에 배치된 산소-부족(oxygen-lean) 제 2 질화물 층을 포함하는 다층 전하-트랩핑 영역을 포함한다. 또 다른 실시예에서, 다층 전하-트랩핑 영역은 제 1 질화물 층을 제 2 질화물 층으로부터 분리하는 산화물 안티-터널링 층을 더 포함한다. In general, the device includes a memory transistor, which includes a polysilicon channel region for electrically connecting a source region and a drain region formed in the substrate, an oxide-nitride-nitride- oxide stack, and a high work function gate electrode formed on the surface of the ONNO stack. In one embodiment, the ONNO stack comprises a multilayer charge-trapping region comprising an oxygen-rich first nitride layer and an oxygen-lean second nitride layer disposed over the first nitride layer . In another embodiment, the multilayer charge-trapping region further comprises an oxide anti-tunneling layer separating the first nitride layer from the second nitride layer.

[0011] 본 발명의 이들 특징들 및 다양한 다른 특징들은, 아래에 제공되는 첨부된 청구항들 및 첨부 도면들과 함께 하기 상세한 설명부의 판독시 명백해질 것이다.
[0012] 도 1a는, 통상의 방법에 따라 형성된 전하 저장 층을 이용하며 프로그래밍 전압과 소거 전압 간에 큰 초기 차를 갖는(그러나 전하가 빠르게 손실됨) 메모리 트랜지스터에 대한 데이터 보유력을 도시하는 그래프이다;
[0013] 도 1b는, 통상의 방법에 따라 형성된 전하 저장 층을 이용하며 프로그래밍 전압과 소거 전압 간에 더 작은 초기 차를 갖는 메모리 트랜지스터에 대한 데이터 보유력을 도시하는 그래프이다;
[0014] 도 2a 내지 도 2d는, 본 발명의 실시예에 따라, 논리 트랜지스터 및 비휘발성 메모리 트랜지스터를 포함하는 반도체 디바이스를 형성하기 위한 프로세스 흐름을 예시하는 반도체 디바이스의 부분적 측단면도들이다;
[0015] 도 3은 본 발명의 실시예에 따라, 높은 일함수 게이트 전극들을 포함하는 비휘발성 메모리 트랜지스터 및 논리 트랜지스터를 포함하하는 반도체 디바이스의 부분적 측단면도이다;
[0016] 도 4a 및 도 4b는 ONONO 스택을 포함하는 비휘발성 메모리 디바이스의 단면도를 예시한다;
[0017] 도 5는, 본 발명의 일 실시예에 따라, ONONO 스택을 포함하는 비휘발성 전하 트랩 메모리 디바이스를 제조하기 위한 방법에서의 일련의 동작들을 나타내는 흐름도를 도시한다.
[0018] 도 6a는 다층 전하-트랩핑 영역을 포함하는 비평면형 멀티게이트 디바이스를 예시한다;
[0019] 도 6b는 도 6a의 비평면형 멀티게이트 디바이스의 단면도를 예시한다;
[0020] 도 7a 및 7b는, 다층 전하-트랩핑 영역 및 수평 나노와이어 채널을 포함하는 비평면형 멀티게이트 디바이스를 예시한다;
[0021] 도 7c는, 도 7a의 비평면형 멀티게이트 디바이스들의 수직 스트링(vertical string)의 단면도를 예시한다;
[0022] 도 8a 및 8b는, 다층 전하-트랩핑 영역 및 수직 나노와이어 채널을 포함하는 비평면형 멀티게이트 디바이스를 예시한다;
[0023] 도 9a 내지 도 9f는, 도 8a의 비평면형 멀티게이트 디바이스를 제조하기 위한 게이트 퍼스트 방식(gate first scheme)을 예시한다; 그리고
[0024] 도 10a 내지 도 10f는, 도 8a의 비평면형 멀티게이트 디바이스를 제조하기 위한 게이트 라스트 방식(gate last scheme)을 예시한다.
[0011] These and various other features of the present invention will become apparent upon reading the following detailed description along with the appended claims and the accompanying drawings provided below.
[0012] FIG. 1A is a graph showing data retention for a memory transistor using a charge storage layer formed according to a conventional method and having a large initial difference between a programming voltage and an erase voltage (but the charge is rapidly lost);
[0013] FIG. 1B is a graph showing data retention for a memory transistor using a charge storage layer formed according to a conventional method and having a smaller initial difference between programming and erasing voltages;
[0014] Figures 2A-2D are partial side cross-sectional views of a semiconductor device illustrating a process flow for forming a semiconductor device including a logic transistor and a non-volatile memory transistor, in accordance with an embodiment of the present invention;
[0015] FIG. 3 is a partial side cross-sectional view of a semiconductor device including a non-volatile memory transistor and logic transistor including high work function gate electrodes, in accordance with an embodiment of the present invention;
[0016] Figures 4A and 4B illustrate cross-sectional views of a non-volatile memory device including an ONONO stack;
[0017] FIG. 5 illustrates a flow diagram illustrating a series of operations in a method for fabricating a non-volatile charge trap memory device including an ONONO stack, in accordance with an embodiment of the present invention.
[0018] FIG. 6A illustrates a non-planar multigate device including a multilayer charge-trapping region;
[0019] FIG. 6B illustrates a cross-sectional view of the non-planar multi-gate device of FIG. 6A;
[0020] Figures 7a and 7b illustrate a non-planar multigate device comprising a multilayer charge-trapping region and a horizontal nanowire channel;
[0021] FIG. 7C illustrates a cross-sectional view of a vertical string of the non-planar multi-gate devices of FIG. 7A;
[0022] Figures 8a and 8b illustrate a non-planar multigate device comprising a multilayer charge-trapping region and a vertical nanowire channel;
[0023] Figures 9a-9f illustrate a gate first scheme for fabricating the non-planar multigate device of Figure 8a; And
[0024] Figures 10A-10F illustrate a gate last scheme for fabricating the non-planar multigate device of Figure 8A.

[0025] 본 발명은 일반적으로, 데이터 보유력을 증가시키고 그리고/또는 프로그래밍 시간 및 효율성을 개선시키기 위한, 다층 전하 저장 층 및 높은 일함수 게이트 전극을 포함하는 비휘발성 메모리 트랜지스터에 관한 것이다. 구조 및 방법은, 반도체 디바이스가 공통 기판상에 형성되는 높은 일함수 게이트 전극들을 포함하는 비휘발성 메모리 트랜지스터 및 논리 트랜지스터 둘 다를 포함하고 있는 내장형 메모리 또는 SOC(System-On-Chip) 애플리케이션들에 특히 유용하다. [0025] The present invention generally relates to non-volatile memory transistors comprising a multilayer charge storage layer and a high work function gate electrode for increasing data retention and / or improving programming time and efficiency. Structures and methods are particularly useful in embedded memory or system-on-chip (SOC) applications that include both non-volatile memory transistors and logic transistors comprising high work function gate electrodes formed on a common substrate Do.

[0026] 설명을 목적으로, 하기 설명에서는, 다수의 특정한 상세사항들이 본 발명의 전반적 이해를 제공하기 위해 개시된다. 그러나, 본 발명이 이러한 특정한 상세사항들 없이도 실시될 수 있다는 것이 당업자들에게는 명백할 것이다. 다른 예시들에서, 잘알려진 구조들, 및 기술들은 상세히 도시되지 않거나 본 설명의 이해가 불필요하게 모호해지지 않게 하기 위해 블록도 형태로 도시된다. [0026] For purposes of explanation, in the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, it will be apparent to those skilled in the art that the present invention may be practiced without these specific details. In other instances, well-known structures and techniques are not shown in detail, or are shown in block diagram form in order not to obscure the understanding of this description unnecessarily.

[0027] 설명에 있어 "일 실시예" 또는 "실시예"에 대한 참조는, 실시예와 관련하여 설명되는 특정한 피처, 구조, 또는 특징이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 명세서의 다양한 부분들에서 "일 실시예에서"라는 문구의 출현들은, 반드시 모두 동일한 실시예를 지칭하는 것은 아니다. 본원에 사용되는 "~에 커플링하다"라는 용어는, 하나 또는 그 초과의 중간 컴포넌트들을 통해 간접적으로 연결되는 것 그리고 직접적으로 연결되는 것 모두를 포함할 수 있다. [0027] Reference in the description to "one embodiment" or "an embodiment" means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention . The appearances of the phrase "in one embodiment" in various parts of the specification are not necessarily all referring to the same embodiment. As used herein, the term " coupled to "may include both indirectly connected through one or more intermediate components and directly connected.

[0028] 간략하게, 본 발명에 따른 비휘발성 메모리 트랜지스터는, ONO(oxide-nitride-oxide) 유전체 스택 위에 형성되는 높은 일함수 게이트 전극을 포함한다. 높은 일함수 게이트 전극이란 것은, 게이트 전극으로부터 전자를 제거하는데 필요한 최소 에너지가 증가되는 것을 의미한다.[0028] Briefly, a non-volatile memory transistor according to the present invention includes a high work function gate electrode formed on an oxide-nitride-oxide (ONO) dielectric stack. A high work function gate electrode means that the minimum energy required to remove electrons from the gate electrode is increased.

[0029] 특정 바람직한 실시예들에서, 높은 일함수 게이트 전극은 도핑된 다결정질 실리콘 또는 폴리실리콘(poly) 층을 포함하며, 이의 제조는 표준 상보형 금속-산화물-반도체(CMOS) 프로세스 흐름들, 예컨대 금속-산화물-반도체(MOS) 논리 트랜지스터들을 제조하는데 사용되는 프로세스 흐름들에 쉽게 통합될 수 있어 메모리 트랜지스터 및 논리 트랜지스터 둘 다를 포함하는 반도체 메모리들 또는 디바이스들의 제조를 가능케 한다. 보다 바람직하게는, 동일한 도핑된 폴리실리콘 층이 MOS 논리 트랜지스터를 위한 높은 일함수 게이트 전극을 형성하도록 또한 패터닝될 수 있고, 이로써 논리 트랜지스터의 성능이 개선되고 제조 프로세스의 효율성이 증가된다. 선택적으로, 메모리 트랜지스터의 성능, 특히 데이터 보유력을 더욱 개선시키기 위해 ONO 유전체 스택은 다층 전하 저장 또는 전하 트랩핑 층을 포함한다.[0029] In certain preferred embodiments, the high work function gate electrode comprises a doped polycrystalline silicon or polysilicon layer, the fabrication of which includes standard complementary metal-oxide-semiconductor (CMOS) process flows, For example, metal-oxide-semiconductor (MOS) logic transistors, to enable fabrication of semiconductor memories or devices including both memory transistors and logic transistors. More preferably, the same doped polysilicon layer can also be patterned to form a high work function gate electrode for the MOS logic transistor, thereby improving the performance of the logic transistor and increasing the efficiency of the fabrication process. Optionally, the ONO dielectric stack includes a multilayer charge storage or charge trapping layer to further improve the performance of the memory transistor, particularly the data retention.

[0030] 높은 일함수 게이트 전극을 포함하는 비휘발성 메모리 트랜지스터를 포함하는 반도체 디바이스 및 이를 형성하는 방법들이 이제 도 2a 내지 도 2d를 참조로 상세히 설명될 것이며, 도 2a 내지 도 2d는 메모리 트랜지스터 및 논리 트랜지스터 둘 다를 포함하는 반도체 디바이스를 형성하기 위한 프로세스 흐름을 예시하는 중간 구조물들의 부분적 측단면도들이다. 명확성을 목적으로, 본 발명에 관련되지 않는 그리고 잘 알려져 있는 반도체 제조에 대한 다수의 세부사항들은 하기 설명으로부터 생략되었다. [0030] A semiconductor device including a non-volatile memory transistor including a high work function gate electrode and methods of forming the same will now be described in detail with reference to FIGS. 2A through 2D, Sectional side views of intermediate structures illustrating a process flow for forming a semiconductor device including both transistors. For the sake of clarity, numerous details of semiconductor fabrication that are not relevant to the present invention and are well known are omitted from the following description.

[0031] 도 2를 참조로, 반도체 디바이스의 제조는, 웨이퍼 또는 기판(206)의 표면(204)상에 ONO 유전체 스택(202)의 형성으로 시작된다. 일반적으로, ONO 유전체 스택(202)은 기판(206)의 메모리 트랜지스터의 채널 영역(미도시)으로부터 전하 트랩핑 또는 저장 층(210)을 분리시키거나 또는 전기적으로 절연시키는, 얇은 하부(lower) 산화물 층 또는 터널링 산화물 층(208), 및 상단(top) 또는 차단 산화물 층(212)을 포함한다. 바람직하게, 앞서 주목되고 도 2a-2d에 도시된 것처럼, 전하 저장 층(210)은, 적어도, 상단 전하 트랩핑 산질화물 층(210A) 및 실질적으로 산질화물 비함유(free) 하부 트랩 층(210B)을 포함하는 다층 전하 저장 층이다.[0031] Referring to FIG. 2, the fabrication of a semiconductor device begins with the formation of the ONO dielectric stack 202 on the surface 204 of the wafer or substrate 206. In general, the ONO dielectric stack 202 includes a thin lower oxide (not shown) that isolates or electrically insulates the charge trapping or storage layer 210 from the channel region (not shown) of the memory transistor of the substrate 206 Layer or tunneling oxide layer 208, and a top or blocking oxide layer 212. 2a-2d, the charge storage layer 210 includes at least an upper charge trapping oxynitride layer 210A and a substantially free oxynitride free lower trap layer 210B ). ≪ / RTI >

[0032] 일반적으로, 기판(206)은, 실리콘, 실리콘-게르마늄, 실리콘-온-인슐레이터, 또는 실리콘-온-사파이어 기판을 포함하는 임의의 공지된 실리콘계(silicon-based) 반도체 재료를 포함할 수 있다. 대안적으로, 기판(206)은, 비-실리콘계 반도체 재료, 예컨대 갈륨-아세나이드, 게르마늄, 갈륨-질화물 또는 알루미늄-인화물 상에 형성되는 실리콘 층을 포함할 수 있다. 바람직하게, 기판(206)은 도핑된 또는 도핑되지 않은 실리콘 기판이다. [0032] Generally, the substrate 206 may comprise any known silicon-based semiconductor material including silicon, silicon-germanium, silicon-on-insulator, or silicon-on-sapphire substrates have. Alternatively, the substrate 206 may comprise a silicon layer formed on a non-silicon based semiconductor material, such as gallium-arsenide, germanium, gallium-nitride, or aluminum-phosphide. Preferably, the substrate 206 is a doped or undoped silicon substrate.

[0033] ONO 유전체 스택(202)의 하부 산화물 층 또는 터널링 산화물 층(208)은 일반적으로, 약 15 옴스트롱(Å) 내지 약 22 Å, 보다 바람직하게는 약 18 Å의 비교적 얇은 실리콘 이산화물(SiO2) 층을 포함한다. 터널링 산화물 층(208)은, 예를 들면 화학적 기상 증착(CVD)을 이용하여 증착되는 것 또는 열적으로 성장되는 것을 포함하여 임의의 적절한 수단에 의해 형성 또는 증착될 수 있다. 바람직한 실시예에서, 터널 유전체 층은 스팀 어닐링을 이용하여 형성 또는 성장된다. 일반적으로, 프로세스는 습식-산화(wet-oxidizing) 방법을 포함할 수 있는데, 여기서는, 기판(206)이 증착 또는 프로세싱 챔버에 배치되고, 약 700℃ 내지 약 850℃의 온도로 가열되고, 완성된(finished) 터널링 산화물 층(208)의 원하는 두께에 기반하여 선택되는 미리결정된 시간 기간 동안 습증기(wet vapor)에 노출된다. 예시적인 프로세스 시간들은 약 5 내지 약 20분이다. 산화는 대기압 또는 저압(low pressure)에서 수행될 수 있다. The lower oxide layer or tunneling oxide layer 208 of the ONO dielectric stack 202 is generally comprised of a relatively thin silicon dioxide (SiO 2) layer having a thickness of from about 15 Angstroms (A) to about 22 Angstroms, more preferably about 18 Angstroms 2 ) layer. Tunneling oxide layer 208 may be formed or deposited by any suitable means, including, for example, deposited using chemical vapor deposition (CVD) or thermally grown. In a preferred embodiment, the tunnel dielectric layer is formed or grown using steam annealing. Generally, the process may include a wet-oxidizing method, in which a substrate 206 is placed in a deposition or processing chamber and heated to a temperature of about 700 ° C to about 850 ° C, is exposed to wet vapor for a predetermined period of time selected based on the desired thickness of the finished tunneling oxide layer (208). Exemplary process times are from about 5 to about 20 minutes. Oxidation can be performed at atmospheric pressure or at low pressure.

[0034] 바람직한 실시예에서, 다층 전하 저장 층(210)의 산질화물 층들(21OA, 210B)은, 서로 다른 프로세스들 및 프로세스 가스들 또는 소스 재료들을 이용하여 개별 단계들에서 형성 또는 증착되며, 약 70 Å 내지 약 150 Å, 보다 바람직하게는 약 100Å의 전체 또는 결합된 두께를 갖는다. 산질화물 비함유 하부 트랩 층(210B)은, 예를 들어, 실리콘 소스(예컨대, 실란(SiH4), 클로로실란(SiH3Cl), 디클로로실란(SiH2Cl2), 테트라클로로실란(SiCl4)), 질소 소스(예컨대, 질소(N2), 암모니아(NH3), 질소 트리산화물(N03) 또는 아산화질소(N20)), 및 산소-함유 가스(예컨대, 산소(02) 또는 N20)를 포함하는 프로세스 가스를 이용하는 저압 CVD 프로세스에서의 증착을 포함하는 임의의 적절한 수단에 의해 형성 또는 증착될 수 있다. 일 실시예에서, 산질화물 비함유 트랩 층(210B)은, 약 2.5분 내지 약 20분의 기간 동안, 약 5 millitorr(mT) 내지 약 500 mT의 압력으로 챔버를 유지하면서 그리고, 약 700℃ 내지 약 850℃, 보다 바람직하게는 적어도 약 780℃의 온도로 기판을 유지하면서, 디클로로실란, NH3 및 N20를 포함하는 프로세스 가스를 이용하는 저압 CVD 프로세스에서 증착된다. 특히, 프로세스 가스는, 약 8:1 내지 약 1:8의 비율로 혼합된 N20 및 NH3의 제 1 가스 혼합물 및 약 1:7 내지 약 7:1의 비율로 혼합된 DCS 및 NH3의 제 2 가스 혼합물을 포함할 수 있으며, 약 5 내지 약 200 sccm(standard cubic centimeters per minute)의 유량(flow rate)으로 유입될 수 있다. [0034] In a preferred embodiment, the oxynitride layers 210A, 210B of the multilayer charge storage layer 210 are formed or deposited in separate steps using different processes and process gases or source materials, 70 < / RTI > to about 150 ANGSTROM, and more preferably about 100 ANGSTROM. The oxynitride-free lower trap layer (210B), for example, a silicon source (e.g., silane (SiH 4), chlorosilane (SiH 3 Cl), dichlorosilane (SiH 2 Cl 2), tetrachlorosilane (SiCl 4 )), nitrogen sources (for example, nitrogen (N 2), ammonia (NH 3), nitrogen tree oxide (N0 3) or nitrous oxide (N 2 0)), and an oxygen-containing gas (e.g., oxygen (0 2) Or N 2 O), in a low pressure CVD process using a process gas. In one embodiment, the oxynitride-free trap layer 210B is maintained at a pressure of about 5 millitorr (mT) to about 500 mT for a period of about 2.5 minutes to about 20 minutes, Pressure CVD process using a process gas comprising dichlorosilane, NH 3 and N 2 O while maintaining the substrate at a temperature of about 850 ° C, more preferably at least about 780 ° C. In particular, the process gas may comprise a first gas mixture of N 2 O and NH 3 mixed in a ratio of about 8: 1 to about 1: 8, and a second gas mixture of DCS and NH 3 mixed at a ratio of about 1: 7 to about 7: Of the second gas mixture and may be introduced at a flow rate of about 5 to about 200 sccm (standard cubic centimeters per minute).

[0035] 상단 전하 트랩핑 산질화물 층(210A)은, BTBAS(Bis-TertiaryButylAminoSilane)을 포함하는 프로세스 가스를 이용하는 CVD 프로세스에서 바닥 산질화물 층(210B) 상에 증착될 수 있다. BTBAS의 사용은 전하 트랩핑 산질화물 층(210A)에서의 탄소 레벨을 증가시킴으로써 산질화물에 형성되는 깊은 트랩들의 수를 증가시킨다는 것이 밝혀졌다. 게다가, 이들 깊은 트랩들은 열 방출(thermal emission)로 인한 전하 손실들을 감소시키며, 이로써 데이터 보유력이 더욱 개선된다. 보다 바람직하게, 프로세스 가스는 산질화물 전하 트랩핑 층에 좁은 대역의 갭 에너지 레벨을 제공하기 위해, 미리결정된 비율로 혼합된 BTBAS 및 암모니아(NH3)를 포함한다. 특히, 프로세스 가스는, 약 7:1 내지 약 1:7의 비율로 혼합된 BTBAS 및 NH3를 포함할 수 있다. 예를 들어, 일 실시예에서, 전하 트랩핑 산질화물 층(210A)은, 약 2.5분 내지 약 20분의 기간 동안, 약 5 mT 내지 약 500 mT의 챔버 압력 및 약 700℃ 내지 약 850℃, 보다 바람직하게는 적어도 약 780℃의 기판 온도에서 BTBAS 및 암모니아(NH3)를 이용하는 저압 CVD 프로세스에서 증착된다. [0035] The upper charge trapping oxynitride layer 210A may be deposited on the bottom oxynitride layer 210B in a CVD process using a process gas comprising BTBAS (Bis-Tertiary Butylene Minosilane). It has been found that the use of BTBAS increases the number of deep traps formed in the oxynitride by increasing the carbon level in the charge trapping oxynitride layer 210A. In addition, these deep traps reduce charge losses due to thermal emission, which further improves data retention. More preferably, a process gas, including a BTBAS and ammonia (NH 3) mixed at a predetermined ratio to provide a narrow band gap energy level of the oxynitride charge-trapping layer. In particular, the process gas is about 7: 1 to about 1: may comprise the BTBAS and NH 3 mixed at a ratio of 7. For example, in one embodiment, the charge-trapping oxynitride layer 210A may be deposited over a period of about 2.5 minutes to about 20 minutes at a chamber pressure of about 5 mT to about 500 mT and a temperature of about 700 < 0 > C to about 850 & more preferably, it is deposited in a low pressure CVD process using the BTBAS and ammonia (NH 3) at a substrate temperature of about 780 ℃ at least.

[0036] 상기 조건들하에서 생성 또는 증착되는 산질화물 층은, 산질화물 풍부 트랩 층(210A)을 산출하며, 이는 메모리 트랜지스터의 전하 손실 레이트를 손상(compromising)시키지 않고 프로그램 전압과 소거 전압 간의 초기 차(윈도우)를 증가시키고 프로그램 및 소거 속도를 개선시키며, 이로써 디바이스의 동작 수명(EOL)이 연장된다는 것이 밝혀졌다. 바람직하게, 전하 트랩핑 산질화물 층(210A)은 적어도 약

Figure pct00002
, 보다 바람직하게는 약
Figure pct00003
내지 약
Figure pct00004
의 전하 트랩 밀도를 갖는다.The oxynitride layer produced or deposited under these conditions yields an oxynitride rich trapping layer 210A that does not compromise the charge loss rate of the memory transistor and causes an initial difference between the program voltage and the erase voltage (Windows) and improves program and erase speeds, thereby prolonging the device's operating life (EOL). Preferably, the charge-trapping oxynitride layer 210A is at least about < RTI ID = 0.0 >
Figure pct00002
, More preferably about
Figure pct00003
About
Figure pct00004
Lt; / RTI >

[0037] 대안적으로, 전하 트랩핑 산질화물 층(210A)은, BTBAS를 포함하며, 실질적으로 암모니아(NH3)는 포함하지 않는 프로세스 가스를 이용하여 CVD 프로세스에서 바닥 산질화물 층(210B) 위에 증착될 수 있다. 이러한 대안적 방법 실시예에서, 상단 전하 트랩핑 산질화물 층(210A)을 증착하는 단계 다음에는 아산화 질소(N20), NH3, 및/또는 질소 산화물(NO)을 포함하는 질소 분위기에서의 열적 어닐링 단계가 이어진다. Alternatively, the charge-trapping oxynitride layer 210A may be deposited on the bottom oxynitride layer 210B in a CVD process using a process gas that includes BTBAS and does not substantially contain ammonia (NH 3 ). Can be deposited. In this alternative method embodiment, in the top charge trapping step of acid depositing a nitride layer (210A) is followed by nitrous oxide (N 2 0), NH 3 , and / or a nitrogen atmosphere containing nitrogen oxide (NO) Followed by a thermal annealing step.

[0038] 바람직하게, 상단 전하 트랩핑 산질화물 층(210A)은 순차적으로, 산질화물 비함유 바닥 트랩 층(210B)을 형성하는데 이용되는 동일한 CVD 툴에서 실질적으로 증착 챔버에 대한 진공을 깨지 않고 증착된다. 보다 바람직하게, 전하 트랩핑 산질화물 층(210A)은 실질적으로, 산질화물 비함유 트랩 층(210B)의 증착 동안 기판(206)이 가열되는 온도를 바꾸지 않고 증착된다.[0038] Preferably, the upper charge trapping oxynitride layer 210A is sequentially deposited on the same CVD tool used to form the oxynitride-free bottom trap layer 210B without substantially breaking the vacuum on the deposition chamber do. More preferably, the charge-trapping oxynitride layer 210A is deposited substantially without changing the temperature at which the substrate 206 is heated during deposition of the oxynitride-free trap layer 210B.

[0039] 산질화물 비함유 하부 트랩 층(210B)에 대해 적절한 두께는 약 10 Å 내지 약 80 Å인 것으로 밝혀졌으며, 바닥 층과 상단 전하 트랩핑 산질화물 층 간의 두께 비율은 약 1:6 내지 약 6:1, 보다 바람직하게는 적어도 약 1:4인 것으로 밝혀졌다. Suitable thicknesses for the oxynitride free lower trap layer 210B have been found to be from about 10 A to about 80 A and the thickness ratio between the bottom layer and the top charge trapping oxynitride layer is from about 1: 6: 1, and more preferably at least about 1: 4.

[0040] ONO 유전체 스택(202)의 상단 산화물 층(212)은 약 20 Å 내지 약 70 Å, 보다 바람직하게는 약 45 Å의 비교적 두꺼운 Si02 층을 포함한다. 상단 산화물 층(212)은, 예를 들어 CVD를 이용하여 증착되는 것 또는 열적으로 성장하는 것 을 포함하여, 임의의 적절한 수단에 의해 형성 또는 증착될 수 있다. 바람직한 실시예에서, 상단 산화물 층(212)은 CVD 프로세스를 이용하여 증착된 HTO(high-temperature-oxide)이다. 일반적으로, 증착 프로세스는, 650℃ 내지 약 850℃의 온도로 기판을 유지하면서, 약 10분 내지 약 120분의 기간 동안, 증착 챔버내에서, 약 50 mT 내지 약 1000 mT의 압력에서, 기판(306)을 실리콘 소스(예컨대, 실란, 클로로실란, 또는 디클로로실란) 및 산소-함유 가스(예컨대, 02 또는 N20)에 노출시키는 것을 포함한다. [0040] The top oxide layer 212 of the ONO dielectric stack 202 includes a relatively thick SiO 2 layer of about 20 A to about 70 A, more preferably about 45 A. The top oxide layer 212 may be formed or deposited by any suitable means, including, for example, deposited using CVD or thermally grown. In a preferred embodiment, the top oxide layer 212 is a high-temperature-oxide (HTO) deposited using a CVD process. Generally, the deposition process is performed at a pressure of from about 50 mT to about 1000 mT in a deposition chamber, for a period of from about 10 minutes to about 120 minutes, while maintaining the substrate at a temperature of from 650 [deg.] C to about 850 [ 306) to a silicon source (e.g., silane, chlorosilane, or dichlorosilane) and an oxygen-containing gas (e.g., O 2 or N 2 O).

[0041] 바람직하게, 상단 산화물 층(212)은 산질화물 층들(210A, 210B)을 형성하는데 사용되는 동일한 툴에서 순차적으로 증착된다. 보다 바람직하게, 산질화물 층들(210A, 210B), 및 상단 산화물 층(212)은, 터널링 산화물 층(208)을 성장시키는데 사용되는 동일한 툴에서 형성 또는 증착된다. 적절한 툴들은, 예를 들어 캘리포니아 스콧츠 밸리의 AVIZA technology로부터 상업적으로 입수가능한 ONO AVP를 포함한다. [0041] Preferably, the top oxide layer 212 is deposited sequentially in the same tool used to form the oxynitride layers 210A, 210B. More preferably, the oxynitride layers 210A and 210B and the top oxide layer 212 are formed or deposited in the same tool used to grow the tunneling oxide layer 208. [ Suitable tools include, for example, the ONO AVP commercially available from AVIZA technology of Scotts Valley, California.

[0042] 도 2b를 참조하면, 반도체 디바이스가 동일 기판의 표면상에 형성되는 논리 트랜지스터, 예컨대 MOS 논리 트랜지스터를 더 포함하는 이들 실시예들에서, ONO 유전체 스택(202)이 논리 트랜지스터가 형성될 표면(204)의 영역 또는 구역으로부터 제거되며, 이 위에는 산화물 층(214)이 형성된다.[0042] Referring to FIG. 2B, in these embodiments, in which the semiconductor device further comprises a logic transistor, for example a MOS logic transistor, formed on the surface of the same substrate, the ONO dielectric stack 202 has a surface Is removed from the region or region of the substrate 204, over which an oxide layer 214 is formed.

[0043] 일반적으로, ONO 유전체 스택(202)은 표준 리소그래피 및 산화물 에칭 기술들을 이용하여 표면(204)의 원하는 영역 또는 구역으로부터 제거된다. 예를 들어, 일 실시예에서, 패터닝된 마스크 층(미도시)이 ONO 유전체 스택(202) 상에 증착되는 포토-레지스트로부터 형성되며, 노출된 영역은 흔히 Freon®로 언급되는 C2H2F4과 같은 불소화 탄화수소 및/또는 불소화 탄소 화합물들을 포함하는 저압 무선 주파수(RF) 커플링 또는 발생 플라즈마를 이용하여 에칭 또는 제거된다. 일반적으로, 프로세싱 가스는, 에칭 챔버에서 프로세싱 동안 약 50 mT 내지 약 250 mT의 압력을 유지하도록 선택된 유량으로 아르곤(Ar) 및 질소(N2)를 더 포함한다.[0043] Generally, the ONO dielectric stack 202 is removed from the desired area or area of the surface 204 using standard lithography and oxide etch techniques. For example, in one embodiment, the patterned mask layer (not shown) picture that is deposited on the ONO dielectric stack (202) is formed from a resist, the exposed region C 2 H 2 F, referred to as common Freon ® (RF) coupling or generating plasma comprising fluorinated hydrocarbons and / or fluorinated carbon compounds such as < RTI ID = 0.0 > 4. ≪ / RTI > In general, the process gas further comprises argon (Ar) and nitrogen (N 2) to the selected flow rate to maintain a pressure of about 50 mT to about 250 mT during processing in an etch chamber.

[0044] 논리 트랜지스터의 산화물 층(214)은 약 30 내지 70 Å의 두께를 갖는 Si02의 층을 포함할 수 있으며 CVD를 이용하여 증착되거나 열적으로 성장될 수 있다. 일 실시예에서, 산화물 층(214)은, 스팀 산화 프로세스를 이용하여, 예를 들어, 약 10분 내지 약 120분의 기간 동안, 약 650℃ 내지 약 850℃의 온도로 스팀 분위기에 기판(206)을 유지함으로써, 열적으로 성장된다. [0044] The oxide layer 214 of the logic transistor may comprise a layer of SiO 2 having a thickness of about 30 to 70 Å and may be deposited or thermally grown using CVD. In one embodiment, the oxide layer 214 is deposited using a steam oxidation process, for example, for a period of about 10 minutes to about 120 minutes, at a temperature of about 650 [deg.] C to about 850 [ ), So that they are thermally grown.

[0045] 다음, 도핑된 폴리실리콘 층이 ONO 유전체 스택(202)의 표면상에, 바람직하게는, 논리 트랜지스터의 산화물 층(214)상에 형성된다. 보다 바람직하게, 기판(206)은 실리콘 기판이거나 또는 실리콘 표면을 가지며, 이 위에는, ONO 유전체 스택이 형성되어 SONOS(silicon-oxide-nitride-oxide-silicon) 메모리 트랜지스터의 SONOS 게이트 스택이 형성된다.[0045] Next, a doped polysilicon layer is formed on the surface of the ONO dielectric stack 202, preferably on the oxide layer 214 of the logic transistor. More preferably, the substrate 206 is a silicon substrate or has a silicon surface on which an ONO dielectric stack is formed to form a SONOS gate stack of a silicon-oxide-nitride-oxide-silicon (SONOS) memory transistor.

[0046] 도 2c를 참조로, 도핑된 폴리실리콘 층을 형성하는 것은, ONO 유전체 스택(202) 및 산화물 층(214) 위에, 약 200Å 내지 약 2000Å의 두께를 갖는 컨포멀한 폴리실리콘 층(216)의 증착으로 시작된다. 폴리실리콘 층(216)은, 예를 들어, 실리콘 소스 또는 전구체를 이용한 저압 CVD 프로세스에서의 증착을 포함하여 임의의 적합한 수단에 의해 형성 또는 증착될 수 있다. 일 실시예에서, 폴리실리콘 층(216)은, 실질적으로 도핑되지 않은 폴리실리콘 층에 대해, 약 20분 내지 약 100분의 기간 동안 약 5 내지 500 mT의 압력, 및 약 600℃ 내지 약 1000℃의 온도로, 챔버내의 기판(206)을 유지하면서, 실란 또는 디클로로실란과 같은 실리콘 함유 프로세스 가스 및 N2를 이용하여 저압 CVD 프로세스에서 증착된다. 폴리실리콘 층(216)은, 저압 CVD 프로세스 동안, CVD 챔버로의, 포스핀, 아르신, 디보란 또는 디플루오로보란(BF2)과 같은 가스들의 추가를 통해 도핑된 폴리실리콘 층으로서 바로 형성 또는 성장될 수 있다.Referring to FIG. 2C, forming a doped polysilicon layer includes depositing a conformal polysilicon layer 216 having a thickness of about 200 ANGSTROM to about 2000 ANGSTROM over the ONO dielectric stack 202 and oxide layer 214 ). ≪ / RTI > The polysilicon layer 216 may be formed or deposited by any suitable means, including, for example, deposition in a low pressure CVD process using a silicon source or precursor. In one embodiment, the polysilicon layer 216 is formed over a substantially undoped polysilicon layer at a pressure of about 5 to 500 mT for a period of about 20 minutes to about 100 minutes, Pressure CVD process using a silicon-containing process gas such as silane or dichlorosilane and N 2 , while maintaining the substrate 206 in the chamber. The polysilicon layer 216 is formed directly as a doped polysilicon layer through the addition of gases such as phosphine, arsine, diborane, or difluoroborane (BF 2 ) to the CVD chamber during the low pressure CVD process Or grown.

[0047] 일 실시예에서, 폴리실리콘 층(216)은, LPCVD 프로세스에서의 성장 또는 형성 이후 이온 주입 프로세스를 이용하여 도핑된다. 예를 들어, 폴리실리콘 층(216)은, 높은 일함수 게이트 전극들을 갖는, N-타입(NMOS) SONOS 메모리 트랜지스터 및 바람직하게는, P-타입(PMOS) 논리 트랜지스터를 형성하기 위해, 약 5 내지 약 100 keV(kilo-electron volts)의 에너지에서, 약 lel4 cm-2 내지 약 lel6 cm-2의 도즈량(dose)으로 붕소(B+) 또는 BF2 이온들을 주입함으로써 도핑된다. 보다 바람직하게, 폴리실리콘 층(216)은, 게이트 전극으로부터 전자를 제거하는데 필요한 최소 에너지가 적어도 약 4.8 eV(electron volts) 내지 약 5.3 eV가 되도록 선택된 농도 또는 도즈량으로 도핑된다.[0047] In one embodiment, the polysilicon layer 216 is doped using an ion implantation process after growth or formation in an LPCVD process. For example, the polysilicon layer 216 may be formed to a thickness of about 5 to about 10 nm to form an N-type (NMOS) SONOS memory transistor, and preferably a P-type (PMOS) at approximately 100 keV (kilo-electron volts) energy, the dose amount (dose) of about lel4 cm -2 to about lel6 cm -2 is doped by implanting boron (B +) or BF 2 ions. More preferably, the polysilicon layer 216 is doped with a selected concentration or dose such that the minimum energy required to remove electrons from the gate electrode is at least about 4.8 eV (electron volts) to about 5.3 eV.

[0048] 대안적으로, 폴리실리콘 층(216)은 폴리실리콘 층 및 하부에 놓인(underlying) 유전체 층들을 패터닝 또는 에칭한 후 이온 주입에 의해 도핑될 수 있다. 본 실시예가, 기판(206) 표면(204) 및/또는 유전체 층들의 노출된 구역들이 원치않는 도핑을 받아들이는 것으로부터 보호하기 위해 추가의 마스킹 단계들을 포함한다는 것이 인식될 것이다. 그러나, 일반적으로 이러한 마스킹 단계는, 주입이 패터닝 이전에 발생하는지 또는 패터닝 이후에 발생하는지와 상관없이, 기존의 프로세스 흐름들에 포함된다. [0048] Alternatively, the polysilicon layer 216 may be doped by ion implantation after patterning or etching the polysilicon layer and the underlying dielectric layers. It will be appreciated that this embodiment includes additional masking steps to protect the exposed areas of substrate 206 surface 204 and / or dielectric layers from accepting unwanted doping. However, in general, such a masking step is included in existing process flows, regardless of whether implantation occurs before patterning or after patterning.

[0049] 도 2d를 참조로, 폴리실리콘 층(216) 및 하부에 놓인 유전체 스택(202) 및 산화물 층(214)은 메모리 트랜지스터(220) 및 논리 트랜지스터(222)의 높은 일함수 게이트 전극들(218)을 형성하도록 패터닝 또는 에칭된다. 일 실시예에서, 폴리실리콘 층(216)은, 약 25 mTorr의 압력, 및 약 450W의 전력에서, 브롬화수소산(HBr), 염소(CL2) 및/또는 산소(02)를 포함하는 플라즈마를 이용하여 에칭 또는 패터닝될 수 있다. 설명된 것처럼 표준 리소그래피 및 산화물 에칭 기술들을 이용하여 산화물 층들(208, 212, 214) 및 산질화물 층들(210A, 210B)이 에칭될 수 있다. 예를 들어, 일 실시예에서, 패터닝된 폴리실리콘 층(216)은 마스크로서 사용되며, 노출된 산화물 층들(208, 212, 214) 및 산질화물 층들(210A, 210B)은 저압 RF 플라즈마를 이용하여 에칭 또는 제거된다. 일반적으로, 플라즈마는, 불소화 탄화수소 및/또는 불소화 탄소 화합물들을 포함하며, 추가로 프로세싱 동안 에칭 챔버내에서 약 50 mT 내지 약 250 mT의 압력을 유지하도록 선택된 유량들로 Ar 및 N2 를 더 포함하는 프로세싱 가스로부터 형성된다. 2D, the polysilicon layer 216 and the underlying dielectric stack 202 and oxide layer 214 are connected to the high work function gate electrodes (not shown) of the memory transistor 220 and the logic transistor 222 218, < / RTI > In one embodiment, the polysilicon layer 216 is formed by depositing a plasma comprising hydrobromic acid (HBr), chlorine (CL 2 ) and / or oxygen (O 2 ) at a pressure of about 25 mTorr and a power of about 450 W May be etched or patterned. The oxide layers 208, 212, 214 and the oxynitride layers 210A, 210B can be etched using standard lithography and oxide etch techniques as described. For example, in one embodiment, the patterned polysilicon layer 216 is used as a mask, and the exposed oxide layers 208, 212, 214 and oxynitride layers 210A, 210B are patterned using a low pressure RF plasma Etched or removed. Generally, the plasma comprises fluorinated hydrocarbons and / or fluorinated carbon compounds and further comprises Ar and N 2 at selected flow rates to maintain a pressure of about 50 mT to about 250 mT in the etch chamber during processing Is formed from the processing gas.

[0050] 마지막으로, 기판은, 폴리실리콘 층(216)에 주입된 이온들을 드라이브(drive)시키기 위해 그리고 이온 주입에 의해 야기된 폴리실리콘 층의 결정 구조에 대한 손상을 치유하기 위해, 약 1초 내지 약 5분의 시간 동안 약 800℃ 내지 약 1050℃의 온도에서 단일의 또는 다수의 어닐링 단계들로 열적 어닐링된다. 대안적으로, 플래시 및 레이저와 같은 진보된 어닐링 기술들이, 1350℃와 같은 높은 온도들 및 1 밀리초와 같은 낮은 어닐링 시간들로 활용할 수 있다.[0050] Finally, the substrate is heated to about 1 second to drive the implanted ions into the polysilicon layer 216 and to heal damage to the crystal structure of the polysilicon layer caused by ion implantation Lt; 0 > C to about 1050 < 0 > C for a period of about 5 minutes to about 5 minutes. Alternatively, advanced annealing techniques such as flash and laser can be utilized with high temperatures such as 1350 占 폚 and low annealing times such as 1 millisecond.

[0051] 본 발명의 실시예에 따라 높은 일함수 게이트 전극들을 포함하는 비휘발성 메모리 트랜지스터(304) 및 논리 트랜지스터(302)를 포함하는 반도체 디바이스(300)의 부분적 측단면도가 도 3에 도시된다. 도 3을 참조로, 메모리 트랜지스터(304)는, 실리콘 기판(306)상에 형성되며, 유전체 스택(310) 위에 놓이는 도핑된 폴리실리콘 층으로부터 형성되는 높은 일함수 게이트 전극(308)을 포함한다. 유전체 스택(310)은, 고농도로 도핑된 소스 및 드레인(S/D) 영역들(314)을 분리하는 채널 영역(312) 위에 놓이며, 이 채널 영역(312)을 통해 전류를 제어한다. 바람직하게, 유전체 스택(310)은, 터널 유전체 층(316), 다층 전하 저장 층(318A, 318B), 및 상단 또는 차단 산화물 층(320)을 포함한다. 보다 바람직하게, 다층 전하 저장 층(318A, 318B)은, 적어도 상단 전하 트랩핑 산질화물 층(318A) 및 실질적으로 산질화물 비함유 하부 트랩 층(318B)을 포함한다. 선택적으로, 도 3에 도시된 것처럼, 메모리 트랜지스터(304)는 게이트 스택을 둘러싸는 하나 또는 그 초과의 측벽 스페이서들(322)을 더 포함하며, 게이트 스택을 기판(306)상에 형성된 반도체 디바이스의 다른 트랜지스터들로부터 그리고 S/D 영역들(320)에 대한 콘택들(미도시)로부터 전기적으로 절연시킨다.[0051] A partial side cross-sectional view of a semiconductor device 300 including a non-volatile memory transistor 304 and a logic transistor 302 comprising high work function gate electrodes in accordance with an embodiment of the present invention is shown in FIG. 3, a memory transistor 304 is formed on a silicon substrate 306 and includes a high work function gate electrode 308 formed from a doped polysilicon layer overlying the dielectric stack 310. The dielectric stack 310 overlies the channel region 312 separating the heavily doped source and drain (S / D) regions 314 and controls the current through the channel region 312. Preferably, the dielectric stack 310 comprises a tunnel dielectric layer 316, multilayer charge storage layers 318A and 318B, and a top or blocking oxide layer 320. [ More preferably, the multilayer charge storage layers 318A and 318B include at least a top charge trapping oxynitride layer 318A and a substantially oxynitride-free bottom trap layer 318B. 3, the memory transistor 304 further includes one or more sidewall spacers 322 surrounding the gate stack, and the gate stack is coupled to a semiconductor device (not shown) Electrically isolated from other transistors and from contacts (not shown) for the S / D regions 320.

[0052] 논리 트랜지스터(302)는, 고농도로 도핑된 소스 및 드레인 영역들(330)을 분리하는 채널 영역(328) 위에 형성된 산화물 층(326) 위에 놓이는 게이트 전극(324)을 포함하며, 선택적으로 게이트를 둘러싸는 하나 또는 그 초과의 측벽 스페이서들(332)을 포함하여 게이트를 S/D 영역들에 대한 콘택들(미도시)로부터 전기적으로 절연시킬 수 있다. 바람직하게, 도 3에 도시된 것처럼, 논리 트랜지스터(302)의 게이트 전극(324)은 또한, 도핑된 폴리실리콘 층으로부터 형성되는 높은 일함수 게이트 전극을 포함한다.The logic transistor 302 includes a gate electrode 324 overlying an oxide layer 326 formed over a channel region 328 separating heavily doped source and drain regions 330, One or more sidewall spacers 332 surrounding the gate may be included to electrically isolate the gate from contacts (not shown) for the S / D regions. Preferably, as shown in FIG. 3, the gate electrode 324 of the logic transistor 302 also includes a high work function gate electrode formed from a doped polysilicon layer.

[0053] 일반적으로, 반도체 디바이스(300)는, 기판(306)상에 형성되는 개별 트랜지스터들을 서로 전기적으로 절연시키기 위해, 다수의 절연 구조물들(334), 예컨대 LOCOS(local oxidation of silicon) 영역 또는 구조물, 필드 산화 영역 또는 구조물(FOX), 또는 얕은 트랜치 절연(STI) 구조물을 더 포함한다.
In general, the semiconductor device 300 includes a plurality of isolation structures 334, for example, a local oxidation of silicon (LOCOS) region or a plurality of isolation regions 334, for electrically isolating individual transistors formed on the substrate 306 from one another. Structure, a field oxide region or structure (FOX), or a shallow trench isolation (STI) structure.

구현들 및 대안들Implementations and alternatives

[0054] 일 양상에서, 본 개시물은, 높은 일함수 게이트 전극 및 다층 전하-트랩핑 영역을 갖는 메모리 트랜지스터들을 포함하는 반도체 디바이스들에 관한 것이다. 도 4a는, 이러한 하나의 메모리 트랜지스터(400)의 실시예에 대한 측단면도를 예시하는 블록도이다. 메모리 트랜지스터(400)는, 기판(408)의 표면(406) 위에 형성된 ONNO 구조물(404)을 포함하는 ONNO 스택(402)을 포함한다. 기판(408)은, 게이트 스택(402)에 정렬되며 채널 영역(412)에 의해 분리되는 하나 또는 그 초과의 확산 영역들(410), 예컨대 소스 및 드레인 영역들을 포함한다. 일반적으로, ONNO 스택(402)은 ONNO 구조물(404)상에 형성되며 이와 접촉하는 높은 일함수 게이트 전극(414)을 포함한다. 높은 일함수 게이트 전극(414)은, ONNO 구조물(404)에 의해 기판(408)으로부터 분리되거나 또는 전기적으로 절연된다. ONNO 구조물(404)은, 채널 영역(412)으로부터 ONNO 스택(402)을 분리하거나 또는 전기적으로 절연시키는 얇은 하부 산화물 층 또는 터널 유전체 층(416), 상단 또는 차단 유전체 층(420) 및 다층 전하-트랩핑 영역(422)을 포함한다. [0054] In one aspect, the present disclosure is directed to semiconductor devices comprising memory transistors having a high work function gate electrode and a multilayer charge-trapping region. FIG. 4A is a block diagram illustrating a side cross-sectional view of an embodiment of this single memory transistor 400. FIG. The memory transistor 400 includes an ONNO stack 402 that includes an ONNO structure 404 formed on a surface 406 The substrate 408 includes one or more diffusion regions 410, e.g., source and drain regions, aligned with the gate stack 402 and separated by a channel region 412. Generally, the ONNO stack 402 includes a high work function gate electrode 414 formed on and in contact with the ONNO structure 404. The high work function gate electrode 414 is separated from or electrically isolated from the substrate 408 by the ONNO structure 404. The ONNO structure 404 includes a thin underlying oxide or tunnel dielectric layer 416 that separates or electrically isolates the ONNO stack 402 from the channel region 412, a top or blocking dielectric layer 420, And a trapping area 422.

[0055] 나노와이어 채널 영역(412)은, 단결정질 채널 영역을 형성하기 위해 폴리실리콘 또는 재결정화된 폴리실리콘을 포함할 수 있다. 선택적으로, 채널 영역(412)이 결정질 실리콘을 포함하는 경우, 채널 영역은 채널 영역의 장축(long axis)에 대해 <100> 표면 결정질 배향을 갖도록 형성될 수 있다. [0055] The nanowire channel region 412 may comprise polysilicon or recrystallized polysilicon to form a monocrystalline channel region. Alternatively, when the channel region 412 comprises crystalline silicon, the channel region may be formed to have a <100> surface crystalline orientation with respect to the long axis of the channel region.

[0056] 높은 일함수 게이트 전극(414)은 저압 CVD 프로세스에서 약 200Å 내지 약 2000Å 두께를 갖게 형성 또는 증착된 도핑된 폴리실리콘 층을 포함한다. 앞서 주목한 바와 같이, 높은 일함수 게이트 전극(414)의 폴리실리콘 층은, 저압 CVD 프로세스 동안 CVD 챔버로의 포스핀, 아르신, 디보란 또는 디플루오로보란(BF2)과 같은 가스들의 추가를 통해 도핑된 폴리실리콘 층으로서 바로 형성 또는 성장될 수 있거나, 또는 CVD 프로세스에서의 성장 또는 형성 이후 이온 주입 프로세스를 이용하여 도핑될 수 있다. 어느 실시예든, 높은 일함수 게이트 전극(414)의 폴리실리콘 층은, 게이트 전극으로부터 전자를 제거하는데 요구되는 최소 에너지가 적어도 약 4.8 eV(electron volts) 내지 약 5.3 eV가 되도록 선택된 농도 및 도즈량으로 도핑된다. 예시적 실시예에서, 높은 일함수 게이트 전극(414)의 폴리실리콘 층은, N-타입(NMOS) 메모리 트랜지스터를 형성하기 위해, 약 5 내지 약 100 keV(kilo-electron volts)의 에너지에서, 약 lel4 cm-2 내지 약 lel6 cm-2의 도즈량으로 붕소(B+) 또는 BF2 이온들을 주입함으로써 도핑된다.[0056] The high work function gate electrode 414 comprises a doped polysilicon layer formed or deposited to a thickness of about 200 Å to about 2000 Å in a low pressure CVD process. As noted above, the polysilicon layer of the high work function gate electrode 414 can be used as an additional layer of gas such as phosphine, arsine, diborane, or difluoroborane (BF 2 ) to the CVD chamber during the low pressure CVD process. Or may be doped using an ion implantation process after growth or formation in a CVD process. In any embodiment, the polysilicon layer of the high work function gate electrode 414 is formed to have a concentration and dose selected so that the minimum energy required to remove electrons from the gate electrode is at least about 4.8 eV (electron volts) to about 5.3 eV Doped. In an exemplary embodiment, the polysilicon layer of the high work function gate electrode 414 may be formed at an energy of about 5 to about 100 keV (kilo-electron volts) to form an N- type (NMOS) It is doped by implanting boron (B +) or BF 2 ions in a dose amount of lel4 cm -2 to about lel6 cm -2.

[0057] 터널 유전체 층(416)은, 메모리 트랜지스터(400)가 바이어스되지 않을 때 누설에 대한 적절한 배리어를 유지하면서, 인가된 게이트 바이어스 하에, 전하 캐리어들이 다층 전하-트랩핑 영역(422)으로 터널링되도록 허용하기에 적합한 임의의 두께를 가지며 임의의 재료일 수 있다. 일 실시예에서, 터널 유전체 층(416)은 열 산화 프로세스에 의해 형성되며, 실리콘 이산화물 또는 실리콘 산질화물 또는 이들의 결합으로 구성된다. 다른 실시예에서, 터널 유전체 층(416)은 화학적 기상 증착(CVD) 또는 원자 층 증착(ALD)에 의해 형성되며, 이로 제한되는 것은 아니지만, 실리콘 질화물, 하프늄 산화물, 지르코늄 산화물, 하프늄 실리케이트, 하프늄 산질화물, 하프늄 지르코늄 산화물 및 란타늄 산화물을 포함할 수 있는 유전체 층으로 구성된다. 특정 실시예에서, 터널 유전체 층(416)은 1-10 나노미터 범위의 두께를 갖는다. 특정 실시예에서, 터널 유전체 층(416)은 대략 2 나노미터의 두께를 갖는다. The tunnel dielectric layer 416 is formed by tunneling the charge carriers into the multilayer charge-trapping region 422 under the applied gate bias while maintaining a proper barrier to leakage when the memory transistor 400 is not biased And may be any material having any thickness suitable for allowing it to be. In one embodiment, the tunnel dielectric layer 416 is formed by a thermal oxidation process and consists of silicon dioxide or silicon oxynitride, or combinations thereof. In another embodiment, the tunnel dielectric layer 416 is formed by chemical vapor deposition (CVD) or atomic layer deposition (ALD), including but not limited to silicon nitride, hafnium oxide, zirconium oxide, hafnium silicate, Nitride, hafnium zirconium oxide, and lanthanum oxide. In certain embodiments, the tunnel dielectric layer 416 has a thickness in the range of 1-10 nanometers. In certain embodiments, the tunnel dielectric layer 416 has a thickness of approximately 2 nanometers.

[0058] 일 실시예에서, 차단 유전체 층(420)은 HTO(high temperature oxide)를 포함한다. 더 높은 품질의 HTO 산화물은, 차단 유전체 층(420)이 두께에 있어 스케일링되는 것을 가능케한다. 예시적 실시예에서, HTO 산화물을 포함하는 차단 유전체 층(420)의 두께는 2.5nm 내지 10.0nm이다. [0058] In one embodiment, the blocking dielectric layer 420 comprises high temperature oxide (HTO). Higher quality HTO oxides enable the blocking dielectric layer 420 to be scaled in thickness. In an exemplary embodiment, the thickness of the blocking dielectric layer 420 comprising HTO oxide is between 2.5 nm and 10.0 nm.

[0059] 다른 실시예에서, 차단 유전체 층(420)은 질소가 통합되도록 추가로 변형(modify)된다. 이러한 일 실시예에서, 질소는 차단 유전체 층(420)의 두께에 걸쳐 ONO 스택의 형태로 통합된다. 통상의 순수 산소 차단 유전체 층 대신의 이러한 샌드위치 구조물은 바람직하게, 캐리어들의 백 인젝션(back injection)을 감소시키기 위한 대역 오프셋들의 조정(tuning)을 가능케할 뿐만 아니라 채널 영역(412)과 높은 일함수 게이트 전극(414) 간의 전체 스택(402)에 대한 EOT를 감소시킨다. ONO 스택 차단 유전체 층(420)은 이후 터널 유전체 층(416), 및 산소-풍부 제 1 산화물 층(422a), 산소-부족 제 2 산화물 층(422b) 및 안티-터널링 층(422c)을 포함하는 다층 전하 트랩핑 층(422)과 통합될 수 있다. [0059] In another embodiment, the blocking dielectric layer 420 is further modified to incorporate nitrogen. In one such embodiment, nitrogen is incorporated in the form of an ONO stack over the thickness of the blocking dielectric layer 420. [ This sandwich structure instead of a conventional pure oxygen-blocking dielectric layer preferably also allows tuning of band offsets to reduce back injection of carriers, as well as channel region 412 and high work function gate &lt; RTI ID = 0.0 &gt; Thereby reducing the EOT for the entire stack 402 between the electrodes 414. The ONO stack cutoff dielectric layer 420 then includes a tunnel dielectric layer 416 and an oxygen-rich first oxide layer 422a, an oxygen-deficient second oxide layer 422b, and an anti-tunneling layer 422c May be integrated with the multilayer charge trapping layer 422.

[0060] 다층 전하-트랩핑 영역(422)은 일반적으로, 산소 풍부 제 1 산화물 층(422a), 그리고 실리콘-풍부, 질소-풍부 및 산소-부족 제 2 질화물 층(422b)를 포함하는, 실리콘, 산소 및 질소의 상이한 조성들을 갖는 적어도 2개의 질화물 층들을 포함한다. 일부 실시예들에서, 도 4b에 도시된 것처럼, 다층 전하-트랩핑 영역은, ONONO 구조물(404)을 포함하는 ONONO 스택(402)을 제공하기 위해, 산소 풍부 제 1 산화물 층(422a)으로부터 산소-부족 제 2 질화물 층(422b)을 분리하는 산화물, 예컨대 실리콘 이산화물을 포함하는 안티-터널링 층(422c)을 더 포함한다.[0060] The multilayer charge-trapping region 422 generally comprises an oxide-rich first oxide layer 422a, and a silicon-rich, nitrogen-rich and oxygen-deficient second nitride layer 422b, , At least two nitride layers having different compositions of oxygen and nitrogen. In some embodiments, as shown in FIG. 4B, the multilayer charge-trapping region may be formed by depositing oxygen from the oxygen-rich first oxide layer 422a to provide an ONONO stack 402 that includes the ONONO structure 404, - an anti-tunneling layer 422c comprising an oxide, such as silicon dioxide, that separates the insufficient second nitride layer 422b.

[0061] 산소 풍부 제 1 산화물 층(422a)은 프로그래밍 이후 그리고 소거 이후, 보유 모드에서 작은 전압 시프트로 나타나는 전하 손실 레이트를 줄이는 반면, 실리콘-풍부, 질소-풍부 및 산소-부족 제 2 질화물 층(422b)은 실리콘-산화물-산질화물-산화물-실리콘 구조물의 실시예를 사용하여 만들어진 메모리 트랜지스터들의 전하 손실 레이트를 손상시키지 않고 프로그램 및 소거 전압 간의 초기 차를 증가시키고 속도를 개선시켜, 디바이스의 동작 수명을 연장시킨다는 것이 밝혀졌다. The oxygen-rich first oxide layer 422a reduces the charge-loss rates that appear with small voltage shifts in the hold mode after programming and after erase, while the silicon-rich, nitrogen-rich and oxygen-deficient second nitride layers 422b can increase the initial difference between program and erase voltages and improve speed without compromising the charge loss rate of memory transistors made using embodiments of silicon-oxide-oxynitride-oxide-silicon structures, . &Lt; / RTI &gt;

[0062] 안티-터널링 층(422c)은 실질적으로, 프로그래밍 동안 제 1 질화물 층(422a)으로의 터널링으로 인한 산소-부족 제 2 질화물 층(422b)의 경계들에 축적되는 전자 전하의 가능성을 감소시켜, 통상의 비휘발성 메모리 트랜지스터에 대한 것보다 더 낮은 누설 전류를 산출한다는 것이 추가로 밝혀졌다. [0062] The anti-tunneling layer 422c substantially reduces the probability of electron charge accumulating at the boundaries of the oxygen-deficient second nitride layer 422b due to tunneling to the first nitride layer 422a during programming , Yielding a lower leakage current than for a conventional non-volatile memory transistor.

[0063] 다층 전하-트랩핑 영역은 약 50 Å 내지 약 150 Å, 특정 실시예들에서는 약 100 Å 미만의 전체 두께를 가질 수 있고, 안티-터널링 층(422c)의 두께는 약 5 Å 내지 약 20 Å이며, 질화물 층들(404b, 404a)의 두께는 실질적으로 같다.The multilayer charge-trapping region may have a total thickness of from about 50 A to about 150 A, in certain embodiments less than about 100 A, and the thickness of the anti-tunneling layer 422c may be from about 5 A to about 20 &lt; / RTI &gt; and the thicknesses of the nitride layers 404b and 404a are substantially equal.

[0064] 일 실시예에 따라 높은 일함수 게이트 전극 및 다층 전하-트랩핑 영역을 갖는 메모리 트랜지스터를 포함하는 반도체 디바이스를 형성 또는 제조하는 방법이 이제 도 5의 흐름도를 참조로 설명될 것이다. [0064] A method of forming or fabricating a semiconductor device including a memory transistor having a high work function gate electrode and a multilayer charge-trapping region according to one embodiment will now be described with reference to the flow diagram of FIG.

[0065] 도 5를 참조로, 방법은 기판의 표면상의 실리콘 함유 층 위에, 제 1 산화물 층과 같은 터널 유전체 층을 형성(500)하는 것으로 시작한다. 터널 유전체 층은, 플라즈마 산화 프로세스, ISSG(In-Situ Steam Generation) 또는 라디컬 산화(radical oxidation) 프로세스를 포함하는 임의의 적절한 수단에 의해 형성 또는 증착될 수 있다. 일 실시예에서, 라디칼 산화 프로세스는 수소(H2) 및 산소(02) 가스를 프로세싱 챔버 또는 퍼니스(furnace)로 흘려보내 기판의 일부에 대한 산화 소모(oxidation consumption)에 의해 터널 유전체 층의 성장을 초래하는 것을 수반한다. [0065] Referring to FIG. 5, the method begins with forming (500) a tunnel dielectric layer, such as a first oxide layer, over the silicon-containing layer on the surface of the substrate. The tunnel dielectric layer can be formed or deposited by any suitable means including a plasma oxidation process, an In-Situ Steam Generation (ISSG) process or a radical oxidation process. In one embodiment, the radical oxidation process is performed by flowing hydrogen (H 2 ) and oxygen (O 2 ) gas into a processing chamber or furnace to produce growth of the tunnel dielectric layer by oxidation consumption on a portion of the substrate &Lt; / RTI &gt;

[0066] 다음, 다층 전하 트랩핑 영역의 산소-풍부 제 1 질화물 층이 터널 유전체 층의 표면상에 형성된다(502). 일 실시예에서, 산소-풍부 제 1 질화물 층은 실리콘 소스(예컨대, 실란(SiH4), 클로로실란(SiH3Cl), 디클로로실란 또는 DCS(SiH2Cl2), 테트라클로로실란(SiCl4) 또는 BTBAS(Bis-TertiaryButylAmino Silane)), 질소 소스(예컨대, 질소(N2), 암모니아(NH3), 질소 트리산화물(N03) 또는 아산화 질소(N20)) 및 산소-함유 가스(예컨대, 산소(02) 또는 N20)를 이용하여 저압 CVD 프로세스에서 형성 또는 증착된다. 대안적으로, 수소가 중수소(deuterium)로 대체된 가스들(예를 들어, NH3에 대해 중수소화된-암모니아(ND3)의 치환을 포함)이 사용될 수 있다. 수소에 대한 중수소의 치환은 바람직하게, 실리콘-산화물 인터페이스에서의 Si 댕글링 결합(dangling bond)들을 보호하며(passivate), 이로써 디바이스들의 NBTI(Negative Bias Temperature Instability) 수명이 증가하게 된다. [0066] Next, an oxygen-rich first nitride layer of the multilayer charge trapping region is formed 502 on the surface of the tunnel dielectric layer. In one embodiment, the oxygen-rich first nitride layer has a silicon source (e.g., silane (SiH 4), chlorosilane (SiH 3 Cl), dichlorosilane or DCS (SiH 2 Cl 2), tetrachlorosilane (SiCl 4) or BTBAS (Bis-TertiaryButylAmino Silane)), nitrogen sources (for example, nitrogen (N 2), ammonia (NH 3), nitrogen tree oxide (N0 3) or nitrous oxide (N 2 0)) and an oxygen-containing gas (e. g. , Oxygen (O 2 ), or N 2 O). Alternatively, gases in which hydrogen is replaced by deuterium (e.g., deuterium deuterated for NH 3 - including replacement of ammonia (ND 3 )) can be used. Substitution of deuterium to hydrogen preferably passivates the Si dangling bonds at the silicon-oxide interface, thereby increasing the NBTI (Negative Bias Temperature Instability) lifetime of the devices.

[0067] 예를 들어, 하부 또는 산소-풍부 제 1 질화물 층은, 약 2.5분 내지 약 20분의 기간 동안, 약 5 milliTorr(mT) 내지 약 500 mT의 압력으로 챔버를 유지하고 약 700 degrees Celsius 내지 약 850 degrees Celsius, 특정 실시예들에서는 적어도 약 760 degrees Celsius의 온도로 기판을 유지하면서, 증착 챔버내에 기판을 배치하고 N20, NH3 및 DCS를 포함하는 프로세스 가스를 도입함으로써 터널 유전체 층 위에 증착될 수 있다. 특히, 프로세스 가스는 약 8:1 내지 약 1:8의 비율로 혼합된 N20 및 NH3의 제 1 가스 혼합물 그리고 약 1:7 내지 약 7:1의 비율로 혼합된 DCS 및 NH3의 제 2 가스 혼합물을 포함하며, 약 5 내지 약 200 sccm(standard cubic centimeters per minute)의 유량으로 도입될 수 있다. 이러한 조건들하에서 생성 또는 증착된 산질화물 층은 실리콘-풍부, 산소-풍부 제 1 질화물 층을 산출한다는 것이 밝혀졌다. [0067] For example, the lower or oxygen-rich first nitride layer may be formed by maintaining the chamber at a pressure of about 5 milliTorr (mT) to about 500 mT for a period of about 2.5 minutes to about 20 minutes, to about 850 degrees Celsius, by the specific embodiments disposing a substrate in a deposition chamber, while maintaining the substrate at a temperature of at least about 760 degrees Celsius and introduced into the process gas containing N 2 0, NH 3 and DCS tunnel dielectric layer &Lt; / RTI &gt; In particular, the process gas may comprise a first gas mixture of N 2 O and NH 3 mixed at a ratio of about 8: 1 to about 1: 8, and a second gas mixture of DCS and NH 3 mixed at a ratio of about 1: 7 to about 7: A second gas mixture, and may be introduced at a flow rate of from about 5 to about 200 sccm (standard cubic centimeters per minute). It has been found that the oxynitride layer produced or deposited under these conditions yields a silicon-rich, oxygen-rich first nitride layer.

[0068] 다음, 안티-터널링 층이 제 1 질화물 층의 표면상에 형성 또는 증착된다(504), 터널 유전체 층처럼, 안티-터널링 층은, 플라즈마 산화 프로세스, ISSG(In-Situ Steam Generation) 또는 라디컬 산화 프로세스를 포함하는 임의의 적절한 수단에 의해 형성 또는 증착될 수 있다. 일 실시예에서, 라디컬 산화 프로세스는 수소(H2) 및 산소(02) 가스를 배치(batch)-프로세싱 챔버 또는 퍼니스(furnace)로 흘려보내 제 1 질화물 층의 일부에 대한 산화 소모에 의해 안티-터널링 유전체 층의 성장을 초래하는 것을 수반한다.Next, an anti-tunneling layer is formed or deposited 504 on the surface of the first nitride layer. Like the tunnel dielectric layer, the anti-tunneling layer may be formed by a plasma oxidation process, an In-Situ Steam Generation (ISSG) And may be formed or deposited by any suitable means including a radical oxidation process. In one embodiment, the radical oxidation process is performed by flowing hydrogen (H 2 ) and oxygen (O 2 ) gases into a batch-processing chamber or furnace, by oxidative depletion of a portion of the first nitride layer Resulting in the growth of the anti-tunneling dielectric layer.

[0069] 다층 전하-트랩핑 영역의 상단 또는 산소-부족 제 2 질화물 층이 이후 안티-터널링 층의 표면상에 형성된다(506). 산소-부족 제 2 질화물 층은, 약 2.5분 내지 약 20분의 기간 동안, 약 5 mT 내지 약 500 mT의 챔버 압력에서, 그리고 약 700 degrees Celsius 내지 약 850 degrees Celsius의 기판 온도, 특정 실시예에서는 적어도 약 760 degrees Celsius의 기판 온도에서 N20, NH3 및 DCS를 포함하는 프로세스 가스를 사용하여 CVD 프로세스에서 안티-터널링 층 위에 증착될 수 있다. 특히, 프로세스 가스는 약 8:1 내지 약 1:8의 비율로 혼합된 N20 및 NH3의 제 1 가스 혼합물 및 약 1:7 내지 약 7:1 비율로 혼합된 DCS 및 NH3의 제 2 가스 혼합물을 포함할 수 있으며, 약 5 내지 약 20 sccm의 유량으로 주입될 수 있다. 이러한 조건하에서 생성 또는 증착된 질화물 층은 실리콘-풍부, 질소-풍부 및 산소-부족 제 2 질화물 층을 산출하며, 이는 실리콘-산화물-산질화물-산화물-실리콘 구조물의 실시예를 이용하여 만들어진 메모리 트랜지스터들의 전하 손실 레이트를 손상시키지 않고 프로그램 및 소거 전압 간의 초기 차를 증가시키고 속도를 개선시켜, 이로써 디바이스의 동작 수명을 연장시킨다는 것이 밝혀졌다. [0069] The top of the multilayer charge-trapping region or the oxygen-deficient second nitride layer is then formed 506 on the surface of the anti-tunneling layer. The oxygen-deficient second nitride layer may be deposited over a period of from about 2.5 minutes to about 20 minutes at a chamber pressure of from about 5 mT to about 500 mT and at a substrate temperature of from about 700 degrees Celsius to about 850 degrees Celsius, Can be deposited on the anti-tunneling layer in a CVD process using a process gas comprising N 2 O, NH 3, and DCS at substrate temperatures of at least about 760 degrees Celsius. In particular, the process gas comprises a first gas mixture of N 2 O and NH 3 mixed at a ratio of about 8: 1 to about 1: 8, and a second gas mixture of DCS and NH 3 mixed at a ratio of about 1: 7 to about 7: 2 gas mixture, and may be injected at a flow rate of from about 5 to about 20 sccm. The nitride layer produced or deposited under these conditions yields a silicon-rich, nitrogen-rich and oxygen-deficient second nitride layer, which is a memory transistor made using an embodiment of a silicon-oxide-oxynitride-oxide- To increase the initial difference between the program and erase voltages and to improve the speed without compromising the charge-loss rate of the devices, thereby extending the operating life of the device.

[0070] 일부 실시예들에서, 산소-부족 제 2 질화물 층은, 그 내부에 트랩들의 수를 증가시키도록 선택된 농도의 탄소가 더 포함되도록, 약 7:1 내지 약 1:7의 비율로 혼합된 BTBAS 및 암모니아(NH3)를 포함하는 프로세스 가스를 이용하여 CVD 프로세스에서 안티-터널링 층 위에 증착될 수 있다. 제 2 산질화물 층에서의 선택된 탄소 농도는 약 5% 내지 약 15%의 탄소 농도를 포함할 수 있다.[0070] In some embodiments, the oxygen-deficient second nitride layer is mixed at a ratio of from about 7: 1 to about 1: 7 such that the concentration further includes carbon selected to increase the number of traps therein It may be deposited over the tunneling layer in an anti-CVD process using a process gas containing BTBAS and ammonia (NH 3). The selected carbon concentration in the second oxynitride layer may comprise a carbon concentration of about 5% to about 15%.

[0071] 다음, 상단, 차단 산화물 층 또는 차단 유전체 층이, 다층 전하-트랩핑 영역의 산소-부족 제 2 질화물 층의 표면상에 형성된다(508). 터널 유전체 층 및 안티-터널링 층처럼, 차단 유전체 층은 플라즈마 산화 프로세스, ISSG(In-Situ Steam Generation) 또는 라디컬 산화 프로세스를 포함하는 임의의 적절한 수단에 의해 형성 또는 증착될 수 있다. 일 실시예에서, 차단 유전체 층은 CVD 프로세스를 이용하여 증착된 HTO(high-temperature-oxide)를 포함한다. 일반적으로, 증착 프로세스는, 약 650℃ 내지 약 850℃의 온도로 기판을 유지하면서, 약 10 분 내지 약 120분의 기간 동안, 약 50 mT 내지 약 1000 mT의 압력으로 증착 챔버내에서, 기판(306)을 실리콘 소스(예컨대, 실란, 클로로실란, 또는 디클로로실란), 및 산소-함유 가스(예컨대, 02 또는 N20)에 노출시키는 것을 포함한다. Next, an upper, blocking oxide layer, or blocking dielectric layer is formed (508) on the surface of the oxygen-deficient second nitride layer in the multilayer charge-trapping region. Like the tunnel dielectric layer and the anti-tunneling layer, the barrier dielectric layer may be formed or deposited by any suitable means including a plasma oxidation process, an In-Situ Steam Generation (ISSG) process or a radical oxidation process. In one embodiment, the barrier dielectric layer comprises a high-temperature-oxide (HTO) deposited using a CVD process. Generally, the deposition process is performed in a deposition chamber at a pressure of from about 50 mT to about 1000 mT for a period of from about 10 minutes to about 120 minutes while maintaining the substrate at a temperature of from about 650 [deg.] C to about 850 [ 306) to a silicon source (e.g., silane, chlorosilane, or dichlorosilane), and an oxygen-containing gas (e.g., O 2 or N 2 O).

[0072] 대안적으로, 차단 유전체 층은 ISSG 산화 프로세스를 이용하여 형성된다. 일 실시예에서, ISSG는, 약 0.5% 내지 33% 수소가 첨가된 산소 풍부 가스 혼합물 수소와 함께, 앞서 설명된 Applied Materials로부터의 ISSG 챔버와 같은 RTP 챔버에서, 약 8 내지 12 Torr의 압력 및 약 1050℃의 온도에서 수행된다. [0072] Alternatively, a blocking dielectric layer is formed using an ISSG oxidation process. In one embodiment, the ISSG is operated at a pressure of about 8 to 12 Torr in a RTP chamber, such as the ISSG chamber from the Applied Materials previously described, along with oxygen-rich gas mixture hydrogen with about 0.5% to 33% 1050 &lt; 0 &gt; C.

[0073] 어느 실시예든, 제 2 질화물 층의 두께는, 산소-부족 제 2 질화물 층의 일부가 차단 유전체 층을 형성하는 프로세스 동안 효율적으로 소모되거나 산화될 것이기 때문에 증가되거나 또는 조절될 수 있다는 것이 인식될 것이다.[0073] In any embodiment, it is recognized that the thickness of the second nitride layer can be increased or adjusted because a portion of the oxygen-deficient second nitride layer will be efficiently consumed or oxidized during the process of forming the barrier dielectric layer Will be.

[0074] 마지막으로, 높은 일함수 게이트 전극이 차단 유전체 층상에 이와 접촉하게 형성된다(510). 높은 일함수 게이트 전극은, 저압 CVD 프로세스에서 형성 또는 증착되며 약 200 Å 내지 약 2000 Å의 두께를 갖는 도핑된 폴리실리콘 층을 포함한다. 앞서 논의된 것처럼, 높은 일함수 게이트 전극의 폴리실리콘 층은 저압 CVD 프로세스 동안 CVD 챔버로의, 포스핀, 아르신, 디보란 또는 디플루오로보란(BF2)과 같은 가스들의 추가를 통해 도핑된 폴리실리콘 층으로서 바로 형성 또는 성장될 수 있거나, 또는 CVD 프로세스에서의 성장 또는 형성 이후 이온 주입 프로세스를 이용하여 도핑될 수 있다. 어느 실시예든, 높은 일함수 게이트 전극의 폴리실리콘 층은, 게이트 전극으로부터 전자를 제거하는데 필요한 최소 에너지가 적어도 약 4.8 eV(electron volts) 내지 약 5.3 eV가 되도록 선택된 농도 또는 도즈량으로 도핑된다. 예시적인 실시예에서, 높은 일함수 게이트 전극의 폴리실리콘 층은, N-타입(NMOS) 메모리 트랜지스터를 형성하기 위해, 약 5 내지 약 100 keV(kilo-electron volts)의 에너지에서, 약 lel4 cm-2 내지 약 lel6 cm-2의 도즈량으로 붕소(B+) 또는 BF2 이온들을 주입함으로써 도핑된다. [0074] Finally, a high work function gate electrode is formed 510 in contact with the blocking dielectric layer. The high work function gate electrode comprises a doped polysilicon layer formed or deposited in a low pressure CVD process and having a thickness of from about 200 A to about 2000 A. As discussed above, the polysilicon layer of the high work function gate electrode is doped into the CVD chamber during the low pressure CVD process through the addition of gases such as phosphine, arsine, diborane, or difluoroborane (BF 2 ) May be formed or grown directly as a polysilicon layer, or may be doped using an ion implantation process after growth or formation in a CVD process. In either embodiment, the polysilicon layer of the high work function gate electrode is doped with a concentration or dose selected such that the minimum energy required to remove electrons from the gate electrode is at least about 4.8 eV (electron volts) to about 5.3 eV. In an exemplary embodiment, for a high work function of the polysilicon layer, gate electrode, forming an N- type (NMOS) memory transistors, in the range of about 5 to about 100 keV (kilo-electron volts) energy, about lel4 cm - a dose amount of 2 to about lel6 cm -2 is doped by implanting boron (B +) or BF 2 ions.

[0075] 게이트 스택 제조의 완료로, SONOS-타입 메모리 디바이스의 제조를 마치도록 업계에 공지된 바와 같은 추가 프로세싱이 발생할 수 있다.[0075] Upon completion of the gate stack fabrication, additional processing may occur as is known in the art to complete the fabrication of SONOS-type memory devices.

[0076] 다른 양상에서, 본 개시물은 또한 기판의 표면상에 또는 기판의 표면 위에 형성된 채널 영역의 2개 또는 그 초과의 면들(sides) 위에 놓인 전하-트랩핑 영역들을 포함하는 멀티게이트 또는 멀티게이트-표면 메모리 트랜지스터들 및 이들을 제조하는 방법들에 관한 것이다. 멀티게이트 디바이스들은, 평면형 디바이스 및 비평면형 디바이스 둘 다를 포함한다. 평면형 멀티게이트 디바이스(미도시)는 일반적으로 더블-게이트 평면형 디바이스를 포함하며, 여기서는 다수의 제 1 층들이 증착되어 추후 형성되는 채널 영역 아래에 제 1 게이트를 형성하고 다수의 제 2 층들이 그 위에 증착되어 제 2 게이트를 형성한다. 비평면형 멀티게이트 디바이스는 일반적으로 기판의 표면상에 또는 그 위에 형성되며 게이트에 의해 3개 또는 그 초과의 면들로 둘러싸인 수평 또는 수직 채널 영역을 포함한다.[0076] In another aspect, the disclosure is also directed to a multi-gate or multi-gate structure including charge-trapping regions located on two or more sides of a channel region formed on, Gate-surface memory transistors and methods of fabricating them. Multi-gate devices include both planar devices and non-planar devices. A planar multi-gate device (not shown) typically includes a double-gate planar device wherein a plurality of first layers are deposited to form a first gate below the channel region to be formed later and a plurality of second layers thereon To form a second gate. Non-planar multi-gate devices generally include a horizontal or vertical channel region formed on or on the surface of a substrate and surrounded by three or more faces by a gate.

[0077] 도 6a는, 높은 일함수 게이트 전극을 포함하는 비평면형 멀티게이트 메모리 트랜지스터의 일 실시예를 예시한다. 도 6a를 참조로, 흔히 핀펫(finFET)으로 지칭되는 메모리 트랜지스터(600)는, 메모리 트랜지스터의 소스 영역(608)과 드레인 영역(610)을 연결하는, 기판(606)상의 표면(604) 위에 놓인 반도체 재료의 층 또는 박막으로부터 형성된 채널 영역(602)을 포함한다. 채널 영역(602)은, 디바이스의 게이트(612)를 형성하는 핀(fin)에 의해 3 면들에 인클로징된다(enclosed). (소스 영역에서 드레인 영역으로의 방향으로 측정되는) 게이트(612)의 두께는, 디바이스의 유효 채널 영역 길이를 결정한다. 앞서 설명된 실시예들처럼, 채널 영역(602)은 단결정질 채널 영역을 형성하기 위해 폴리실리콘 또는 재결정화된 폴리실리콘을 포함할 수 있다. 선택적으로, 채널 영역(602)이 결정질 실리콘을 포함하는 경우, 채널 영역은 채널 영역의 장축에 대해 <100> 표면 결정질 배향을 갖도록 형성될 수 있다. [0077] Figure 6a illustrates one embodiment of a non-planar multi-gate memory transistor including a high work function gate electrode. 6A, a memory transistor 600, often referred to as a finFET, is placed over a surface 604 on a substrate 606 that connects a source region 608 and a drain region 610 of a memory transistor. And a channel region 602 formed from a layer or thin film of semiconductor material. The channel region 602 is enclosed on three sides by a fin forming the gate 612 of the device. The thickness of the gate 612 (measured in the direction from the source region to the drain region) determines the effective channel region length of the device. As in the embodiments described above, the channel region 602 may comprise polysilicon or recrystallized polysilicon to form a monocrystalline channel region. Alternatively, when the channel region 602 comprises crystalline silicon, the channel region may be formed to have a <100> surface crystalline orientation with respect to the long axis of the channel region.

[0078] 본 개시물에 따라, 도 6a의 비평면형 멀티게이트 메모리 트랜지스터(600)는 높은 일함수 게이트 전극 및 다층 전하-트랩핑 영역을 포함할 수 있다. 도 6b는, 기판(606)의 일부, 채널 영역(602) 그리고 높은 일함수 게이트 전극(614) 및 다층 전하-트랩핑 영역(616)을 예시하는 게이트(612)를 포함하는 도 6a의 비평면형 메모리 트랜지스터의 일부에 대한 단면도이다. 게이트(612)는, 메모리 트랜지스터(600)의 제어 게이트를 형성하기 위해, 융기된 채널 영역(602) 위에 높인 터널 유전체 층(618), 및 차단 유전체 층 위에 놓인 차단 유전체 층(620)을 더 포함한다. 채널 영역(602) 및 게이트(612)는 기판(606)상에 또는 절연 또는 유전체 층(622)상에, 예컨대, 기판 상에 또는 기판 위에 형성된 매립 산화물 층 상에 바로 형성될 수 있다. [0078] In accordance with the present disclosure, the non-planar multi-gate memory transistor 600 of FIG. 6A may include a high work function gate electrode and a multilayer charge-trapping region. 6B illustrates a non-planar structure of FIG. 6A including a portion of a substrate 606, a channel region 602 and a gate 612 illustrating a high work function gate electrode 614 and a multilayer charge-trapping region 616. FIG. Sectional view of a portion of a memory transistor. The gate 612 further includes a tunnel dielectric layer 618 that is elevated above the raised channel region 602 and a blocking dielectric layer 620 that overlies the blocking dielectric layer to form the control gate of the memory transistor 600 do. The channel region 602 and the gate 612 can be formed directly on the substrate 606 or on the insulating or dielectric layer 622, e.g., on the substrate or on the buried oxide layer formed on the substrate.

[0079] 앞서 논의된 실시예들처럼, 높은 일함수 게이트 전극(614)은 저압 CVD 프로세스에서 형성 또는 증착되며 약 200 Å 내지 약 2000 Å의 두께를 갖는 도핑된 폴리실리콘 층을 포함한다. 높은 일함수 게이트 전극(614)의 폴리실리콘 층은, 포스핀, 아르신, 디보란 또는 BF2와 같은 가스들의 추가를 통해 도핑된 폴리실리콘 층으로서 바로 형성 또는 성장될 수 있으며, 게이트 전극으로부터 전자를 제거하는데 필요한 최소 에너지가 적어도 약 4.8 eV 내지 약 5.3 eV가 되도록 선택된 농도 또는 도즈량으로 도핑된다. 예시적인 실시예에서, 높은 일함수 게이트 전극(614)의 폴리실리콘 층은 약 lel4 cm-2 내지 약 lel6 cm-2의 농도로 도핑된다. [0079] As with the embodiments discussed above, the high work function gate electrode 614 comprises a doped polysilicon layer formed or deposited in a low pressure CVD process and having a thickness of about 200 A to about 2000 A. The polysilicon layer of the high work function gate electrode 614 can be formed or grown directly as a doped polysilicon layer through the addition of gases such as phosphine, arsine, diborane, or BF 2 , To a concentration or dose selected to be at least about 4.8 eV to about 5.3 eV. In an exemplary embodiment, the polysilicon layer of the high work function gate electrode 614 is doped at a concentration of about lel 4 cm -2 to about lel 6 cm -2 .

[0080] 도 6b를 참조로, 다층 전하-트랩핑 영역(616)은 터널 유전체 층(618) 아주 가까이에(closer) 질화물을 포함하는 적어도 하나의 하부 또는 바닥 산소-풍부 제 1 질화물 층(616a), 및 산소-풍부 제 1 질화물 층 위에 놓인 상부 또는 상단 산소-부족 제 2 질화물 층(616b)을 포함한다. 일반적으로, 산소-부족 제 2 질화물 층(616b)은 실리콘-풍부 산소-부족 질화물 층을 포함하며 다층 전하-트랩핑 영역에 분포되는 다수의 전하 트랩들을 포함하는 반면, 산소-풍부 제 1 질화물 층(616a)은 산소-풍부 질화물 또는 실리콘 산질화물을 포함하며, 산소-부족 제 2 질화물 층에 비해 산소-풍부하여 그 내부의 전하 트랩들의 수가 감소된다. 산소-풍부라는 것은 산소-풍부 제 1 질화물 층(616a)에서의 산소의 농도가 약 15 내지 약 40%인 반면, 산소-부족 제 2 질화물 층(616b)에서의 산소의 농도는 약 5% 미만임을 의미한다.6B, the multilayer charge-trapping region 616 includes at least one lower or bottom oxygen-rich first nitride layer 616a including nitride closer to the tunnel dielectric layer 618. [0080] ) And an upper or upper oxygen-deficient second nitride layer 616b overlying the oxygen-rich first nitride layer. Typically, the oxygen-deficient second nitride layer 616b includes a plurality of charge traps that include a silicon-rich oxygen-deficient nitride layer and are distributed in the multilayer charge-trapping region, while the oxygen- (616a) comprises an oxygen-rich nitride or silicon oxynitride and is oxygen-rich relative to the oxygen-deficient second nitride layer, thereby reducing the number of charge traps therein. Oxygen-rich means that the concentration of oxygen in the oxygen-rich first nitride layer 616a is about 15 to about 40%, while the concentration of oxygen in the oxygen-deficient second nitride layer 616b is less than about 5% .

[0081] 일 실시예에서, 차단 유전체(620)는 또한 ONNO 구조물을 제공하기 위해 HTO와 같은 산화물을 포함한다. 채널 영역(602) 및 위에 놓인 ONNO 구조물은, 실리콘 기판(606) 상에 바로 형성되며 SONNOS 구조물을 제공하도록 높은 일함수 게이트 전극(614)이 위에 놓일 수 있다.[0081] In one embodiment, the blocking dielectric 620 also includes an oxide, such as HTO, to provide the ONNO structure. The channel region 602 and the overlying ONNO structure can be directly formed on the silicon substrate 606 and the high work function gate electrode 614 can be overlaid to provide a SONNOS structure.

[0082] 일부 실시예들에서, 도 6b에 도시된 것처럼, 다층 전하-트랩핑 영역(616)은, 산소-풍부 제 1 질화물 층(616a)으로부터 산소-부족 제 2 질화물 층(616b)을 분리하는 산화물과 같은 유전체를 포함하는, 적어도 하나의 얇은, 중간 또는 안티-터널링 층(616c)을 더 포함한다. 앞서 주목한 것처럼, 안티-터널링 층(616c)은 실질적으로, 프로그래밍 동안 제 1 질화물 층(616a)으로의 터널링으로 인해 산소-부족 제 2 질화물 층(616b)의 경계에 축적되는 전자 전하의 가능성을 감소시킨다. [0082] In some embodiments, as shown in FIG. 6B, the multilayer charge-trapping region 616 is formed by isolating the oxygen-deficient second nitride layer 616b from the oxygen-rich first nitride layer 616a Intermediate, or anti-tunneling layer 616c, including a dielectric, such as an oxide, such as an oxide. As noted above, the anti-tunneling layer 616c substantially reduces the probability of electron charge accumulating at the boundary of the oxygen-deficient second nitride layer 616b due to tunneling to the first nitride layer 616a during programming .

[0083] 앞서 논의된 실시예들처럼, 산소-풍부 제 1 질화물 층(616a) 및 산소-부족 제 2 질화물 층(616b) 중 어느 하나 또는 둘다는, 실리콘 질화물 또는 실리콘 산질화물을 포함할 수 있으며, 예를 들어, 실리콘-풍부 및 산소-풍부 산질화물 층을 제공하도록 맞춰진(tailored) 비율들 및 유량들로 N2O/NH3 및 DCS/NH3 가스 혼합물들을 포함하는 프로세스 가스를 사용하여 CVD 프로세스에 의해 형성될 수 있다. 이후 다층 전하 저장 구조물의 제 2 질화물 층이 중간 산화물 층상에 형성된다. 산소-부족 제 2 질화물 층(616b)은, 산소-풍부 제 1 질화물 층(616a)의 것과는 상이한 산소, 질소 및/또는 실리콘의 화학량론적 조성을 가지며, 또한 실리콘-풍부, 산소-부족 상단 질화물 층을 제공하도록 맞춰진 비율들 및 유량들로 DCS/NH3 및 N2O/NH3 가스 혼합물들을 포함하는 프로세스 가스를 이용하여 CVD 프로세스에 의해 형성 또는 증착될 수 있다. [0083] As with the embodiments discussed above, either or both of the oxygen-rich first nitride layer 616a and the oxygen-deficient second nitride layer 616b can comprise silicon nitride or silicon oxynitride For example, N 2 O / NH 3 at flow rates and rates tailored to provide a silicon-rich and oxygen-rich oxynitride layer, And a DCS / NH 3 gas mixture. A second nitride layer of the multilayer charge storage structure is then formed on the intermediate oxide layer. The oxygen-deficient second nitride layer 616b has a stoichiometric composition of oxygen, nitrogen and / or silicon that is different from that of the oxygen-rich first nitride layer 616a and also includes a silicon-rich, oxygen-deficient top nitride layer Can be formed or deposited by a CVD process using a process gas comprising DCS / NH 3 and N 2 O / NH 3 gas mixtures at rates and rates set to provide.

[0084] 산화물을 포함하는 중간 또는 안티-터널링 층(616c)을 포함하는 이들 실시예들에서, 안티-터널링 층은, 라디칼 산화를 이용하여 선택된 깊이로의, 바닥 산화물 층의 산화에 의해 형성될 수 있다. 라디칼 산화는, 예를 들어, 단일 웨이퍼 툴을 사용하여 1000-1100 degrees Celsius의 온도로, 또는 배치(batch) 반응기 툴을 사용하여 800-900 degrees Celsius로 수행될 수 있다. 배치 프로세스를 위해 300-500 Torr의 압력에서 또는 단일 증기 툴을 사용하여 10-15 Torr에서, 단일 웨이퍼 툴을 이용하여 1-2분의 시간 동안, 또는 배치 프로세스를 이용하여 30분 내지 1시간 동안 H2 및 02 가스들의 혼합물이 사용될 수 있다.[0084] In those embodiments that include an intermediate or anti-tunneling layer 616c comprising an oxide, the anti-tunneling layer may be formed by oxidation of the bottom oxide layer to a selected depth using radical oxidation . Radical oxidation can be performed, for example, at temperatures of 1000-1100 degrees Celsius using a single wafer tool, or at 800-900 degrees Celsius using a batch reactor tool. At a pressure of 300-500 Torr for a batch process, at 10-15 Torr using a single steam tool, for 1-2 minutes using a single wafer tool, or for 30 minutes to 1 hour using a batch process H 2 And a mixture of O 2 gases may be used.

[0085] 마지막으로, 산화물을 포함하는 차단 유전체(620)를 포함하는 이들 실시예들에서, 산화물은 임의의 적절한 수단에 의해 형성 또는 증착될 수 있다. 일 실시예에서, 차단 유전체(620)의 산화물은 HTO CVD 프로세스에서 증착된 고온 산화물이다. 대안적으로, 차단 유전체(620) 또는 차단 산화물 층은 열적으로 성장될 수 있지만, 본 실시예에서, 상단 질화물 두께는, 상단 질화물의 일부가 차단 산화물 층을 열적으로 성장시키는 프로세스 동안 효율적으로 소모되거나 산화될 것이기 때문에 증가되거나 조정될 수 있다는 것이 인식될 것이다. 제 3 옵션은 라디칼 산화를 이용하여 선택된 깊이로 제 2 질화물 층을 산화시키는 것이다. [0085] Finally, in these embodiments, which include a blocking dielectric 620 comprising an oxide, the oxide may be formed or deposited by any suitable means. In one embodiment, the oxide of the blocking dielectric 620 is a high temperature oxide deposited in an HTO CVD process. Alternatively, the blocking dielectric 620 or blocking oxide layer may be thermally grown, but in this embodiment, the top nitride thickness is such that a portion of the top nitride is effectively consumed during the process of thermally growing the blocking oxide layer It can be increased or adjusted because it will be oxidized. The third option is to oxidize the second nitride layer to a selected depth using radical oxidation.

[0086] 산소-풍부 제 1 질화물 층(616a)에 대한 적절한 두께는 약 30 Å 내지 약 160Å(일부 변동이 허용됨, 예를 들어, ±10 Å)일 수 있으며, 이 중 약 5-20 Å는 안티-터널링 층(616c)을 형성하기 위해 라디칼 산화에 의해 소모될 수 있다. 산소-부족 제 2 질화물 층(616b)에 대한 적절한 두께는, 적어도 30Å일 수 있다. 특정 실시예들에서, 산소-부족 제 2 질화물 층(616b)은 130Å에 이르는 두께로 형성될 수 있고, 이중 30-70 Å은 차단 유전체(620)를 형성하기 위해 라이칼 산화에 의해 소모될 수 있다. 다른 비율들이 또한 가능하지만, 일부 실시예들에서 산소-풍부 제 1 질화물 층(616a)과 산소-부족 제 2 질화물 층(616b) 간의 두께 비율은 대략 1:1이다.[0086] A suitable thickness for the oxygen-rich first nitride layer 616a may be from about 30 A to about 160 A (some variations are permissible, for example, +/- 10 A), of which about 5-20 A May be consumed by radical oxidation to form anti-tunneling layer 616c. An appropriate thickness for the oxygen-deficient second nitride layer 616b may be at least 30 angstroms. In certain embodiments, the oxygen-deficient second nitride layer 616b may be formed to a thickness of 130 ANGSTROM, of which 30-70 ANGSTROM may be consumed by the lacquer oxidation to form the blocking dielectric 620 have. Although other ratios are also possible, in some embodiments the thickness ratio between the oxygen-rich first nitride layer 616a and the oxygen-deficient second nitride layer 616b is approximately 1: 1.

[0087] 다른 실시예들에서, 산소-부족 제 2 질화물 층(616b) 및 차단 유전체(620)중 어느 하나 또는 둘 다는 하이(high) K 유전체를 포함할 수 있다. 적절한 하이 K 유전체들은, 하프늄계 재료들(예컨대, HfSiON, HfSiO 또는 HfO), 지르코늄계 재료(예컨대, ZrSiON, ZrSiO 또는 ZrO) 및 이트륨계 재료(예컨대, Y2O3)를 포함한다. [0087] In other embodiments, either or both of the oxygen-deficient second nitride layer 616b and the blocking dielectric 620 may comprise a high-K dielectric. Suitable high-K dielectrics are, including the hafnium-based material (e.g., HfSiON, HfSiO or HfO), zirconium-based material (e.g., ZrSiON, ZrSiO or ZrO) and yttrium-based material (for example, Y 2 O 3).

[0088] 도 7a 및 도 7b에 도시된 다른 실시예에서, 메모리 트랜지스터는 메모리 트랜지스터의 소스 영역과 드레인 영역을 연결하는, 기판상의 표면 위에 놓인 반도체 재료의 박막으로부터 형성된 나노와이어 채널 영역을 포함할 수 있다. 나노와이어 채널 영역이란 것은, 약 10 nm(nanometers) 또는 그 미만, 보다 바람직하게는 약 6 nm 미만의 최대 단면 치수를 갖는, 얇은 스트립의 결정질 실리콘 재료에 형성되는 도전성 채널 영역을 의미한다.[0088] In another embodiment shown in Figures 7a and 7b, a memory transistor may comprise a nanowire channel region formed from a thin film of semiconductor material overlying a surface on a substrate, connecting a source region and a drain region of the memory transistor have. The nanowire channel region means a conductive channel region formed in a thin strip of crystalline silicon material having a maximum cross-sectional dimension of less than about 10 nanometers (nanometers), more preferably less than about 6 nm.

[0089] 도 7a를 참조로, 메모리 트랜지스터(700)는 기판(706)상의 표면상에 또는 이 위에 놓인 반도체 재료의 층 또는 박막으로부터 형성되며 메모리 트랜지스터의 소스 영역(708)과 드레인 영역(710)을 연결하는 수평 나노와이어 채널 영역(702)을 포함한다. 도시된 실시예에서, 디바이스는 GAA(gate-all-around) 구조물을 가지며, 여기서 나노와이어 채널 영역(702)은 디바이스의 게이트(712)에 의해 모든 면들에 인클로징된다. (소스 영역에서 드레인 영역의 방향으로 측정되는) 게이트(712)의 두께는, 디바이스의 유효 채널 영역 길이를 결정한다. 앞서 설명된 실시예들처럼, 나노와이어 채널 영역(702)은 단결정질 채널 영역을 형성하기 위해 폴리실리콘 또는 재결정화된 폴리실리콘을 포함할 수 있다. 선택적으로, 채널 영역(702)이 결정질 실리콘을 포함하는 경우, 채널 영역은 채널 영역의 장축에 대해 <100> 표면 결정질 배향을 갖도록 형성될 수 있다.7A, a memory transistor 700 is formed from a layer or thin film of semiconductor material on or over a surface on a substrate 706 and includes a source region 708 and a drain region 710 of a memory transistor, And a horizontal nanowire channel region 702 connecting the nanowires. In the illustrated embodiment, the device has a gate-all-around (GAA) structure in which the nanowire channel region 702 is enclosed on all sides by the gate 712 of the device. The thickness of the gate 712 (measured in the direction from the source region to the drain region) determines the effective channel region length of the device. As in the embodiments described above, the nanowire channel region 702 may comprise polysilicon or recrystallized polysilicon to form a monocrystalline channel region. Alternatively, when the channel region 702 comprises crystalline silicon, the channel region may be formed to have a <100> surface crystalline orientation with respect to the long axis of the channel region.

[0090] 본 개시물에 따라, 도 7a의 비평면형 멀티게이트 메모리 트랜지스터(700)는, 높은 일함수 게이트 전극 및 다층 전하-트랩핑 영역을 포함할 수 있다. 도 7b는, 기판(706)의 일부, 나노와이어 채널 영역(702) 그리고 높은 일함수 게이트 전극(714) 및 다층 전하-트랩핑 영역(716a-716c)을 예시하는 게이트(712)를 포함하는, 도 7a의 비평면형 메모리 트랜지스터의 일부에 대한 단면도이다. 도 7b를 참조로, 게이트(712)는 나노와이어 채널 영역(702) 위에 놓인 터널 유전체 층(718) 및 차단 유전체 층(720)을 더 포함한다.[0090] In accordance with the present disclosure, the non-planar multi-gate memory transistor 700 of FIG. 7A may comprise a high work function gate electrode and a multilayer charge-trapping region. 7B shows a portion of a substrate 706 including a gate 712 illustrating a nanowire channel region 702 and a high work function gate electrode 714 and multilayer charge-trapping regions 716a-716c. 7A is a cross-sectional view of a portion of a non-planar memory transistor. 7B, the gate 712 further includes a tunnel dielectric layer 718 and a blocking dielectric layer 720 overlying the nanowire channel region 702.

[0091] 앞서 설명된 실시예들처럼, 높은 일함수 게이트 전극(714)은, 저압 CVD 프로세스에서 형성 또는 증착되며 약 200 Å 내지 약 2000 Å의 두께를 갖는 도핑된 폴리실리콘 층을 포함한다. 높은 일함수 게이트 전극(714)의 폴리실리콘 층은, 포스핀, 아르신, 디보란 또는 BF2와 같은 가스들의 추가를 통해 도핑된 폴리실리콘 층으로서 바로 형성 또는 성장될 수 있으며, 게이트 전극으로부터 전자를 제거하는데 필요한 최소 에너지가 적어도 약 4.8 eV 내지 약 5.3 eV가 되도록 선택된 농도 또는 도즈량으로 도핑된다. 예시적인 실시예에서, 높은 일함수 게이트 전극(714)의 폴리실리콘 층은 약 lel4 cm-2 내지 약 lel6 cm-2의 농도로 도핑된다. [0091] As with the embodiments described above, the high work function gate electrode 714 comprises a doped polysilicon layer formed or deposited in a low pressure CVD process and having a thickness of from about 200 A to about 2000 A. The polysilicon layer of the high work function gate electrode 714 can be formed or grown directly as a doped polysilicon layer through the addition of gases such as phosphine, arsine, diborane, or BF 2 , To a concentration or dose selected to be at least about 4.8 eV to about 5.3 eV. In an exemplary embodiment, the polysilicon layer of the high work function gate electrode 714 is doped to a concentration of about lel 4 cm -2 to about lel 6 cm -2 .

[0092] 다층 전하-트랩핑 영역(716a-716c)은 터널 유전체 층(718) 아주 가까이에 질화물을 포함하는 적어도 하나의 내부 산소-풍부 제 1 질화물 층(716a), 및 산소-풍부 제 1 질화물 층 위에 놓인 외부 산소-부족 제 2 질화물 층(716b)을 포함한다. 일반적으로, 외부 산소-부족 제 2 질화물 층(716b)은, 실리콘-풍부, 산소-부족 질화물 층을 포함하며 다층 전하-트랩핑 영역에 분포되는 다수의 전하 트랩들을 포함하는 반면, 산소-풍부 제 1 질화물 층(716a)은 산소-풍부 질화물 또는 실리콘 산질화물을 포함하며 외부 산소-부족 제 2 질화물 층에 비해 산소-풍부하여 그 안의 전하 트랩들의 수가 감소된다.[0092] The multilayer charge-trapping regions 716a-716c include at least one inner oxygen-rich first nitride layer 716a that includes a nitride very near the tunnel dielectric layer 718, and an oxygen- And an external oxygen-deficient second nitride layer 716b overlying the layer. Generally, the outer oxygen-deficient second nitride layer 716b includes a plurality of charge traps that include a silicon-rich, oxygen-deficient nitride layer and are distributed in the multilayer charge-trapping region, while the oxygen- 1 nitride layer 716a comprises an oxygen-rich nitride or silicon oxynitride and is oxygen-rich relative to the outer oxygen-deficient second nitride layer, thereby reducing the number of charge traps therein.

[0093] 일부 실시예들에서, 도시된 것처럼, 다층 전하-트랩핑 영역(716)은 산소-풍부 제 1 질화물 층(716a)으로부터 외부 산소-부족 제 2 질화물 층(716b)을 분리하는 산화물과 같은 유전체를 포함하는, 적어도 하나의 얇은, 중간 또는 안티-터널링 층(716c)을 더 포함한다. 안티-터널링 층(716c)은 실질적으로, 프로그래밍 동안 산소-풍부 제 1 질화물 층(716a)으로의 터널링으로 인해 외부 산소-부족 제 2 질화물 층(716b)의 경계들에 축적되는 전자 전하의 가능성을 감소시켜, 더 낮은 누설 전류를 산출한다.[0093] In some embodiments, as shown, the multilayer charge-trapping region 716 includes an oxide that separates the outer oxygen-deficient second nitride layer 716b from the oxygen-rich first nitride layer 716a, At least one thin, intermediate or anti-tunneling layer 716c, including the same dielectric. The anti-tunneling layer 716c substantially reduces the probability of electron charge accumulating at the boundaries of the external oxygen-deficient second nitride layer 716b due to tunneling to the oxygen-rich first nitride layer 716a during programming To produce a lower leakage current.

[0094] 앞서 설명된 실시예처럼, 산소-풍부 제 1 질화물 층(716a) 및 외부 산소-부족 제 2 질화물 층(716b) 중 어느 하나 또는 둘다는, 실리콘 질화물 또는 실리콘 산질화물을 포함할 수 있고, 예를 들어, 실리콘-풍부 및 산소-풍부 산질화물 층을 제공하도록 맞춰진 비율들 및 유량들로 N2O/NH3 및 DCS/NH3 가스 혼합물들을 포함하는 프로세스 가스를 사용하여 CVD 프로세스에 의해 형성될 수 있다. 다층 전하 저장 구조물의 제 2 질화물 층이 이후 중간 산화물 층상에 형성된다. 외부 산소-부족 제 2 질화물 층(716b)은, 산소-풍부 제 1 질화물 층(716a)의 것과는 상이한 산소, 질소 및/또는 실리콘의 화학량론적 조성을 가지며, 또한 실리콘-풍부, 산소-부족 상단 질화물 층을 제공하도록 맞춰진 비율들 및 유량들로 DCS/NH3 및 N2O/NH3 가스 혼합물들을 포함하는 프로세스 가스를 이용하여 CVD 프로세스에 의해 형성 또는 증착될 수 있다. [0094] As described above, either or both of the oxygen-rich first nitride layer 716a and the external oxygen-deficient second nitride layer 716b may comprise silicon nitride or silicon oxynitride For example, N 2 O / NH 3 at rates and at flow rates adapted to provide a silicon-rich and oxygen-rich oxynitride layer And a DCS / NH 3 gas mixture. A second nitride layer of the multilayer charge storage structure is then formed on the intermediate oxide layer. The outer oxygen-deficient second nitride layer 716b has a stoichiometric composition of oxygen, nitrogen, and / or silicon that is different from that of the oxygen-rich first nitride layer 716a and also has a silicon-rich, oxygen- a may be formed or deposited by a CVD process using a process gas including a tuned ratio and a flow rate of DCS / NH 3 and N 2 O / NH 3 gas mixture to provide.

[0095] 산화물을 포함하는 중간 또는 안티-터널링 층(716c)을 포함하는 이들 실시예들에서, 안티-터널링 층은, 라디칼 산화를 이용하여 선택된 깊이로의, 산소-풍부 제 1 질화물 층(716a)의 산화에 의해 형성될 수 있다. 라디칼 산화는, 예를 들어, 단일 웨이퍼 툴을 이용하여 1000-1100 degrees Celsius의 온도로, 또는 배치 반응기 툴을 이용하여 800-900 degrees Celsius의 온도로 수행될 수 있다. 배치 프로세스를 위해 300-500 Torr의 압력에서 또는 단일 증기 툴을 사용하여 10-15 Torr에서, 단일 웨이퍼 툴을 이용하여 1-2분의 시간 동안, 또는 배치 프로세스를 이용하여 30분 내지 1시간 동안 H2 및 02 가스들의 혼합물이 사용될 수 있다. In those embodiments that include an intermediate or anti-tunneling layer 716c comprising an oxide, the anti-tunneling layer may be formed by depositing an oxygen-rich first nitride layer 716a ). &Lt; / RTI &gt; The radical oxidation can be performed, for example, at a temperature of 1000-1100 degrees Celsius using a single wafer tool, or at a temperature of 800-900 degrees Celsius using a batch reactor tool. At a pressure of 300-500 Torr for a batch process, at 10-15 Torr using a single steam tool, for 1-2 minutes using a single wafer tool, or for 30 minutes to 1 hour using a batch process H 2 And a mixture of O 2 gases may be used.

[0096] 마지막으로, 차단 유전체(720)이 산화물을 포함하는 이들 실시예들에서, 산화물은 임의의 적절한 수단에 의해 형성 또는 증착될 수 있다. 일 실시예에서, 차단 유전체 층(720)의 산화물은 HTO CVD 프로세스에서 증착된 고온 산화물이다. 대안적으로, 차단 유전체 층(720) 또는 차단 산화물 층은 열적으로 성장될 수 있지만, 본 실시예에서, 외부 산소-부족 제 2 질화물 층(716b)의 두께는, 상단 질화물의 일부가 차단 산화물 층을 열적으로 성장시키는 프로세스 동안 효율적으로 소모되거나 산화될 것이기 때문에 증가되거나 조정될 수 있다는 것이 인식될 것이다. [0096] Finally, in these embodiments where the blocking dielectric 720 comprises an oxide, the oxide may be formed or deposited by any suitable means. In one embodiment, the oxide of the blocking dielectric layer 720 is a high temperature oxide deposited in an HTO CVD process. Alternatively, the blocking dielectric layer 720 or the blocking oxide layer may be thermally grown, but in this embodiment, the thickness of the outer oxygen-deficient second nitride layer 716b is such that a portion of the top nitride, Will be consumed or oxidized efficiently during the thermal growth process.

[0097] 산소-풍부 제 1 질화물 층(716a)에 대한 적절한 두께는 약 30Å 내지 약 80Å(일부 변동이 허용됨, 예를 들어, ±10 Å)일 수 있으며, 이 중 약 5-20Å는 안티-터널링 층(716c)을 형성하기 위해 라디칼 산화에 의해 소모될 수 있다. 외부 산소-부족 제 2 질화물 층(716b)에 대한 적절한 두께는, 적어도 30Å일 수 있다. 특정 실시예들에서, 외부 산소-부족 제 2 질화물 층(716b)은 70Å에 이르는 두께로 형성될 수 있고, 이중 30-70Å은 차단 유전체 층(720)을 형성하기 위해 라이칼 산화에 의해 소모될 수 있다. 다른 비율들이 또한 가능하지만, 일부 실시예들에서 산소-풍부 제 1 질화물 층(716a)과 외부 산소-부족 제 2 질화물 층(716b) 간의 두께 비율은 대략 1:1이다.[0097] A suitable thickness for the oxygen-rich first nitride layer 716a may be from about 30 A to about 80 A (some variations are allowed, for example, +/- 10 A), with about 5-20 A being anti- May be consumed by radical oxidation to form the tunneling layer 716c. An appropriate thickness for the outer oxygen-deficient second nitride layer 716b may be at least 30 angstroms. In certain embodiments, the external oxygen-deficient second nitride layer 716b may be formed to a thickness of 70 ANGSTROM, of which 30-70 ANGSTROM may be consumed by the lacquer oxidation to form the barrier dielectric layer 720 . Although other ratios are also possible, in some embodiments the thickness ratio between the oxygen-rich first nitride layer 716a and the outer oxygen-deficient second nitride layer 716b is approximately 1: 1.

[0098] 다른 실시예들에서, 외부 산소-부족 제 2 질화물 층(716b) 및 차단 유전체 층(720)중 어느 하나 또는 둘 다는 하이(high) K 유전체를 포함할 수 있다. 적절한 하이 K 유전체들은, 하프늄계 재료들(예컨대, HfSiON, HfSiO 또는 HfO), 지르코늄계 재료(예컨대, ZrSiON, ZrSiO 또는 ZrO) 및 이트륨계 재료(예컨대, Y2O3)를 포함한다.[0098] In other embodiments, either or both of the outer oxygen-deficient second nitride layer 716b and the blocking dielectric layer 720 may comprise a high-K dielectric. Suitable high-K dielectrics are, including the hafnium-based material (e.g., HfSiON, HfSiO or HfO), zirconium-based material (e.g., ZrSiON, ZrSiO or ZrO) and yttrium-based material (for example, Y 2 O 3).

[0099] 도 7c는, BiCS(Bit Cost Scalable) 또는 BiCS 아키텍처(726)에 배열된, 도 7a의 비평면형 멀티게이트 디바이스들(700)의 수직 스트링의 단면도를 예시한다. 아키텍처(726)는 비평면형 멀티게이트 디바이스들(700)의 수직 스트링 또는 스택으로 구성되며, 여기서 각각의 디바이스 또는 셀은, 기판(706) 위에 놓이며, 메모리 트랜지스터의 소스 영역 및 드레인 영역(이 도면에는 도시되지 않음)을 연결하며, 나노와이어 채널 영역(702)이 게이트(712)에 의해 모든 면들에 인클로징되는 GAA(gate-all-around) 구조물을 갖는, 채널 영역(702)을 포함한다. BiCS 아키텍처는 층들의 단순한 적층에 비해 중요한(critical) 리소그래피 단계들의 수를 감소시켜, 메모리 비트 당 감소된 비용을 유도한다. [0099] FIG. 7C illustrates a cross-sectional view of a vertical string of non-planar multigate devices 700 of FIG. 7A, arranged in BiCS (Bit Cost Scalable) or BiCS architecture 726. Architecture 726 is comprised of a vertical string or stack of non-planar multi-gate devices 700, wherein each device or cell rests on a substrate 706 and has a source region and a drain region of the memory transistor And a channel region 702 having a gate-all-around (GAA) structure connecting the nanowire channel region 702 to all sides by a gate 712. The BiCS architecture reduces the number of critical lithography steps compared to simple stacking of layers, resulting in reduced cost per memory bit.

[00100] 다른 실시예에서, 메모리 트랜지스터는, 기판상의 다수의 도전성, 반도체성 층들의 위에 또는 이들로부터 돌출하는 반도체 재료내에 형성되는 또는 이들로부터 형성되는 수직 나노와이어 채널 영역을 포함하는 비평면형 디바이스이거나 또는 이를 포함한다. 도 8a에 일부가 절단되게(cutaway) 도시된 본 실시예에 대한 일 버전에서, 메모리 트랜지스터(800)는, 디바이스의 소스 영역(804)과 드레인 영역(806)을 연결하는, 반도체 재료의 실린더에 형성된 수직 나노와이어 채널 영역(802)을 포함한다. 채널 영역(802)이 터널 유전체 층(808), 다층 전하-트랩핑 영역(810), 차단 유전체 층(812) 및 차단 유전체 층 위에 놓인 높은 일함수 게이트 전극(814)에 의해 둘러싸여, 메모리 트랜지스터(800)의 제어 게이트를 형성한다. 채널 영역(802)은 반도체 재료의 실질적으로 중실형(solid) 실린더의 외부 층에 환형 영역을 포함할 수 있거나, 또는 유전체 필러(filler) 재료의 실린더 위에 형성되는 환형 층을 포함할 수 있다. 앞서 설명된 수평 나노와이어들처럼, 채널 영역(802)은 단결정질 채널 영역을 형성하기 위해 폴리실리콘 또는 재결정화된 폴리실리콘을 포함할 수 있다. 선택적으로, 채널 영역(802)이 결정질 실리콘을 포함할 경우, 채널 영역은 채널 영역의 장축에 대해 <100> 표면 결정질 배향을 갖도록 형성될 수 있다.[00100] In another embodiment, the memory transistor is a non-planar device comprising a vertical nanowire channel region formed in or formed from a semiconductor material that protrudes above or from a plurality of conductive, semiconductive layers on a substrate Or the like. In one version of this embodiment shown partially cutaway in FIG. 8A, the memory transistor 800 includes a source region 804 of the device and a drain region 806, And a vertical nanowire channel region 802 formed. Channel region 802 is surrounded by a tunnel dielectric layer 808, a multilayer charge-trapping region 810, a blocking dielectric layer 812 and a high work function gate electrode 814 overlying the blocking dielectric layer, 800 are formed. The channel region 802 may comprise an annular region in the outer layer of a substantially solid cylinder of semiconductor material or may comprise an annular layer formed over the cylinder of dielectric filler material. As with the horizontal nanowires described above, the channel region 802 may comprise polysilicon or recrystallized polysilicon to form a monocrystalline channel region. Alternatively, when the channel region 802 comprises crystalline silicon, the channel region may be formed to have a <100> surface crystalline orientation with respect to the major axis of the channel region.

[00101] 앞서 설명된 실시예들처럼, 높은 일함수 게이트 전극(814)은, 저압 CVD 프로세스에서 형성 또는 증착되며 약 200 Å 내지 2000 Å의 두께를 갖는 도핑된 폴리실리콘 층을 포함한다. 높은 일함수 게이트 전극(814)의 폴리실리콘 층은, 포스핀, 아르신, 디보란 또는 BF2와 같은 가스들의 추가를 통해 도핑된 폴리실리콘 층으로서 바로 형성 또는 성장될 수 있고, 게이트 전극으로부터 전자를 제거하는데 필요한 최소 에너지가 적어도 약 4.8 eV 내지 약 5.3 eV가 되도록 선택된 농도 또는 도즈량으로 도핑된다. 예시적인 실시예에서, 높은 일함수 게이트 전극(814)의 폴리실리콘 층은 약 lel4 cm-2 내지 약 lel6 cm-2의 농도로 도핑된다.[00101] As with the embodiments described above, the high work function gate electrode 814 comprises a doped polysilicon layer formed or deposited in a low pressure CVD process and having a thickness of about 200 Å to 2000 Å. The polysilicon layer of the high work function gate electrode 814 can be formed or grown directly as a doped polysilicon layer through the addition of gases such as phosphine, arsine, diborane, or BF 2 , To a concentration or dose selected to be at least about 4.8 eV to about 5.3 eV. In an exemplary embodiment, the polysilicon layer of the high work function gate electrode 814 is doped to a concentration of about lel 4 cm -2 to about lel 6 cm -2 .

[00102] 일부 실시예들에서, 도 8b에 도시된 것처럼, 다층 전하-트랩핑 영역(810)은, 터널 유전체 층(808)에 가장 가까운 적어도 내부 또는 산소-풍부 제 1 질화물 층(810a), 및 외부 또는 산소-부족 제 2 질화물 층(810b)을 포함한다. 선택적으로, 도시된 실시예처럼, 산소-풍부 제 1 질화물 층(810a) 및 산소-부족 제 2 질화물 층(810b)은 산화물을 포함하는 중간 산화물 또는 안티-터널링 층(810c)에 의해 분리된다. 8B, the multilayer charge-trapping region 810 includes at least an inner or oxygen-rich first nitride layer 810a closest to the tunnel dielectric layer 808, And an outer or oxygen-deficient second nitride layer 810b. Alternatively, as shown in the illustrated embodiment, the oxygen-rich first nitride layer 810a and the oxygen-deficient second nitride layer 810b are separated by an intermediate oxide or anti-tunneling layer 810c comprising an oxide.

[00103] 산소-풍부 제 1 질화물 층(810a) 및 산소-부족 제 2 질화물 층(810b)중 어느 하나 또는 둘 다는 실리콘 질화물 또는 실리콘 산질화물을 포함할 수 있으며, 예를 들어, 실리콘-풍부 및 산소-풍부 산질화물 층을 제공하도록 맞춰진(tailored) 비율들 및 유량들로 N2O/NH3 및 DCS/NH3 가스 혼합물들을 포함하는 프로세스 가스를 사용하여 CVD 프로세스에 의해 형성될 수 있다. [00103] Either or both of the oxygen-rich first nitride layer 810a and the oxygen-deficient second nitride layer 810b may comprise silicon nitride or silicon oxynitride, for example, silicon-rich and / Can be formed by a CVD process using a process gas comprising N 2 O / NH 3 and DCS / NH 3 gas mixtures in proportions and at rates that are tailored to provide an oxygen-rich oxynitride layer.

[00104] 마지막으로, 산소-부족 제 2 질화물 층(810b) 및 차단 유전체 층 (812) 중 하나 또는 둘 다는 하이 K 유전체(예컨대, HfSiON, HfSiO, HfO, ZrSiON, ZrSiO, ZrO, 또는 Y203)를 포함할 수 있다.[00 104] Finally, the oxygen-shortage second nitride layer (810b), and blocking dielectric layer 812 is a high K one or both of the dielectric (e.g., HfSiON, HfSiO, HfO, ZrSiON, ZrSiO, ZrO, or Y 2 0 3 ).

[00105] 산소-풍부 제 1 질화물 층(810a)에 대한 적절한 두께는 약 30 Å 내지 약 80Å(일부 변동이 허용됨, 예를 들어, ±10 Å)일 수 있으며, 이 중 약 5-20 Å는 안티-터널링 층(820)을 형성하기 위해 라디칼 산화에 의해 소모될 수 있다. 산소-부족 제 2 질화물 층(810b)에 대한 적절한 두께는 적어도 30 Å일 수 있으며, 차단 유전체 층(812)에 대해 적절한 두께는 약 30-70 Å일 수 있다.[00105] A suitable thickness for the oxygen-rich first nitride layer 810a may be from about 30 A to about 80 A (some variations are permissible, for example, +/- 10 A), of which about 5-20 A May be consumed by radical oxidation to form anti-tunneling layer 820. [ A suitable thickness for the oxygen-deficient second nitride layer 810b may be at least 30 angstroms, and a suitable thickness for the blocking dielectric layer 812 may be about 30-70 angstroms.

[00106] 도 8a의 메모리 트랜지스터(800)는, 게이트 퍼스트 또는 게이트 라스트 방식을 사용하여 만들어질 수 있다. 도 9a-f는, 도 8a의 비평면형 멀티게이트 디바이스를 제조하기 위한 게이트 퍼스트 방식을 예시한다. 도 20a-f는 도 8a의 비평면형 멀티게이트 디바이스를 제조하기 위한 게이트 라스트 방식을 예시한다. [0106] The memory transistor 800 of FIG. 8A may be made using a gate first or gate last scheme. Figures 9A-F illustrate the gate first approach for fabricating the non-planar multigate device of Figure 8A. Figures 20A-F illustrate a gate-last approach for fabricating the non-planar multigate device of Figure 8A.

[00107] 도 9a를 참조로, 게이트 퍼스트 방식에서, 산화물과 같은 제 1 또는 하부 유전체 층(902)이, 기판(906)내의, 소스 영역 또는 드레인 영역과 같은, 제 1 도핑된 확산 영역(904) 위에 형성된다. 높은 일함수 게이트 전극(908)은 디바이스의 제어 게이트를 형성하도록 제 1 유전체 층(902) 상에 되며, 그위에 제 2 또는 상부 유전체 층(910)이 형성된다. 앞서 설명된 실시예들처럼, 게이트 전극으로부터 전자를 제거하는데 필요한 최소 에너지가 적어도 약 4.8 eV 내지 약 5.3 eV가 되도록, 약 lel4 cm-2 내지 약 lel6 cm-2의 도펀트 농도 및 약 200Å 내지 약 2000Å의 두께를 갖는 폴리실리콘 층을 증착 및/또는 도핑함으로써 높은 일함수 게이트 전극(908)이 형성될 수 있다. 폴리실리콘 층은 포스핀, 아르신, 디보란 또는 BF2과 같은 가스들의 추가를 통해 도핑된 폴리실리콘 층으로서 저압 CVD 프로세스에서 증착될 수 있거나, 또는 증착 이후 이온 주입 프로세스를 이용하여 도핑될 수 있다.9A, in a gate-first approach, a first or bottom dielectric layer 902, such as an oxide, is deposited over a first doped diffusion region 904, such as a source region or a drain region, . The high work function gate electrode 908 is on the first dielectric layer 902 to form the control gate of the device, on which a second or top dielectric layer 910 is formed. A dopant concentration of from about lel 4 cm -2 to about lel 6 cm -2 and a dopant concentration of from about 200 Å to about 2000 Å 2 , such that the minimum energy required to remove electrons from the gate electrode is at least about 4.8 eV to about 5.3 eV, A high work function gate electrode 908 can be formed by depositing and / or doping a polysilicon layer having a thickness of about &lt; RTI ID = 0.0 &gt; The polysilicon layer may be deposited in a low pressure CVD process as a doped polysilicon layer through the addition of gases such as phosphine, arsine, diborane, or BF 2 , or may be doped using an ion implantation process after deposition .

[00108] 제 1 및 제 2 유전체 층들(902, 910)은 CVD, 라디칼 산화에 의해 증착될 수 있거나 또는 아래에 놓인 층 또는 기판의 일부의 산화에 의해 형성될 수 있다. 일반적으로 높은 일함수 게이트 전극(908)의 두께는 약 40-50Å이며, 제 1 및 제 2 유전체 층들(902, 910)의 두께는 약 20-80Å이다.[00108] The first and second dielectric layers 902 and 910 may be deposited by CVD, radical oxidation, or may be formed by oxidation of a underlying layer or a portion of the substrate. In general, the thickness of the high work function gate electrode 908 is about 40-50 ANGSTROM, and the thickness of the first and second dielectric layers 902 and 910 is about 20-80 ANGSTROM.

[00109] 도 9b를 참조로, 위에 놓인 높은 일함수 게이트 전극(908), 및 제 1 및 제 2 유전체 층들(902, 910)을 통해 기판(906)내의 확산 영역(904)으로 제 1 개구(912)가 에칭된다. 다음, 도 9c에 도시된 중간 구조물을 산출하도록 평탄화된 상부 유전체 층(910)의 표면 및 개구에 터널링 산화물(914), 전하-트랩핑 영역(916) 및 차단 유전체(918)의 층들이 순차적으로 증착된다. 9B, an overlying high work function gate electrode 908 and a first opening (not shown) are formed in the diffusion region 904 in the substrate 906 through the first and second dielectric layers 902 and 910, 912 are etched. Next, layers of tunneling oxide 914, charge-trapping region 916, and blocking dielectric 918 are sequentially deposited on the surface and opening of the top dielectric layer 910 planarized to yield the intermediate structure shown in Figure 9c Lt; / RTI &gt;

[00110] 도시되진 않았지만, 앞서 설명된 실시예들에서처럼, 전하-트랩핑 영역(916)은 터널 유전체 층(914)에 아주 가까운 적어도 하나의 하부 또는 산소-풍부 제 1 질화물 층, 및 산소-풍부 제 1 질화물 층 위에 놓인 상부 또는 산소-부족 제 2 질화물 층을 포함하는 다층 전하 트랩핑 영역을 포함할 수 있다는 것이 이해될 것이다. 일반적으로, 산소-부족 제 2 질화물 층은 실리콘-풍부, 산소-부족 질화물 층을 포함하며 다층 전하-트랩핑 영역에 분포된 다수의 전하 트랩들을 포함하는 반면, 산소-풍부 제 1 질화물 층은 산소-풍부 질화물 또는 실리콘 산질화물을 포함하며 산소-부족 제 2 질화물 층에 비해 산소-풍부하여 그 안의 전하 트랩들의 수가 감소된다. 일부 실시예들에서, 다층 전하-트랩핑 영역(916)은 산소-풍부 제 1 질화물 층으로부터 산소-부족 제 2 질화물 층을 분리하는 산화물과 같은 유전체를 포함하는, 적어도 하나의 얇은, 중간 또는 안티-터널링 층을 더 포함한다.Although not shown, the charge-trapping region 916 includes at least one lower or oxygen-rich first nitride layer very close to the tunnel dielectric layer 914, and an oxygen- Layered charge trapping region comprising an upper or an oxygen-deficient second nitride layer overlying the first nitride layer. Typically, the oxygen-deficient second nitride layer comprises a silicon-rich, oxygen-deficient nitride layer and comprises a plurality of charge traps distributed in the multilayer charge-trapping region, while the oxygen-rich first nitride layer comprises oxygen - enriched nitride or silicon oxynitride and oxygen-rich compared to the oxygen-deficient second nitride layer, thereby reducing the number of charge traps therein. In some embodiments, the multilayer charge-trapping region 916 includes at least one thin, middle, or anti-doping layer comprising a dielectric such as an oxide separating the oxygen-deficient second nitride layer from the oxygen-rich first nitride layer. - further comprises a tunneling layer.

[00111] 다음, 제 2 또는 채널 영역 개구(920)가 터널링 산화물(914), 전하-트랩핑 영역(916) 및 차단 유전체(918)를 통해 비등방성으로 에칭된다(도 9d). 도 9e를 참조로, 반도체 재료(922)가 채널 영역 개구에 증착되어 그 안에 수직 채널 영역(924)을 형성한다. 수직 채널 영역(924)은 반도체 재료의 실질적으로 중실형 실린더의 외부 층에 환형 영역을 포함할 수 있으며, 또는 도 9e에 도시된 것처럼, 유전체 필러 재료(926)의 실린더를 둘러싸는 개별 반도체 재료(922) 층을 포함할 수 있다. Next, a second or channel region opening 920 is etched anisotropically through the tunneling oxide 914, the charge-trapping region 916, and the blocking dielectric 918 (FIG. 9D). Referring to FIG. 9E, a semiconductor material 922 is deposited in the channel region openings to form vertical channel regions 924 therein. The vertical channel region 924 may include an annular region in the outer layer of a substantially solid cylindrical cylinder of semiconductor material or may include an individual semiconductor material (e.g., silicon dioxide) surrounding the cylinder of dielectric filler material 926 922) layer.

[00112] 도 9f를 참조로, 상부 유전체 층(910)의 표면이 평탄화되어, 그 안에 형성되는, 소스 영역 또는 드레인 영역과 같은, 제 2 도핑된 확산 영역(930)을 포함하는 반도체 재료(928)의 층이 상부 유전체 층 위에 증착되어, 도시된 디바이스를 형성한다.9F, the surface of the top dielectric layer 910 is planarized to form a semiconductor material 928 (FIG. 9A) including a second doped diffusion region 930, such as a source region or a drain region, formed therein. [00112] Is deposited over the top dielectric layer to form the device shown.

[00113] 도 10a를 참조로, 게이트 라스트 방식에서, 산화물과 같은 유전체 층(1002)이, 기판(1006) 상의 표면상의 희생 층(1004) 위에 형성되고, 그 안에 형성되는 수직 채널 영역(1008) 및 유전체 층 및 희생 층을 통해 개구가 에칭된다. 앞서 설명된 실시예들처럼, 수직 채널 영역(1008)은, 다결정질 또는 단결정질 실리콘과 같은 반도체 재료(1010)의 실질적으로 중공형 실린더의 외부 층에 환형 영역을 포함할 수 있거나, 또는 유전체 필러 재료(미도시)의 실린더를 둘러싸는 개별 반도체 재료 층을 포함할 수 있다. 유전체 층(1002)은, 메모리 트랜지스터(800)에 대해 추후 형성되는 높은 일함수 게이트 전극을, 위에 놓이는 전기적 활성 층 또는 다른 메모리 트랜지스터로부터 전기적으로 절연시킬 수 있는 임의의 적절한 유전체 재료, 예컨대 실리콘 산화물을 포함할 수 있다. 10a, a dielectric layer 1002, such as an oxide, is formed over a sacrificial layer 1004 on a surface on a substrate 1006 and a vertical channel region 1008 formed therein, And the openings are etched through the dielectric layer and the sacrificial layer. As with the embodiments described above, the vertical channel region 1008 can include an annular region in the outer layer of a substantially hollow cylinder of a semiconductor material 1010, such as polycrystalline or monocrystalline silicon, And a separate semiconductor material layer surrounding the cylinder of material (not shown). The dielectric layer 1002 may comprise any suitable dielectric material, such as silicon oxide, that can electrically isolate the subsequently formed high work function gate electrode from the overlying electrically active layer or other memory transistor .

[00114] 도 10b를 참조로, 유전체 층(1002) 및 희생 층(1004)을 지나 기판(1006)으로 제 2 개구(1012)가 에칭되며 희생 층(1004)은 적어도 부분적으로 에칭 또는 제거된다. 희생 층(1004)은 유전체 층(1002), 기판(1006) 및 수직 채널 영역(1008)의 재료에 비해 높은 선택도(selectivity)로 에칭 또는 제거될 수 있는 임의의 적절한 재료를 포함할 수 있다. 일 실시예에서, 희생 층(1004)은 BOE 에칭(Buffered Oxide Etch)에 의해 제거될 수 있는 산화물을 포함할 수 있다. [00114] Referring to FIG. 10B, the second opening 1012 is etched through the dielectric layer 1002 and the sacrificial layer 1004 to the substrate 1006, and the sacrificial layer 1004 is at least partially etched or removed. The sacrificial layer 1004 may comprise any suitable material that can be etched or removed with a high selectivity relative to the material of the dielectric layer 1002, the substrate 1006, and the vertical channel region 1008. In one embodiment, the sacrificial layer 1004 may comprise an oxide that can be removed by BOE etching (Buffered Oxide Etch).

[00115] 도 10c 및 도 10d를 참조로, 터널 유전체 층(1014), 다층 전하-트랩핑 영역(1016a-c) 및 차단 유전체 층(1018)이 순차적으로, 도 10c에 도시된 중간 구조물을 산출하도록 평탄화된 유전체 층(1002)의 표면, 및 개구에 증착된다. 앞서 설명된 실시예들처럼, 다층 전하 트랩핑 층(1016a-c)은, 터널 유전체 층(1014)에 가장 가까운 적어도 내부 산소-풍부 제 1 질화물 층(1016a) 및 외부 산소-부족 제 2 질화물 층(1016b)을 포함하는 스플릿 다층 전하 트랩 층이다. 선택적으로, 제 1 전하 트랩 층 및 제 2 전하 트랩 층은 중간 산화물 층 또는 안티-터널링 층(1016c)에 의해 분리될 수 있다.10C and 10D, a tunnel dielectric layer 1014, a multilayer charge-trapping region 1016a-c, and a blocking dielectric layer 1018 sequentially form the intermediate structure shown in FIG. 10C The surface of the dielectric layer 1002 planarized to form a planarized surface, and an opening. As with the previously described embodiments, the multilayered charge trapping layer 1016a-c includes at least an inner oxygen-rich first nitride layer 1016a closest to the tunnel dielectric layer 1014 and an outer oxygen- Lt; RTI ID = 0.0 &gt; 1016b. &Lt; / RTI &gt; Alternatively, the first charge trap layer and the second charge trap layer may be separated by an intermediate oxide layer or anti-tunneling layer 1016c.

[00116] 다음, 높은 일함수 게이트 전극(1022)이, 도 10e에 예시된 중간 구조물을 산출하도록 평탄화된 상부 유전체 층(1002)의 표면 및 제 2 개구(1012)에 증착된다. 앞서 설명된 실시예들처럼, 높은 일함수 게이트 전극(1022)은, 게이트 전극으로부터 전자를 제거하는데 필요한 최소 에너지가 적어도 약 4.8 eV 내지 약 5.3 eV가 되도록, 약 lel4 cm-2 내지 약 lel6 cm-2의 도펀트 농도를 갖는 도핑된 폴리실리콘 층을 포함한다. 높은 일함수 게이트 전극의 폴리실리콘 층(1022)은, CVD 프로세스로의, 포스핀, 아르신, 디보란 또는 BF2와 같은 가스들의 추가를 통해 도핑된 폴리실리콘 층으로서 바로 성장된다. 마지막으로, 개구(1024)는 개별 메모리 디바이스들(1026A 및 1026B)의 제어 게이트들을 형성하기 위해 게이트 층(1022)을 통해 에칭된다. [00116] Next, a high work function gate electrode 1022 is deposited on the surface of the top dielectric layer 1002 and the second opening 1012 planarized to yield the intermediate structure illustrated in FIG. 10E. Like the embodiment described above, high work function gate electrode 1022, the minimum energy needed to remove an electron from the gate electrode so that at least about 4.8 eV to about 5.3 eV, about lel4 cm -2 to about lel6 cm - 2 &lt; / RTI &gt; dopant concentration. The polysilicon layer 1022 of the high work function gate electrode is grown directly as a doped polysilicon layer through the addition of gases such as phosphine, arsine, diborane or BF 2 to the CVD process. Finally, the openings 1024 are etched through the gate layer 1022 to form the control gates of the individual memory devices 1026A and 1026B.

[00117] 앞서 말한 특정 실시예들 및 예들의 설명은 예시 및 설명을 목적으로 제시되었으며, 본 발명이 특정한 이전 예들에 의해 설명되고 예시되었지만, 이로 제한되는 것으로 해석되는 것은 아니다. 이들은 배타적인 것으로 또는 본 발명을 개시된 정확한 형태들로 제한하고자 의도되는 것이 아니며, 본 발명의 범주내에서 다수의 변형들, 개선들 및 변동들이 상기 교시와 관련하여 가능하다. 본원에 개시된 것처럼 그리고 본원에 첨부되는 청구항들 및 이들의 등가물들에 의해서 본 발명의 범주가 일반적 영역을 포괄하는 것으로 의도된다. 본 발명의 범주는 청구항들에 의해 정의되며, 이는 본원의 출원시 공지된 등가물들 및 예측불가능 등가물들을 포함한다.
[00117] The foregoing description of specific embodiments and examples has been presented for purposes of illustration and description, and is not to be construed as limiting, though the invention has been described and illustrated by specific prior examples. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and many modifications, improvements and variations within the scope of the invention are possible in connection with the above teachings. The scope of the invention is intended to cover the general scope as claimed herein and by the claims appended hereto and their equivalents. The scope of the present invention is defined by the claims, which include known equivalents and unpredictable equivalents of the present application.

Claims (20)

반도체 디바이스로서,
메모리 트랜지스터를 포함하며,
상기 메모리 트랜지스터는,
기판내에 형성된 소스 영역과 드레인 영역을 전기적으로 연결하는 채널 영역 ―상기 채널 영역은 폴리실리콘을 포함함―;
상기 채널 영역 위에 배치되는 ONNO(oxide-nitride-nitride-oxide) 스택 ―상기 ONNO 스택은, 산소-풍부(oxygen-rich) 제 1 질화물 층 및 상기 제 1 질화물 층 상에 배치된 산소 부족(oxygen-lean) 제 2 질화물 층을 포함하는 다층-전하 트랩핑 영역을 포함함―; 및
상기 ONNO 스택의 표면 위에 형성되는 높은 일함수 게이트 전극
을 포함하는, 반도체 디바이스.
1. A semiconductor device comprising:
A memory transistor,
Wherein the memory transistor comprises:
A channel region for electrically connecting a source region and a drain region formed in the substrate, the channel region including polysilicon;
An oxide-nitride-nitride-oxide (ONNO) stack disposed over the channel region, the ONNO stack comprising an oxygen-rich first nitride layer and an oxygen- lt; RTI ID = 0.0 &gt; a &lt; / RTI &gt; second nitride layer; And
The high work function gate electrode formed on the surface of the ONNO stack
&Lt; / RTI &gt;
제 1 항에 있어서,
상기 채널은 실리콘 나노와이어(nanowire)를 포함하는, 반도체 디바이스.
The method according to claim 1,
Wherein the channel comprises a silicon nanowire.
제 1 항에 있어서,
상기 채널 영역은 재결정화된 폴리실리콘을 포함하는, 반도체 디바이스.
The method according to claim 1,
Wherein the channel region comprises recrystallized polysilicon.
제 3 항에 있어서,
상기 높은 일함수 게이트 전극은 P+ 도핑된 폴리실리콘 층을 포함하는, 반도체 디바이스.
The method of claim 3,
Wherein the high work function gate electrode comprises a P + doped polysilicon layer.
제 4 항에 있어서,
상기 ONNO 스택은 상기 다층 전하-트랩핑 영역 위에 배치되는 차단 유전체 층을 더 포함하며, 상기 차단 유전체 층은, 하이(high) K HTO(High Temperature Oxide)를 포함하는 유전체를 포함하는, 반도체 디바이스.
5. The method of claim 4,
Wherein the ONNO stack further comprises a blocking dielectric layer disposed over the multilayer charge-trapping region, the blocking dielectric layer comprising a dielectric comprising a high K HTO (High Temperature Oxide).
제 1 항에 있어서,
상기 기판상에 MOS(metal oxide semiconductor) 논리 트랜지스터를 더 포함하며, 상기 MOS 논리 트랜지스터는 게이트 산화물 및 높은 일함수 게이트 전극을 포함하는, 반도체 디바이스.
The method according to claim 1,
Further comprising a metal oxide semiconductor (MOS) logic transistor on the substrate, wherein the MOS logic transistor comprises a gate oxide and a high work function gate electrode.
제 6 항에 있어서,
상기 메모리 트랜지스터의 높은 일함수 게이트 전극 및 상기 MOS 논리 트랜지스터의 높은 일함수 게이트 전극 둘 다는, P-타입(PMOS) SONOS(silicon-oxide-nitride-oxide-silicon) 메모리 트랜지스터 및 N-타입(NMOS) 논리 트랜지스터를 형성하도록 N+ 도핑된 폴리실리콘 층을 포함하는, 반도체 디바이스.
The method according to claim 6,
Both the high work function gate electrode of the memory transistor and the high work function gate electrode of the MOS logic transistor have a P-type (PMOS) silicon-oxide-nitride-oxide-silicon (SONOS) And an N + doped polysilicon layer to form a logic transistor.
제 6 항에 있어서,
상기 메모리 트랜지스터의 높은 일함수 게이트 전극 및 상기 MOS 논리 트랜지스터의 높은 일함수 게이트 전극 둘 다는, N-타입(PMOS) SONOS(silicon-oxide-nitride-oxide-silicon) 메모리 트랜지스터 및 P-타입(NMOS) 논리 트랜지스터를 형성하도록 P+ 도핑된 폴리실리콘 층을 포함하는, 반도체 디바이스.
The method according to claim 6,
Both the high work function gate electrode of the memory transistor and the high work function gate electrode of the MOS logic transistor are made of N-type (PMOS) silicon-oxide-nitride-oxide-silicon (SONOS) memory transistors and P- And a P + doped polysilicon layer to form a logic transistor.
제 6 항에 있어서,
상기 메모리 트랜지스터의 높은 일함수 게이트 전극 및 상기 MOS 논리 트랜지스터의 높은 일함수 게이트 전극 둘 다는, 단일의 패터닝되고 도핑된 폴리실리콘 층인, 반도체 디바이스.
The method according to claim 6,
Wherein both the high work function gate electrode of the memory transistor and the high work function gate electrode of the MOS logic transistor are a single patterned and doped polysilicon layer.
제 1 항에 있어서,
상기 다층 전하-트랩핑 영역은, 상기 제 1 질화물 층을 상기 제 2 질화물 층과 분리하는 산화물을 포함하는 안티-터널링 층을 더 포함하는, 반도체 디바이스.
The method according to claim 1,
Wherein the multilayer charge-trapping region further comprises an anti-tunneling layer comprising an oxide separating the first nitride layer from the second nitride layer.
반도체 디바이스로서,
메모리 트랜지스터를 포함하며,
상기 메모리 트랜지스터는,
기판내에 형성된 소스 영역과 드레인 영역을 전기적으로 연결하는 채널 영역 ―상기 채널 영역은 폴리실리콘을 포함함―;
상기 채널 영역 위에 배치되는 ONONO(oxide-nitride-oxide-nitride-oxide) 스택; 및
상기 ONONO 스택의 표면 위에 배치되는 높은 일함수 게이트 전극
을 포함하며,
상기 ONONO 스택은,
상기 채널 영역 위에 배치되는 터널 유전체 층;
상기 터널 유전체 층 위에 배치되는 산소-풍부 제 1 질화물 층, 상기 제 1 질화물 층 위에 배치되는 산소-부족 제 2 질화물층, 및 상기 제 2 질화물 층으로부터 상기 제 1 질화물 층을 분리하는 산화물을 포함하는 안티-터널링 층을 포함하는, 다층 전하-트랩핑 영역; 및
상기 다층 전하-트랩핑 영역 위에 배치되는 차단 유전체 층
을 포함하는, 반도체 디바이스.
1. A semiconductor device comprising:
A memory transistor,
Wherein the memory transistor comprises:
A channel region for electrically connecting a source region and a drain region formed in the substrate, the channel region including polysilicon;
An oxide-nitride-oxide-nitride-oxide (ONONO) stack disposed over the channel region; And
A high work function gate electrode &lt; RTI ID = 0.0 &gt;
/ RTI &gt;
In the ONONO stack,
A tunnel dielectric layer disposed over the channel region;
An oxygen-rich first nitride layer disposed over the tunnel dielectric layer, an oxygen-deficient second nitride layer disposed over the first nitride layer, and an oxide separating the first nitride layer from the second nitride layer A multilayer charge-trapping region, including an anti-tunneling layer; And
A blocking dielectric layer disposed over the multilayer charge-
&Lt; / RTI &gt;
제 11 항에 있어서,
상기 채널 영역은 재결정화된 폴리실리콘을 포함하는, 반도체 디바이스.
12. The method of claim 11,
Wherein the channel region comprises recrystallized polysilicon.
제 12 항에 있어서,
상기 높은 일함수 게이트 전극은 P+ 도핑된 폴리실리콘 층을 포함하는, 반도체 디바이스.
13. The method of claim 12,
Wherein the high work function gate electrode comprises a P + doped polysilicon layer.
제 13 항에 있어서,
상기 차단 유전체 층은 하이(high) K HTO(High Temperature Oxide)를 포함하는 유전체를 포함하는, 반도체 디바이스.
14. The method of claim 13,
Wherein the blocking dielectric layer comprises a dielectric comprising a high temperature oxide (HTO).
제 11 항에 있어서,
상기 채널은 실리콘 나노와이어를 포함하는, 반도체 디바이스.
12. The method of claim 11,
Wherein the channel comprises silicon nanowires.
제 11 항에 있어서,
상기 높은 일함수 게이트 전극은 P+ 도핑된 폴리실리콘 층을 포함하는, 반도체 디바이스.
12. The method of claim 11,
Wherein the high work function gate electrode comprises a P + doped polysilicon layer.
제 11 항에 있어서,
상기 기판 상에 MOS(metal oxide semiconductor) 논리 트랜지스터를 더 포함하며, 상기 MOS 논리 트랜지스터는 게이트 산화물 및 높은 일함수 게이트 전극을 포함하는, 반도체 디바이스.
12. The method of claim 11,
Further comprising a metal oxide semiconductor (MOS) logic transistor on the substrate, wherein the MOS logic transistor comprises a gate oxide and a high work function gate electrode.
반도체 디바이스로서,
메모리 트랜지스터를 포함하며,
상기 메모리 트랜지스터는,
기판상의 표면상에 형성된 제 1 확산 영역으로부터 상기 기판의 표면 위에 형성된 제 2 확산 영역으로 연장하는, 폴리실리콘을 포함하는 수직 채널 ―상기 수직 채널은 상기 제 1 확산 영역을 상기 제 2 확산 영역에 전기적으로 연결함―;
상기 수직 채널 부근에 배치되는 ONNO(oxide-nitride-nitride-oxide) 스택 ―상기 ONNO 스택은,
상기 수직 채널에 인접한(abutting) 터널 유전체 층;
상기 터널 유전체 층에 인접한 산소-풍부 질화물을 포함하는 제 1 질화물 층, 및 상기 제 1 질화물 층 위에 놓인 실리콘-풍부 산소-부족 질화물을 포함하는 제 2 질화물 층을 포함하는, 다층 전하-트랩핑 영역; 및
상기 다층 전하-트랩핑 영역 위에 놓인 차단 유전체 층
을 포함함 ―; 및
상기 ONNO 스택 부근에 배치되며, 상기 차단 유전체 층을 인접하는 높은 일함수 게이트 전극
을 포함하는, 반도체 디바이스.
1. A semiconductor device comprising:
A memory transistor,
Wherein the memory transistor comprises:
A vertical channel comprising polysilicon extending from a first diffusion region formed on a surface on the substrate to a second diffusion region formed on a surface of the substrate, the vertical channel being electrically connected to the first diffusion region - connected;
An oxide-nitride-nitride-oxide (ONNO) stack disposed in the vicinity of the vertical channel,
An abutting tunnel dielectric layer adjacent to the vertical channel;
A first nitride layer comprising an oxygen-rich nitride adjacent the tunnel dielectric layer, and a second nitride layer comprising a silicon-rich oxygen-deficient nitride overlying the first nitride layer, the multilayer charge- ; And
The blocking dielectric layer overlying the multilayer charge-
&Lt; / RTI &gt; And
Disposed adjacent the ONNO stack, wherein the blocking dielectric layer is disposed adjacent the high work function gate electrode
&Lt; / RTI &gt;
제 18 항에 있어서,
상기 기판상에 MOS(metal oxide semiconductor) 논리 트랜지스터를 더 포함하며, 상기 MOS 논리 트랜지스터는 게이트 산화물 및 높은 일함수 게이트 전극을 포함하는, 반도체 디바이스.
19. The method of claim 18,
Further comprising a metal oxide semiconductor (MOS) logic transistor on the substrate, wherein the MOS logic transistor comprises a gate oxide and a high work function gate electrode.
제 18 항에 있어서,
상기 다층 전하-트랩핑 영역은 상기 제 2 질화물 층으로부터 상기 제 1 질화물 층을 분리하는 산화물을 포함하는 안티-터널링 층을 더 포함하는, 반도체 디바이스.
19. The method of claim 18,
Wherein the multilayer charge-trapping region further comprises an anti-tunneling layer comprising an oxide separating the first nitride layer from the second nitride layer.
KR1020147035197A 2012-07-01 2013-07-01 Memory transistor with multiple charge storing layers KR102078611B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/539,466 2012-07-01
US13/539,466 US8633537B2 (en) 2007-05-25 2012-07-01 Memory transistor with multiple charge storing layers and a high work function gate electrode
PCT/US2013/048885 WO2014008166A1 (en) 2012-07-01 2013-07-01 Memory transistor with multiple charge storing layers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207004116A Division KR102215973B1 (en) 2012-07-01 2013-07-01 Memory transistor with multiple charge storing layers

Publications (2)

Publication Number Publication Date
KR20150040806A true KR20150040806A (en) 2015-04-15
KR102078611B1 KR102078611B1 (en) 2020-04-08

Family

ID=49882448

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020147035197A KR102078611B1 (en) 2012-07-01 2013-07-01 Memory transistor with multiple charge storing layers
KR1020207004116A KR102215973B1 (en) 2012-07-01 2013-07-01 Memory transistor with multiple charge storing layers

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020207004116A KR102215973B1 (en) 2012-07-01 2013-07-01 Memory transistor with multiple charge storing layers

Country Status (3)

Country Link
KR (2) KR102078611B1 (en)
CN (2) CN109585453A (en)
WO (1) WO2014008166A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170026101A (en) * 2015-08-31 2017-03-08 사이프레스 세미컨덕터 코포레이션 Memory device with multi-layer channel and charge trapping layer
US10204902B2 (en) 2016-02-26 2019-02-12 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
CN111435658A (en) * 2019-01-14 2020-07-21 联华电子股份有限公司 Method for forming dielectric layer
CN113314523A (en) * 2020-02-26 2021-08-27 台湾积体电路制造股份有限公司 Semiconductor device and method of manufacturing semiconductor device

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9218978B1 (en) * 2015-03-09 2015-12-22 Cypress Semiconductor Corporation Method of ONO stack formation
US10068912B1 (en) 2017-06-05 2018-09-04 Cypress Semiconductor Corporation Method of reducing charge loss in non-volatile memories
CN107863349A (en) * 2017-10-17 2018-03-30 安阳师范学院 Based on HfxSi1‑xO2Charge storage device of multivariant oxide storage material and preparation method thereof
CN109461735B (en) * 2018-10-18 2021-03-26 上海华力微电子有限公司 Process integration method for improving multi-step polysilicon etching damage of split-gate structure flash memory

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030169629A1 (en) * 2000-07-31 2003-09-11 Bernd Goebel Semiconductor memory cell configuration and a method for producing the configuration
US20040136240A1 (en) * 2003-01-14 2004-07-15 Wei Zheng Memory device having high work function gate and method of erasing same
US20080135946A1 (en) * 2006-12-11 2008-06-12 Innolux Display Corp. Read only memory cell having multi-layer structure for storing charges and manufacturing method thereof
WO2008129478A1 (en) * 2007-04-19 2008-10-30 Nxp B.V. Nonvolatile memory cell comprising a nanowire and manufacturing method thereof
US20090179253A1 (en) * 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6566682B2 (en) * 2001-02-09 2003-05-20 Micron Technology, Inc. Programmable memory address and decode circuits with ultra thin vertical body transistors
DE10130766B4 (en) * 2001-06-26 2005-08-11 Infineon Technologies Ag Vertical transistor, memory arrangement and method for producing a vertical transistor
US7060594B2 (en) * 2004-10-19 2006-06-13 Macronix International Co., Ltd. Memory device and method of manufacturing including deuterated oxynitride charge trapping structure
US7473589B2 (en) * 2005-12-09 2009-01-06 Macronix International Co., Ltd. Stacked thin film transistor, non-volatile memory devices and methods for fabricating the same
US7670963B2 (en) * 2007-05-25 2010-03-02 Cypress Semiconductor Corportion Single-wafer process for fabricating a nonvolatile charge trap memory device
US8643124B2 (en) * 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8093128B2 (en) * 2007-05-25 2012-01-10 Cypress Semiconductor Corporation Integration of non-volatile charge trap memory devices and logic CMOS devices
US7737488B2 (en) * 2007-08-09 2010-06-15 Macronix International Co., Ltd. Blocking dielectric engineered charge trapping memory cell with high speed erase
TW200913162A (en) * 2007-09-11 2009-03-16 Univ Nat Chiao Tung Nonvolatile memory device with nanowire channel and a method for fabricating the same
US8071453B1 (en) * 2009-04-24 2011-12-06 Cypress Semiconductor Corporation Method of ONO integration into MOS flow

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030169629A1 (en) * 2000-07-31 2003-09-11 Bernd Goebel Semiconductor memory cell configuration and a method for producing the configuration
US20040136240A1 (en) * 2003-01-14 2004-07-15 Wei Zheng Memory device having high work function gate and method of erasing same
US20080135946A1 (en) * 2006-12-11 2008-06-12 Innolux Display Corp. Read only memory cell having multi-layer structure for storing charges and manufacturing method thereof
WO2008129478A1 (en) * 2007-04-19 2008-10-30 Nxp B.V. Nonvolatile memory cell comprising a nanowire and manufacturing method thereof
US20090179253A1 (en) * 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170026101A (en) * 2015-08-31 2017-03-08 사이프레스 세미컨덕터 코포레이션 Memory device with multi-layer channel and charge trapping layer
US10204902B2 (en) 2016-02-26 2019-02-12 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US10879237B2 (en) 2016-02-26 2020-12-29 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US11710736B2 (en) 2016-02-26 2023-07-25 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
CN111435658A (en) * 2019-01-14 2020-07-21 联华电子股份有限公司 Method for forming dielectric layer
CN111435658B (en) * 2019-01-14 2023-05-23 联华电子股份有限公司 Method for forming memory stacking structure
CN113314523A (en) * 2020-02-26 2021-08-27 台湾积体电路制造股份有限公司 Semiconductor device and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
CN109585453A (en) 2019-04-05
KR102078611B1 (en) 2020-04-08
KR20200018733A (en) 2020-02-19
CN104769724B (en) 2018-09-18
CN104769724A (en) 2015-07-08
WO2014008166A1 (en) 2014-01-09
KR102215973B1 (en) 2021-02-16

Similar Documents

Publication Publication Date Title
US12009401B2 (en) Memory transistor with multiple charge storing layers and a high work function gate electrode
US12048162B2 (en) Method of ono integration into logic CMOS flow
US9306025B2 (en) Memory transistor with multiple charge storing layers and a high work function gate electrode
TWI696246B (en) Method of controlling a blocking oxide thickness of a sonos transistor, method of manufacturing a semiconductor device, semiconductor device and memory apparatus
KR102078611B1 (en) Memory transistor with multiple charge storing layers
TW201924028A (en) Embedded SONOS with a high-K metal gate and manufacturing methods of the same
JP6328607B2 (en) Method of integrating ONO into logic CMOS flow
US9018693B2 (en) Deuterated film encapsulation of nonvolatile charge trap memory device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant