KR20130030227A - Patterning process and resist composition - Google Patents

Patterning process and resist composition Download PDF

Info

Publication number
KR20130030227A
KR20130030227A KR1020120102114A KR20120102114A KR20130030227A KR 20130030227 A KR20130030227 A KR 20130030227A KR 1020120102114 A KR1020120102114 A KR 1020120102114A KR 20120102114 A KR20120102114 A KR 20120102114A KR 20130030227 A KR20130030227 A KR 20130030227A
Authority
KR
South Korea
Prior art keywords
group
acid
methyl
pattern
acetate
Prior art date
Application number
KR1020120102114A
Other languages
Korean (ko)
Other versions
KR101622000B1 (en
Inventor
쥰 하타케야마
가즈히로 가타야마
고지 하세가와
Original Assignee
신에쓰 가가꾸 고교 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 신에쓰 가가꾸 고교 가부시끼가이샤 filed Critical 신에쓰 가가꾸 고교 가부시끼가이샤
Publication of KR20130030227A publication Critical patent/KR20130030227A/en
Application granted granted Critical
Publication of KR101622000B1 publication Critical patent/KR101622000B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0395Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having a backbone with alicyclic moieties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers

Abstract

PURPOSE: A method for forming a pattern and a resist composition are provided to form a fine hole pattern with high sensitivity by an exposure process using a photoresist layer with a high solution contrast. CONSTITUTION: A resist composition with a polymeric compound, an acid generator, and an organic solvent is coated on a substrate(10). The polymeric compound includes a vinyl alcohol substituted by an acid-fluorine stabilizer, maleic anhydride, and/or maleimide as a repetitive unit. A resist film(40) is exposed with high energy beams after a heating process. A negative pattern with an exposure part which is not dissolved is formed by dissolving a non-exposure part with a developer by organic solvents after the heating process. [Reference numerals] (A) Photoresist coating; (B) Photoresist exposure; (C) Organic solvent development

Description

Pattern Forming Method and Resist Composition {PATTERNING PROCESS AND RESIST COMPOSITION}

The present invention is a pattern forming method for performing a deprotection reaction with an acid and heat after exposure to form a negative tone in which an unexposed portion is dissolved and an exposed portion is not dissolved by development with a specific organic solvent, and this is realized. A resist composition for following.

In recent years, with the higher integration and higher speed of LSI, finer pattern rules have been required, and light exposure, which is currently used as a general-purpose technology, is approaching the limit of the intrinsic resolution derived from the wavelength of the light source. As exposure light used when forming a resist pattern, the light exposure which used g line (436 nm) or i line (365 nm) of a mercury lamp as a light source was widely used in the 1980s. As a means for further miniaturization, a method of shortening the exposure wavelength becomes effective, and in the mass production process after DRAM (Dynamic Random Access Memory) DRAM of 64 M bits in the 1990s (process dimension of 0.25 m or less) a short wavelength KrF excimer laser (248 nm) was used instead of the i-line (365 nm). However, in the manufacture of DRAMs with an integrated density of 256 M and 1 G or more, which require finer processing techniques (working dimensions of 0.2 µm or less), a shorter wavelength light source is required, and ArF excimer laser (193 nm) has been around for about 10 years. Port lithography using has been studied in earnest. Originally, ArF lithography would be applied from device fabrication of 180 nm node, but KrF lithography was extended to mass production of 130 nm node device, and full application of ArF lithography was from 90 nm node. In addition, a 65 nm node device was produced in combination with a lens with an NA raised to 0.9. The following 45 nm node devices has been promoted a shorter wavelength of the exposure light painter, having a wavelength of 157 nm F 2 lithography has reached the candidate. However, the cost of the scanner due to the large amount of expensive CaF 2 single crystals used in the projection lens, the optical system changes due to the introduction of hard pellicle due to the very low durability of the soft pellicle, and the etching resistance of the resist film are reduced. As a result, development of F 2 lithography was stopped, and ArF immersion lithography was introduced.

In ArF immersion lithography, water having a refractive index of 1.44 is inserted between the projection lens and the wafer by a partial fill method, thereby enabling high-speed scan, and a 45 nm node device by a NA 1.3 class lens. The mass production of this is done.

As a lithography technique of 32 nm nodes, vacuum ultraviolet light (EUV) lithography with a wavelength of 13.5 nm is a candidate. The problems of EUV lithography include high laser power, high sensitivity of resist film, high resolution, low edge roughness (LER, LWR), flawless MoSi stacked mask, low aberration of reflective mirror, etc. There is a problem to do.

High refractive index immersion lithography, also one candidate of the 32 nm node, was stopped due to the low transmittance of the high refractive index lens candidate LUAG and that the refractive index of the liquid did not reach the target of 1.8.

Attention here is a double patterning process in which a pattern is formed by the first exposure and development, and a pattern is formed exactly between the first pattern by the second exposure. Many processes have been proposed for the double patterning method. For example, a photoresist pattern having a line and space of 1: 3 interval is formed by the first exposure and development, and a lower hard mask is processed by dry etching, and a first layer of hard mask is further laid thereon to space for the first exposure. A line pattern is formed in the part by exposure and development of a photoresist film, and a hard mask is processed by dry etching, and the line and space pattern of the half of the pitch of an initial pattern is formed. The first exposure and development form a photoresist pattern in which the space and the line are separated by 1: 3, the lower hard mask is processed by dry etching, and the photoresist film is applied thereon, where the hard mask remains. The second space pattern is exposed to light, and the hard mask is processed by dry etching. In either case, the hard mask is processed by two dry etchings.

Compared with the line pattern, the hole pattern is difficult to refine. In order to form a fine hole by the conventional method, when it is going to form under-exposure by combining a hole pattern mask in a positive resist film, an exposure margin will become very narrow. Therefore, a method of forming a large sized hole and shrinking the hole after development by a thermal flow or RELACS method has been proposed. However, there is a problem that the difference between the pattern size after development and the size after shrinkage is large, and the larger the shrinkage amount, the lower the control accuracy. In addition, although the hole size can be reduced in the odd shrinkage method, the pitch cannot be narrowed.

A positive resist film is used to form a line pattern in the X direction by dipole illumination, to cure the resist pattern, to apply the resist composition thereon once more, and to expose the line pattern in the Y direction by dipole illumination, to form a lattice pattern. A method of forming a hole pattern from a gap of a line pattern (Non Patent Literature 1: Proc. SPIE Vol. 5377, p. 255 (2004)) has been proposed. Although the hole pattern can be formed with a wide margin by combining the X and Y lines by high contrast dipole illumination, it is difficult to etch the line pattern combined up and down with high dimensional accuracy. A method of forming a hole pattern by exposing a negative resist film by combining a Levenson type phase shift mask in the X direction line and a Levenson type phase shift mask in the Y direction line has been proposed (Non-Patent Document 2: IEEE IEDM Tech. Digest 61). (1996)). However, the crosslinking negative resist film has a drawback that the resolution is lower than that of the positive resist film because the marginal resolution of the ultrafine holes is determined by the bridge margin.

Since the hole pattern formed by combining exposure of the X direction line and the Y direction line twice and making this a negative pattern by image reversal can be formed by using the light of a high contrast line pattern, It is a narrower pitch than the method and can open fine holes.

In Non-Patent Document 3 (Proc. SPIE Vol. 7274, p.72740N (2009)), preparation of a hole pattern by image inversion by the following three methods is reported.

That is, a method of producing a dot pattern by double exposure of double dipoles of X and Y lines of a positive resist composition, forming a SiO 2 film by LPCVD thereon, and inverting the dots into holes by O 2 -RIE, heating Is a method of forming a hole pattern by forming a dot pattern in the same manner using a resist composition having a property of being alkali-soluble and insoluble in a solvent and applying a phenolic overcoat film thereon to invert the image by alkali development to form a hole pattern. It is a method of forming a hole by double dipole exposure and image reversal by the organic solvent development using a resist composition.

Here, the preparation of the negative pattern by the organic solvent development is a method used in the past. The cyclic rubber-based resist composition used alkene, such as xylene, as a developer, and the negative chemical pattern of the initial chemically amplified resist composition of poly-tert-butoxycarbonyloxystyrene base was obtained using anisole as a developer.

In recent years, the organic solvent phenomenon has come into the limelight again. In order to resolve a very fine hole pattern that can not be achieved in a positive tone by exposure to a negative tone, a negative pattern is formed by organic solvent development using a high resolution positive resist composition. Moreover, the examination which acquires double the resolution power by combining two developments of alkali image development and organic solvent development is also progressing.

As an ArF resist composition for negative tone development by an organic solvent, a conventional type of positive ArF resist composition can be used, and patent documents 1-3 (Japanese Patent Laid-Open No. 2008-281974, Japanese Patent Laid-Open No. 2008- Japanese Patent No. 281975 and Japanese Patent No. 4452665 disclose a pattern forming method.

In these applications, methion in which hydroxyadamantane methacrylate is copolymerized, norbornanelactone methacrylate is copolymerized, or acidic groups such as carboxyl groups, sulfo groups, phenol groups, and thiol groups are substituted with two or more acid labile groups. There is proposed a resist composition for developing an organic solvent copolymerized with acrylate and a pattern forming method using the same.

In the organic solvent development process, it is disclosed by patent document 4 (Japanese Patent No. 4590431) as a pattern formation method which applies a protective film on a resist film.

In an organic solvent developing process, as a resist composition, the additive forming which orients on the surface of the resist film after spin-coating, and improves water repellency, and uses the top coat as a pattern formation method which does not use a patent document 5 (Japanese Patent Laid-Open No. 2008-) 309879).

The above application is to obtain a negative pattern by an organic solvent using a resist composition of a conventional type, but the contrast in the organic solvent development is not very high, it is necessary to develop a resist composition dedicated to the organic solvent in order to improve the contrast.

Patent Document 1: Japanese Patent Application Laid-Open No. 2008-281974 Patent Document 2: Japanese Patent Application Laid-Open No. 2008-281975 Patent Document 3: Japanese Patent No. 4454665 Patent Document 4: Japanese Patent No. 4590431 Patent Document 5: Japanese Unexamined Patent Publication No. 2008-309879

Non-Patent Document 1: Proc. SPIE Vol. 5377, p.255 (2OO4) [Non-Patent Document 2] IEEE IEDM Tech. Digest 61 (1996) Non-Patent Document 3: Proc. SPIE Vol. 7274, p. 7724N (2009)

The acidic carboxyl group etc. are produced | generated by deprotection reaction, and the melt | dissolution contrast of organic solvent development is low compared with the positive type resist system which melt | dissolves in alkaline developing solution. In the case of the alkaline developer, the ratio of the alkali dissolution rate of the unexposed portion and the exposed portion differs by 1,000 times or more, but in organic solvent development, there is only a difference of about 10 times. In the case of the alkaline water development, the dissolution rate is improved by the neutralization reaction with the carboxyl group, but in the case of the organic solvent development, the dissolution rate is low because it does not involve the reaction and is only dissolved by solvation. In addition to improving the dissolution rate of the unexposed portion, it is also necessary to lower the dissolution rate in the exposure area of the portion where the film remains. If the dissolution rate of the exposed portion is large, the remaining film thickness becomes small, and the undertreatment by etching the pattern after development becomes impossible. In addition, it is important to increase the degree of change γ with respect to the exposure amount that becomes insoluble from dissolution. If gamma is low, it becomes easy to become a reverse taper shape, and pattern collapse occurs in a line pattern, and it is unpreferable. In order to obtain a vertical pattern, dissolution contrast of γ as high as possible is required.

Although the above-mentioned patent documents 1-3 describe the photoresist composition of the conventional aqueous alkali solution development type, the dissolution contrast in these organic solvent image developments is low. There is a demand for developing a new material for increasing the dissolution rate difference between the exposed portion and the unexposed portion and for increasing the contrast (γ) of dissolution.

In the case where a hole is to be formed by a negative phenomenon, light is emitted from the outside of the hole, and acid is excessively generated. When the acid diffuses into the hole, the hole is not opened, so the control of acid diffusion is also important.

This invention is made | formed in view of the said situation, The pattern formation which can form the hole pattern by positive negative inversion by the image development by the negative composition formation resist composition and organic solvent which have a large melt contrast in the organic solvent image development, and is highly sensitive. The purpose is to provide a method.

MEANS TO SOLVE THE PROBLEM As a result of earnestly examining in order to achieve the said objective, the present inventors used the high molecular compound containing the vinyl alcohol substituted by the acid labile group, maleic anhydride, and / or maleimide as a repeating unit, and melt | dissolves in the organic-solvent phenomenon. It was found that the contrast was improved and the sensitivity of the hole pattern obtained by the positive negative inversion, the dimensional uniformity and the shape of the pattern were improved.

Therefore, this invention provides the following pattern formation method and resist composition.

[1] A resist composition containing a vinyl alcohol substituted with an acid labile group, maleic anhydride and / or maleimide as a repeating unit, an acid generator, and an organic solvent is applied onto a substrate, followed by heat treatment. The pattern formation method characterized by exposing a resist film with a high energy ray, dissolving an unexposed part using the developing solution by the organic solvent after heat processing, and obtaining the negative pattern in which an exposed part is not melt | dissolved.

[2] The pattern forming method according to [1], wherein the repeating unit of vinyl alcohol substituted with an acid labile group and maleic anhydride and / or maleimide is represented by the following general formula (1).

Figure pat00001

(Wherein, R 1 is acid labile groups, X is an oxygen atom or NR 2. R 2 represents a hydrogen atom, a hydroxy group, or a straight-chain, branched, or an alkyl group of a cyclic group having 1 to 6 carbon atoms, the alkyl group is a hydroxy group, It may have 1 or 2 or more groups selected from an ether group, an ester group, a carbonyl group, and an acid labile group: 0 <a1 <1.0, 0 <a2 <1.0, 0 <a1 + a2≤1.0.)

[3] A resist composition comprising a vinyl alcohol substituted with an acid labile group represented by the formula (1), maleic anhydride and / or maleimide as a repeating unit, an acid generator, and an organic solvent It is applied to a protective film after the heat treatment, the resist film is exposed with a high energy ray, and after the heat treatment, the protective film and the unexposed part are dissolved by using a developer using an organic solvent to form a negative pattern in which the exposed part is not dissolved. Obtaining the pattern formation method as described in [2] characterized by the above-mentioned.

[4] The acid generator is an acid generator in which a sulfonic acid, imide acid or methic acid in which the α position is substituted with fluorine is generated, and a carboxylic acid in which the α position is not substituted with fluorine or a carboxylic acid unsubstituted or substituted with fluorine. Both of sulfonates are contained, The pattern formation method in any one of [1]-[3] characterized by the above-mentioned.

[5] The developer is 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone , Acetophenone, methyl acetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, valeric acid Methyl, methyl pentenate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, isoamyl lactate Methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenyl acetate, benzyl formate, phenyl ethyl formate, methyl 3-phenylpropionate, benzyl propionate, It is 1 or more types chosen from phenyl ethyl acetate and 2-phenylethyl acetate, The pattern formation method in any one of [1]-[4] characterized by the above-mentioned.

[6] The pattern formation according to any one of [1] to [5], wherein the exposure with the high energy ray is immersion lithography with an ArF excimer laser having a wavelength of 193 nm, EUV lithography with a wavelength of 13.5 nm, or an electron beam. Way.

[7] An immersion lithography using an ArF excimer laser having a wavelength of 193 nm, wherein a hole pattern after development is formed in a dot portion by using a halftone phase shift mask in which a shifter pattern of dots is arranged [6] The pattern formation method of description.

[8] The exposure of any one of [1] to [6], wherein two exposures of two intersecting lines are performed using a halftone phase shift mask to form a hole pattern after development at the intersection of the lines. The pattern formation method described.

[9] The pattern formation method according to any one of [1] to [6], wherein a hole pattern after development is formed at an intersection point of the grating shifter grating using a halftone phase shift mask.

[10] The pattern forming method according to any one of [1] to [9], wherein any repeating unit of the sulfonium salts (e1) to (e3) represented by the following chemical formula is described instead of including an acid generator. A pattern forming method characterized by using a polymer compound copolymerized with a polymer compound.

Figure pat00002

(In the formula, R 20, R 24, R 28 is a hydrogen atom or a methyl group, R 21 represents a single bond, phenylene group, -OR 33 -, or -C (= O) -YR 33 - . Y is an oxygen atom or NH R <33> is a C1-C6 linear, branched or cyclic alkylene group, alkenylene group, or phenylene group, and carbonyl group (-CO-), ester group (-COO-), ether group (-O-) R 22 , R 23 , R 25 , R 26 , R 27 , R 29 , R 30 , and R 31 may be the same or different linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms. And may contain a carbonyl group, an ester group or an ether group, or represent an aryl group having 6 to 12 carbon atoms, an aralkyl group or a thiophenyl group having 7 to 20 carbon atoms, Z 0 represents a single bond, a methylene group, an ethylene group, a phenylene group , A fluorinated phenylene group, -OR 32 -or -C (= O) -Z 1 -R 32 -Z 1 is an oxygen atom or NH, R 32 is a linear, branched or cyclic having 1 to 6 carbon atoms egg Group, an alkenylene group or a phenylene group, a carbonyl group may contain an ester group, an ether group or a hydroxyl group M -.. Represents a non-nucleophilic counter ion 0≤e1≤0.3, 0≤e2≤0.3, 0≤e3 ≤ 0.3, 0 <e1 + e2 + e3 ≤ 0.3.)

[11] 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone , Methyl acetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valerate, pen Methyl tennate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, isoamyl lactate, 2- Methyl hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenyl acetate, benzyl formate, phenyl ethyl formate, methyl 3-phenylpropionate, benzyl propionate, phenylacetate It is soluble in a developer selected from ethyl acetate and 2-phenylethyl acetate, and has a repeating unit of a1, a2 of vinyl alcohol substituted with an acid labile group represented by the following formula (1), maleic anhydride and / or maleimide A resist composition for forming a negative pattern, comprising a high molecular compound, an acid generator, and an organic solvent.

Figure pat00003

(Wherein, R 1 is acid labile groups, X is an oxygen atom or NR 2. R 2 represents a hydrogen atom, a hydroxy group, or a straight-chain, branched, or an alkyl group of a cyclic group having 1 to 6 carbon atoms, the alkyl group is a hydroxy group, It may have 1 or 2 or more groups selected from an ether group, an ester group, a carbonyl group, and an acid labile group: 0 <a1 <1.0, 0 <a2 <1.0, 0 <a1 + a2≤1.0.)

[12] In the resist composition according to [11], instead of containing an acid generator, a polymer compound obtained by copolymerizing any repeating unit of sulfonium salts (e1) to (e3) represented by the following formula with the polymer compound The resist composition for negative pattern formation characterized by using.

Figure pat00004

(In the formula, R 20, R 24, R 28 is a hydrogen atom or a methyl group, R 21 represents a single bond, phenylene group, -OR 33 -, or -C (= O) -YR 33 - . Y is an oxygen atom or NH R <33> is a C1-C6 linear, branched or cyclic alkylene group, alkenylene group, or phenylene group, and carbonyl group (-CO-), ester group (-COO-), ether group (-O-) R 22 , R 23 , R 25 , R 26 , R 27 , R 29 , R 30 , and R 31 may be the same or different linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms. And may contain a carbonyl group, an ester group or an ether group, or represent an aryl group having 6 to 12 carbon atoms, an aralkyl group or a thiophenyl group having 7 to 20 carbon atoms, Z 0 represents a single bond, a methylene group, an ethylene group, a phenylene group , A fluorinated phenylene group, -OR 32 -or -C (= O) -Z 1 -R 32 -Z 1 is an oxygen atom or NH, R 32 is a linear, branched or cyclic having 1 to 6 carbon atoms egg Group, an alkenylene group or a phenylene group, a carbonyl group may contain an ester group, an ether group or a hydroxyl group M -.. Represents a non-nucleophilic counter ion 0≤e1≤0.3, 0≤e2≤0.3, 0≤e3 ≤ 0.3, 0 <e1 + e2 + e3 ≤ 0.3.)

[13] The acid generator is an acid generator that generates sulfonic acid, imide acid, or methic acid in which the α position is substituted with fluorine, and sulfonic acid or carboxylic acid unsubstituted or substituted with fluorine in the α position. The resist composition for negative pattern formation as described in [11] or [12] characterized by containing both of sulfonates.

A photoresist film containing a high molecular compound having a vinyl alcohol substituted with an acid labile group, maleic anhydride and / or maleimide as a repeating unit, and an acid generator is used for image formation of a positive negative inversion in development with an organic solvent. The solubility of an unexposed part is high, the solubility of an exposed part is low, and it has a characteristic with high melt contrast. By exposing using this photoresist film and performing an organic solvent development, it becomes possible to form a fine hole pattern with high sensitivity with favorable dimension control.

BRIEF DESCRIPTION OF THE DRAWINGS The patterning method which concerns on this invention is demonstrated, (A) is sectional drawing of the state which formed the photoresist film on the board | substrate, (B) is sectional drawing of the state exposed to the photoresist film, (C) is the organic solvent It is sectional drawing of the state which developed.
FIG. 2 shows an optical image of an NA 1.3 lens using an ArF excimer laser with a wavelength of 193 nm, a dipole illumination, a 6% halftone phase shift mask, a pitch of 90 nm in s-polarized light and an X-direction line with a line size of 45 nm.
3 shows an optical image of the same Y-direction line.
4 illustrates a contrast image in which optical images of the Y-direction line of FIG. 3 and the X-direction line of FIG. 2 overlap.
5 shows a mask in which a lattice pattern is arranged.
6 is an optical image of a lattice line pattern with a pitch of 90 nm and a width of 30 nm in NA 1.3 lens, crosspole illumination, 6% halftone phase shift mask, azimuth polarized illumination.
7 is a mask in which a NA 1.3 lens, a crosspole illumination, a 6% halftone phase shift mask, a square dot pattern having a pitch of 90 nm and a width of 55 nm of one side in an azimuth polarized light illumination are arranged.
8 is an optical image contrast in a copper mask.
Fig. 9 shows a mask in which a thick cross line of a cross is arranged on a portion where a dot is to be formed on a lattice pattern of pitch 90 nm and 20 nm lines.
10 shows a contrast image of the optical image in the mask of FIG. 9.
Fig. 11 shows a mask in which thick dots are arranged on portions where a dot is to be formed on a lattice pattern of pitch 90 nm and 15 nm lines.
12 shows a contrast image of the optical image in the mask of FIG. 11.
13 shows a mask in which the lattice pattern is not arranged.
14 shows a contrast image of the optical image in the mask of FIG. 13.
15 is a graph showing the relationship between the exposure amount and the film thickness in Example 1-1.
16 is a graph showing the relationship between the exposure dose and the film thickness in Comparative Example 1-1.
17 shows a lattice mask used in ArF exposure patterning evaluation (2).
Fig. 18 shows the aperture shape of the exposure machine of dipole illumination to improve the contrast of the line in the X direction.
Fig. 19 shows the aperture shape of the exposure machine of dipole illumination to improve the contrast of the line in the Y direction.
Fig. 20 shows the aperture shape of the exposure machine of cross-pole illumination to improve the contrast of both lines in the X and Y directions.

The present invention is, as described above, by applying a resist composition based on a vinyl alcohol in which a hydrogen atom of a hydroxyl group is substituted with an acid labile group, a polymeric compound having maleic anhydride and / or maleimide as a repeating unit, and unnecessary by prebaking. The present invention provides a pattern forming method and a resist composition using positive negative inversion in which a solvent is removed to form a resist film, and high energy rays are exposed, heated after exposure, and developed with an organic solvent developer to obtain a negative pattern.

In general, the hydroxyl group is more hydrophilic than the carboxyl group, and the solubility in an organic solvent is low. In the stripping reaction by acid, the polymer having a hydroxyl group is less soluble in the organic solvent after deprotection than the polymer having a carboxyl group, so that the residual film of the pattern increases. In this case, since it does not melt | dissolve in a developing solution by slight deprotection, it becomes more sensitive than the case where a carboxyl group is substituted by an acid labile group, and the inclination ((gamma)) of melt | dissolution becomes high. Thereby, the perpendicularity of the pattern after image development improves, and the margin of a focus and exposure amount expands. The copolymer of vinyl alcohol with maleic anhydride or maleimide has very high hydrophilicity, but since the solubility in alkali is low, a positive pattern cannot be obtained by alkali development. Therefore, although it has rarely been examined until now, the inventors have found that it is an excellent polarity converter in the development of the organic solvent.

As a high molecular compound containing the repeating unit containing vinyl alcohol substituted by an acid labile group, maleic anhydride, and maleimide, it can represent with repeating units a1 and a2 of following General formula (1).

Figure pat00005

(Wherein, R 1 is acid labile groups, X is an oxygen atom or NR 2. R 2 represents a hydrogen atom, a hydroxy group, or a straight-chain, branched, or an alkyl group of a cyclic group having 1 to 6 carbon atoms, the alkyl group is a hydroxy group, It may have 1 or 2 or more groups selected from an ether group, an ester group, a carbonyl group, and an acid labile group: 0 <a1 <1.0, 0 <a2 <1.0, 0 <a1 + a2≤1.0.)

Monomers for obtaining repeating unit a1 of general formula (1) are vinyl ethers which become vinyl alcohol substituted by the acid labile group. The monomer for obtaining repeating unit a2 is maleic anhydride, or the maleimide illustrated below.

Figure pat00006

Figure pat00007

As the base resin of the resist composition used in the pattern forming method of the present invention, it is essential to use a polymer compound obtained by copolymerizing a repeating unit a1 of vinyl alcohol substituted with an acid labile group and a repeating unit a2 of maleic anhydride and / or maleimide. It is also possible to copolymerize repeating units b other than vinyl alcohol in which a hydroxy group is substituted with an acid labile group. Monomers other than the vinyl alcohol which substituted the hydroxyl group by the acid labile can be illustrated below. In addition, in the following example, R <3> is a hydrogen atom or a methyl group, and R <4> is an acid labile group.

Figure pat00008

Figure pat00009

Figure pat00010

Figure pat00011

Figure pat00012

Figure pat00013

Figure pat00014

Figure pat00015

Figure pat00016

Figure pat00017

Figure pat00018

Moreover, in addition to the repeating units a1, a2, and b, the repeating unit c by which the carboxyl group shown below was substituted by the acid labile group can also be copolymerized.

Figure pat00019

(Wherein R 5 is a hydrogen atom or a methyl group, R 6 is an acid labile group, Z is a single bond, a phenylene group, a naphthylene group or -C (= O) -OR 7- , and R 7 is a C1-C10 It may be a linear, branched or cyclic alkylene group, and may have any of an ether group, an ester group, a lactone ring, and a hydroxyl group, or R <7> is a phenylene group or a naphthylene group.)

Here, the monomer Mc for obtaining the repeating unit c is represented by the following formula.

Figure pat00020

(Wherein R 5 , R 6 and Z are the same as described above).

The structure which changed Z of the repeating monomer Mc can be illustrated specifically, below.

Figure pat00021

The acid labile groups represented by R 1 , and R 2 , R 4 , and R 6 in the formula (1) may be selected in various ways, and may be the same as or different from each other, in particular, a group represented by the following formula (AL-10), Acetal group represented by a formula (AL-11), the tertiary alkyl group represented by following formula (AL-12), a C4-C20 oxoalkyl group, etc. are mentioned.

Figure pat00022

In formulas (AL-10) and (AL-11), R 51 and R 54 are monovalent hydrocarbon groups such as C 1-40, in particular 1-20 straight-chain, branched or cyclic alkyl groups, oxygen, Heteroatoms, such as sulfur, nitrogen, and fluorine, may be included. R 52 and R 53 are hydrogen atoms or monovalent hydrocarbon groups such as linear, branched or cyclic alkyl groups having 1 to 20 carbon atoms, and may include heteroatoms such as oxygen, sulfur, nitrogen, and fluorine, and a5 is It is an integer of 0-10, especially 1-5. R 52 and R 53 , R 52 and R 54 , or R 53 and R 54 are each bonded to a ring of 3 to 20, preferably 4 to 16, in particular together with the carbon atom or carbon atom and oxygen atom to which they are attached, in particular You may form an alicyclic ring.

R 55 , R 56 , and R 57 may each be a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and may include heteroatoms such as oxygen, sulfur, nitrogen, and fluorine. Alternatively, R 55 and R 56 , R 55 and R 57 , or R 56 and R 57 may be bonded to each other to form a ring having 3 to 20, preferably 4 to 16, especially an alicyclic ring together with the carbon atom to which they are bonded. .

Specific examples of the acid labile group represented by formula (AL-10) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group and 1-ethoxy A substituent represented by the following formulas (AL-10) -1 to (AL-10) -10, such as a oxycarbonylmethyl group, 2-tetrahydropyranyloxycarbonylmethyl group, and 2-tetrahydrofuranyloxycarbonylmethyl group Can be mentioned.

Figure pat00023

In formula (AL-10) -1-(AL-10) -10, R <58> is the same or different C1-C8 linear, branched or cyclic alkyl group, C6-C20 aryl group, or carbon number Aralkyl group of 7-20 is represented. R 59 represents a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. R 60 represents an aryl group having 6 to 20 carbon atoms or an aralkyl group having 7 to 20 carbon atoms. a5 is as above.

The acetal group represented by said formula (AL-11) is illustrated to following formula (AL-11) -1-(AL-11) -112.

Figure pat00024

Figure pat00025

Figure pat00026

Figure pat00027

Figure pat00028

Figure pat00029

Moreover, as an acid labile group, group represented by following formula (AL-11a) or (AL-11b) is mentioned, Base resin may be intermolecular or intramolecular crosslinking by the said acid labile group.

Figure pat00030

In said formula, R <61> , R <62> represents a hydrogen atom or a C1-C8 linear, branched, or cyclic alkyl group. Alternatively, R 61 and R 62 may be bonded to each other to form a ring together with the carbon atom to which they are bonded. When forming a ring, R 61 and R 62 may be a linear or branched alkylene group having 1 to 8 carbon atoms. Indicates. R <63> is a C1-C10 linear, branched or cyclic alkylene group, b5, d5 is an integer of 0 or 1-10, Preferably it is an integer of 0 or 1-5, c5 is an integer of 1-7. . A represents a (c5 + 1) valence aliphatic or alicyclic saturated hydrocarbon group, aromatic hydrocarbon group or heterocyclic group having 1 to 50 carbon atoms, and these groups may be interposed with heteroatoms such as oxygen, sulfur and nitrogen, or the carbon Some of the hydrogen atoms bonded to the atoms may be substituted with hydroxyl groups, carboxyl groups, carbonyl groups or fluorine atoms. B represents -CO-O-, -NHCO-O- or -NHCONH-.

In this case, Preferably A is a C2-C20 linear, branched or cyclic alkylene group, an alkanetriyl group, an alkanetriyl group, or a C6-C30 arylene group, and these groups are oxygen, A hetero atom such as sulfur or nitrogen may be interposed, and a part of the hydrogen atoms bonded to the carbon atom may be substituted with a hydroxyl group, a carboxyl group, an acyl group or a halogen atom. In addition, c5 becomes like this. Preferably it is an integer of 1-3.

Specific examples of the crosslinked acetal group represented by the formulas (AL-11a) and (AL-11b) include those shown in the following formulas (AL-11) -113 to (AL-11) -120.

Figure pat00031

Next, as a tertiary alkyl group represented by said Formula (AL-12), a tert- butyl group, a triethyl carbyl group, 1-ethyl norbornyl group, 1-methylcyclohexyl group, 1-ethylcyclopentyl group, The tert-amyl group etc. or group represented by following formula (AL-12) -1-(AL-12) -16 can be mentioned.

Figure pat00032

In said formula, R <64> represents the same or different C1-C8 linear, branched or cyclic alkyl group, a C6-C20 aryl group, or a C7-C20 aralkyl group, and R <64> couples, You may form a C3-C20, Preferably 4-16 ring, especially an alicyclic ring with the carbon atom which these couple | bonds. R 65 and R 67 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. R 66 represents an aryl group having 6 to 20 carbon atoms or an aralkyl group having 7 to 20 carbon atoms.

Further, as the acid labile groups, the following formula (AL-12) include groups represented by -17, and a bivalent or higher alkylene group or an arylene group R 68 wherein the acid labile groups in the base resin cross-linking between molecules or within a molecule, including You may be. R 64 in formula (AL-12) -17 is the same as described above, R 68 represents a single bond, a linear, branched or cyclic alkylene group or an arylene group having 1 to 20 carbon atoms, and an oxygen atom or a sulfur atom And hetero atoms, such as a nitrogen atom, may be included. b6 is an integer of 0-3. Formula (AL-12) -17 is an acid labile group R 1 , R 2 , R 4 , R 6 Applies to all.

Figure pat00033

In addition, the above-mentioned R <64> , R <65> , R <66> , R <67> may have heteroatoms, such as oxygen, nitrogen, and sulfur, and is specifically, following formula (AL-13) -1-(AL-13) -7 It can be represented as.

Figure pat00034

The high molecular compound used as the base resin of the resist composition used for the pattern formation method of this invention has a repeating unit a1 and a2 of Formula (1), and in some cases, a repeating unit which has an acid labile group of a repeating unit b or c. Although it is preferable, it is also repeating derived from the monomer which has adhesive groups, such as a hydroxyl group, a cyano group, a carbonyl group, ester group, an ether group, a lactone ring, a carboxyl group, a carboxylic anhydride group, a sulfonic acid ester group, a disulfone group, and a carbonate group. You may copolymerize the unit d. Among them, those having a lactone ring as an adhesive group are most preferably used.

Specific examples of the monomer for obtaining the repeating unit d include the following.

Figure pat00035

Figure pat00036

Figure pat00037

Figure pat00038

Figure pat00039

Figure pat00040

Figure pat00041

Figure pat00042

Figure pat00043

(44)

Figure pat00044

[Chemical Formula 45]

Figure pat00045

(46)

Figure pat00046

Figure pat00047

Moreover, you may copolymerize any repeating unit in the sulfonium salt (e1)-(e3) represented by the following general formula. In addition, when copolymerizing such a repeating unit, mix | blending with respect to the resist composition of the acid generator mentioned later can be abbreviate | omitted.

Figure pat00048

(In the formula, R 20, R 24, R 28 is a hydrogen atom or a methyl group, R 21 represents a single bond, phenylene group, -OR 33 -, or -C (= O) -YR 33 - . Y is an oxygen atom or NH R <33> is a C1-C6 linear, branched or cyclic alkylene group, alkenylene group, or phenylene group, and carbonyl group (-CO-), ester group (-COO-), ether group (-O-) R 22 , R 23 , R 25 , R 26 , R 27 , R 29 , R 30 , and R 31 may be the same or different linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms. And may contain a carbonyl group, an ester group or an ether group, or represent an aryl group having 6 to 12 carbon atoms, an aralkyl group or a thiophenyl group having 7 to 20 carbon atoms, Z 0 represents a single bond, a methylene group, an ethylene group, a phenylene group , A fluorinated phenylene group, -OR 32 -or -C (= O) -Z 1 -R 32 -Z 1 is an oxygen atom or NH, R 32 is a linear, branched or cyclic having 1 to 6 carbon atoms egg Group, an alkenylene group or a phenylene group, a carbonyl group may contain an ester group, an ether group or a hydroxyl group M -.. Represents a non-nucleophilic counter ion 0≤e1≤0.3, 0≤e2≤0.3, 0≤e3 ≤ 0.3, 0 <e1 + e2 + e3 ≤ 0.3.)

Other than the said repeating unit, the repeating unit f which has the non-leaving hydrocarbon group of Unexamined-Japanese-Patent No. 2008-281980 is mentioned. Examples of non-leaving hydrocarbon groups not disclosed in JP-A-2008-281980 include indenes, acenaphthylenes, and norbornadienes as polymers. The solubility to the organic solvent developing solution can be improved by copolymerizing the repeating unit f which has a non-leaving hydrocarbon group.

Moreover, the repeating unit g which has an oxirane ring or an oxetane ring can also be copolymerized. By copolymerizing the repeating unit g which has an oxirane ring or an oxetane ring, since an exposure part crosslinks, the residual film characteristic and etching resistance of an exposure part improve.

The repeating unit g which has an oxirane ring and an oxetane ring is specifically illustrated below. In addition, in the following example, R <8> is a hydrogen atom or a methyl group.

Figure pat00049

Figure pat00050

In the repeating units a1, a2, b, c, d, e1, e2, e3, f, g, the ratio of the repeating units is 0 <a1 <1.0, 0 <a2 <1.0, 0 <a1 + a2≤1.0 0≤b <1.0, 0≤c <1.0, 0≤d <1.0, 0≤e1≤0.3, 0≤e2≤0.3, 0≤e3≤0.3, 0≤e1 + e2 + e3≤0.3, 0≤f ≤0.4, 0≤g≤0.6, preferably 0.1≤a1≤0.9, 0.1≤a2≤0.9, 0.1≤a1 + a2≤0.9, 0≤b≤0.9, 0≤c≤0.9, 0.1≤d≤0.9, 0 ≦ e1 ≦ 0.18, 0 ≦ e2 ≦ 0.18, 0 ≦ e3 ≦ 0.18, 0 ≦ e1 + e2 + e3 ≦ 0.18, 0 ≦ f ≦ 0.3, and 0 ≦ g ≦ 0.5. In addition, a1 + a2 + b + c + d + e1 + e2 + e3 + f + g = 1.

The polymer compound used as the base resin of the resist composition used in the pattern forming method of the present invention has a polystyrene reduced weight average molecular weight of 1,000 to 500,000, particularly 2,000, by gel permeation chromatography (GPC) with a tetrahydrofuran (THF) solution. It is preferable that it is -30,000. When the weight average molecular weight is too small, a film reduction tends to occur at the time of developing an organic solvent, or when too large, the solubility with respect to an organic solvent may fall, and footing may occur easily after pattern formation.

Moreover, in the high molecular compound used as the base resin of the resist composition used for the pattern formation method of this invention, when the molecular weight distribution (Mw / Mn) is wide, since a low molecular weight and a high molecular weight polymer exist, it is a pattern image after exposure. Foreign matter may be seen or the shape of the pattern may deteriorate. Therefore, as the pattern rule becomes finer, the influence of such molecular weight and molecular weight distribution tends to be large, so that the molecular weight distribution of the multicomponent copolymer to be used is 1.0 to 2.0, in particular, in order to obtain a resist composition that is preferably used for fine pattern dimensions. It is preferable that it is narrow dispersion width to 1.0-1.5.

In order to synthesize these high molecular compounds, in one method, a monomer having an unsaturated bond for obtaining repeating units a1, a2, b, c, d, e1, e2, e3, f, g is used as a radical initiator in an organic solvent. There exists a method of adding and heating-polymerizing, and a high molecular compound can be obtained by this. Toluene, benzene, tetrahydrofuran, diethyl ether, dioxane, etc. can be illustrated as an organic solvent used at the time of superposition | polymerization. Examples of the polymerization initiator include 2,2'-azobisisobutyronitrile (AIBN), 2,2'-azobis (2,4-dimethylvaleronitrile) and dimethyl 2,2-azobis (2-methylprop Cypionate), benzoyl peroxide, lauroyl peroxide, etc. can be illustrated, Preferably, it can superpose | polymerize by heating at 50-80 degreeC. The reaction time is 2 to 100 hours, preferably 5 to 20 hours. The acid labile group may be used as it is introduced into the monomer, or may be protected or partially protected after polymerization.

In addition, blends of two or more polymers having different composition ratios, molecular weight distributions or molecular weights, or polymers containing no copolymerization of vinyl alcohol substituted with an acid labile group with maleic anhydride or maleimide, or forest fires other than an acid labile group-substituted vinyl alcohol It is also possible to blend with a polymer having a repeating unit substituted with a hydroxyl group or carboxyl group substituted with a ballast group, such as repeating units b or c.

It is also possible to blend a conventional type of (meth) acrylate polymer, polynorbornene, cycloolefin maleic anhydride copolymer, ROMP, etc., in which the exposed portion is dissolved by alkali development, and the exposed portion is not dissolved by alkali development. It is also possible to blend a (meth) acrylate polymer in which a hydroxyl group capable of forming a negative pattern by an organic solvent development is substituted with an acid labile group.

The resist composition used for the pattern formation method of this invention is an organic solvent, the compound (acid generator) which generate | occur | produces an acid in response to high energy rays, a dissolution control agent, a basic compound, surfactant, acetylene alcohol, its It may contain an external component.

The resist composition used in the pattern forming method of the present invention may contain an acid generator, in particular, in order to function as a chemically amplified positive resist composition, for example, a compound that generates an acid in response to actinic radiation or radiation (photoacid generator). ) May be contained. In this case, it is preferable that the compounding quantity of a photo-acid generator shall be 0.5-30 mass parts, especially 1-20 mass parts with respect to 100 mass parts of base resins. As a component of a photo-acid generator, any compound can generate | occur | produce an acid by high energy ray irradiation. Preferred photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethanes, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. These can be used individually or in mixture of 2 or more types. Examples of the acid generated from the acid generator include sulfonic acid, imide acid, and meted acid. Of these, sulfonic acid in which the α-position is fluorinated is most commonly used. However, in the case of an acetal in which an acid labile is easily deprotected, the α-position does not necessarily need to be fluorinated. In addition, the acid generator is a sulfo acid, an imide acid, or a methic acid in which the α position is substituted with fluorine, and a sulfonic acid in which the α position is substituted with fluorine or a carboxylic acid substituted or unsubstituted with fluorine. It is preferable to contain both of the nates. In addition, when copolymerizing any of the repeating units e1, e2, and e3 of an acid generator as a base polymer, an addition type acid generator is not necessarily essential.

As a specific example of the organic solvent, Ketones, such as the cyclohexanone and methyl-2-n-amyl ketone, paragraphs [0144]-[0145] of Unexamined-Japanese-Patent No. 2008-111103, 3-methoxybutanol, Alcohols such as 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene Ethers such as glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, Lactones such as ethyl ethoxypropionate, tert-butyl acetate, tert-butyl propionate, esters such as propylene glycol mono tert-butyl ether acetate, and γ-butyrolactone And mixed solvents thereof. When using an acetal acid labile group, in order to accelerate the deprotection reaction of acetal, an alcohol solvent having a high boiling point, specifically, diethylene glycol, propylene glycol, glycerin, 1,4-butanediol, 1,3-butanediol, or the like May be added.

As a basic compound, the primary, secondary, and tertiary amine compounds described in paragraphs [0146] to [0164] of JP-A-2008-111103, in particular, hydroxyl groups, ether groups, ester groups, lactone rings, and cyan A compound which has an amine compound which has a furnace group, a sulfonic acid ester group, or the carbamate group of Unexamined-Japanese-Patent No. 3790649 is mentioned.

The sulfonic acid and the iodonium salt of the carboxylic acid as described in Unexamined-Japanese-Patent No. 2008-158339, the sulfonic acid which is not fluorinated, and Unexamined-Japanese-Patent No. 3991462, Unexamined-Japanese-Patent No. 2008-158339. Onium salts, such as an ammonium salt, can also be used as a quencher. Sulphonic acid, imide acid, and methic acid in which the α position is fluorinated are required to deprotect the acid labile group of the carboxylic acid ester, but sulfonic acid in which the α position is not fluorinated by salt exchange with an onium salt in which the α position is not fluorinated, And carboxylic acid is released. Since sulfonic acid and carboxylic acid in which the α position is not fluorinated do not cause a deprotection reaction, they function as quencher. Not only this, but also the sulfonic acid in which the α position is not fluorinated, and the onium salt of carboxylic acid include sulfonic acid, imide acid, and methiated in which the α position is fluorinated by salt exchange with sulfonic acid, imide acid, and methadic acid in which the α position is fluorinated. It is converted into an acid generator that produces thymic acid. In accordance with the increase in the exposure dose, generation of sulfonic acid, imide acid, and metic acid in which the α position is fluorinated and salt exchange with the sulfonium salt are repeated many times. The place where sulfonic acid, imide acid, and metedic acid generate | occur | produce at the end of exposure differs from the place where the sulfonium salt of the first sulfonic acid, imide acid, and methic acid exists. By repeating the cycle of acid generation, salt exchange and acid generation by light several times, the acid generation point is averaged, thereby reducing the edge roughness of the resist pattern after development.

Since the sulfonic acid and the iodonium salt of the sulfonic acid and the carboxylic acid which are not a fluorinated α-position are photodegradable, the quenching ability of a portion having a strong light intensity is lowered, and the fluorinated sulfonic acid, imide acid and methic acid are α-positioned. The concentration of increases. This improves the contrast of the exposed portion. In the formation of the negative tone by the organic solvent, when the contrast of the exposed portion is improved, the rectangularity of the negative pattern is improved. The sulfonic acid in which the α-position is not fluorinated, and the onium salts such as sulfonium salts, iodonium salts, and ammonium salts of carboxylic acids also have a high effect of suppressing the diffusion of sulfonic acid, imide acid, and methic acid in which the α-position is fluorinated. This is because the molecular weight of the onium salt after exchange is large, making it difficult to move. When forming a hole pattern by a negative phenomenon, since there are many acid generation | generation regions, control of the acid which diffuses from an exposure part to an unexposed part is important. For this reason, addition of the onium salts, such as a sulfonic acid in which the alpha position is not fluorinated, a sulfonium salt, an iodonium salt, and an ammonium salt of a carboxylic acid, or a carbamate compound in which an amine compound is generated by an acid, controls the acid diffusion. It is important in terms of.

When the acid labile group is an acetal that is particularly sensitive to acids, the acid for leaving the protecting group may not necessarily be sulfonic acid, imide acid, or methic acid in which the α position is fluorinated. There is a case to progress. It is preferable to use onium salt of carboxylic acid as a quencher at this time.

Paragraphs [0165] to [0166] of Japanese Patent Laid-Open No. 2008-111103, dissolution control agents are paragraphs [0155] to [0178] of Japanese Laid-Open Patent Publication No. 2008-122932, and acetylene alcohols are Japanese Patents The thing of Paragraph [0179]-[0182] of Unexamined-Japanese-Patent No. 2008-122932 can be used.

A high molecular compound for improving the water repellency of the resist surface after spin coating can also be added. This additive can be used for immersion lithography that does not use a topcoat. Such additives have 1,1,1,3,3,3-hexafluoro-2-propanol moieties of a specific structure and are exemplified in Japanese Patent Application Laid-Open No. 2007-297590 and Japanese Patent Application Laid-Open No. 2008-111103. It is. The water repellency improving agent added to the resist composition needs to be dissolved in the organic solvent of the developer. The water repellency enhancer having the specific 1,1,1,3,3,3-hexafluoro-2-propanol residue described above has good solubility in a developer. As a water repellent additive, the polymer compound copolymerized with an amino group or an amine salt as a repeating unit has a high effect of preventing the evaporation of the acid in the PEB and preventing the opening defect of the hole pattern after development. The addition amount of a water repellency improving agent is 0.1-20 mass parts with respect to 100 mass parts of base resin of a resist composition, Preferably it is 0.5-10 mass parts.

Moreover, it is preferable to make the compounding quantity of an organic solvent into 100-10,000 mass parts, especially 300-8,000 mass parts with respect to 100 mass parts of base resins. In addition, it is preferable that the compounding quantity of a basic compound shall be 0.0001-30 mass parts with respect to 100 mass parts of base resins especially 0.001-20 mass parts.

In addition, the compounding quantity of a dissolution control agent, surfactant, and acetylene alcohol can be suitably selected according to the compounding purpose.

As described above, the positive resist composition is coated on a substrate to form a resist film. After the heat treatment, a high energy ray is irradiated and exposed to a predetermined portion of the resist film, and after the heat treatment, a developer of an organic solvent is used. While the unexposed portion of the resist film is dissolved, the exposed portion remains as a film to form a negative tone resist pattern such as a hole or a trench.

The patterning method which concerns on this invention is shown in FIG. In this case, as shown in FIG. 1A, in the present invention, the positive resist composition is directly deposited on the substrate 20 formed on the substrate 10 or through the intermediate interlayer 30. Is applied to form a resist film 40. As thickness of a resist film, it is preferable that it is 10-1,000 nm, especially 20-500 nm. Although this resist film is heated (prebaked) before exposure, it is preferable to carry out for 10 to 300 second, especially 15 to 200 second at 60-180 degreeC, especially 70-150 degreeC on this condition.

As the substrate 10, a silicon substrate is generally used. Examples of the substrate 20 to be processed include SiO 2 , SiN, SiON, SiOC, p-Si, α-Si, TiN, WSi, BPSG, SOG, Cr, CrO, CrON, MoSi, low dielectric film, and an etching stopper film thereof. Can be. Examples of the intermediate interlayer 30 include a hard mask such as SiO 2 , SiN, SiON, and p-Si, an underlayer film made of a carbon film, a silicon-containing interlayer film, an organic antireflection film, and the like.

Next, as shown in FIG. 1B, exposure 50 is performed. Here, the exposure includes a high energy ray having a wavelength of 140 to 250 nm, an EUV having a wavelength of 13.5 nm, and an electron beam (EB). Among them, exposure of 193 nm by an ArF excimer laser is most preferably used. The exposure may be a dry atmosphere in the air or in a nitrogen stream, or may be liquid immersion exposure in water. In ArF immersion lithography, a liquid which has a refractive index of 1 or more and pure water or a high transparency to an exposure wavelength is used as the immersion solvent. In immersion lithography, pure water or other liquid is inserted between the resist film after prebaking and the projection lens. This enables lens design with NA of 1.0 or more, and enables finer pattern formation. Immersion lithography is an important technique for extending ArF lithography to 45 nm nodes. In the case of liquid immersion exposure, pure rinse (post soak) after exposure for removing residual water droplets remaining on the resist film may be performed, and prebaking is performed to prevent eluate from the resist film and to increase water lubrication of the film surface. A protective film may be formed on the subsequent resist film. As a material for forming a resist protective film used for immersion lithography, for example, a polymer compound having a 1,1,1,3,3,3-hexafluoro-2-propanol residue insoluble in water and dissolved in an alkaline developer solution may be used. As a base, the material melt | dissolved in the C4 or more alcohol solvent, the C8-C12 ether solvent, or these mixed solvent is preferable. In this case, the composition for protective film formation is what is obtained using the monomer which has a 1,1,1,3,3,3-hexafluoro-2-propanol residue. The protective film needs to be dissolved in the developer of the organic solvent, but the polymer compound containing the repeating unit having a 1,1,1,3,3,3-hexafluoro-2-propanol residue is dissolved in the above-described organic solvent developer. do. In particular, the organic of the protective film material having the 1,1,1,3,3,3-hexafluoro-2-propanol residues exemplified in Japanese Patent Application Laid-Open No. 2007-25634 and Japanese Patent Application Laid-Open No. 2008-3569 Solubility in solvent developer is high.

The use of a polymer compound obtained by blending an amine compound or an amine salt with a protective film-forming composition or copolymerizing a repeating unit having an amino group or an amine salt controls the diffusion of the acid from the exposed portion of the resist film to the unexposed portion of the hole, thereby opening the hole. Highly effective in preventing defects. As a protective film material which added the amine compound, the material of Unexamined-Japanese-Patent No. 2008-3569, the material of Unexamined-Japanese-Patent No. 2007-316448 can be used as a protective film material copolymerized with an amino group or an amine salt. As an amine compound and an amine salt, it can select from the above-mentioned as a basic compound for addition of the said resist composition. As for the compounding quantity of an amine compound and an amine salt, 0.01-10 mass parts, especially 0.02-8 mass parts are preferable with respect to 100 mass parts of base resins.

After the photoresist film is formed, pure rinsing (post soaking) may be performed to extract an acid generator or the like from the surface of the resist film or to wash particles, or to rinse (post soak) to remove water remaining on the film after exposure. May be performed. If the acid evaporated from the exposed portion in the PEB adheres to the unexposed portion and the protective group on the surface of the unexposed portion is deprotected, there is a possibility that the surface of the hole after development is bridged and blocked. In particular, light is irradiated to the outside of the hole in the negative phenomenon, and acid is generated. When the acid outside the hole evaporates in the PEB and adheres to the inside of the hole, the hole does not open. It is effective to apply a protective film to prevent the evaporation of the acid and to prevent the defective opening of the hole. Moreover, the protective film which added the amine compound or the amine salt can prevent the acid evaporation effectively. On the other hand, when a protective film based on a polymer obtained by adding an acid compound such as a carboxyl group or a sulfo group or copolymerizing a monomer having a carboxyl group or a sulfo group is used, unopening of a hole may occur, and such a protective film may be used. Not desirable

Thus, in this invention, the resist composition containing the vinyl alcohol substituted by the acid labile group, maleic anhydride, and / or maleimide as a repeating unit, an acid generator, and the organic solvent is apply | coated on a board | substrate. After the heat treatment, a protective film is formed, the resist film is exposed to a high energy ray, preferably liquid immersion exposure, and after the heat treatment, the protective film and the unexposed portion are dissolved using a developer with an organic solvent, and the negative portion of the exposed portion is not dissolved. It is preferable to obtain a pattern, and in this case, as a material for forming a protective film, an amino group or an amine salt based on a polymer compound having a 1,1,1,3,3,3-hexafluoro-2-propanol residue It is based on the material which added the compound which has the compound which copolymerizes, or the material which copolymerized the repeating unit which has an amino group or an amine salt in the said high molecular compound, It is preferable to use the material melt | dissolved in the C4 or more alcohol solvent, the C8-C12 ether solvent, or these mixed solvents.

As a repeating unit which has a 1,1,1,3,3,3-hexafluoro-2-propanol residue, it has a hydroxyl group among the monomers represented by [Formula 44], [Formula 45], and [Formula 46]. The thing obtained using the monomer is mentioned.

As a compound which has an amino group, the amine compound of Paragraph [0146]-[0164] of Unexamined-Japanese-Patent No. 2008-111103 added to a photoresist composition can be used as mentioned above.

As a compound which has an amine salt, the carboxylate or sulfonate of the said amine compound can be used.

Examples of the alcohol solvent having 4 or more carbon atoms include 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, tert-amyl alcohol, neopentyl Alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3- Dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2-pentane Ol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl 2-pentanol, 4-methyl-3-pentanol, cyclohexanol, and 1-octanol are mentioned.

Examples of the ether solvent having 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-sec-butyl ether, di-n-pentyl ether, diisopentyl ether, di-sec-pentyl ether, and di -tert-amyl ether and di-n-hexyl ether are mentioned.

It is preferable to expose so that exposure amount in exposure may be about 1-200 mJ / cm <2>, Preferably it is about 10-100 mJ / cm <2>. Next, post exposure bake (PEB) is carried out on a hot plate at 60 to 150 ° C. for 1 to 5 minutes, preferably at 80 to 120 ° C. for 1 to 3 minutes.

Further, as shown in Fig. 1C, using a developer of an organic solvent, for 0.1 to 3 minutes, preferably 0.5 to 2 minutes, the dip method, puddle method, spray method By developing by conventional methods, such as the negative pattern in which an unexposed part melt | dissolves, it forms on a board | substrate. As a developing solution at this time, 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexa Ketones such as paddy, acetophenone, methyl acetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, and isoformate Wheat, methyl valeric acid, methyl pentenate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, Isoamyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenyl acetate, benzyl formate, phenyl ethyl formate, 3-phenylpropionic acid Ester, such as methyl, benzyl propionate, ethyl phenyl acetate, and 2-phenylethyl acetate, can be used preferably.

Rinse is carried out at the end of development. As the rinse liquid, a solvent which is mixed with a developing solution and does not dissolve the resist film is preferable. As such a solvent, a C3-C10 alcohol, a C8-C12 ether compound, a C6-C12 alkane, an alkene, an alkyne, and an aromatic solvent are used preferably.

Specifically, as alkanes having 6 to 12 carbon atoms, hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, Cyclooctane, cyclononane, etc. are mentioned. Examples of the alkenes having 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene and cyclooctene. Examples of alkenes having 6 to 12 carbon atoms include hexine, heptin, Octine etc. are mentioned, As a C3-C10 alcohol, n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, tert- butyl alcohol, 1-pentanol, 2 -Pentanol, 3-pentanol, tert-amyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexane Ol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3 -Pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol, 1-octanol and the like.

Examples of the ether compound having 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-sec-butyl ether, di-n-pentyl ether, diisopentyl ether, di-sec-pentyl ether, and di-. and one or more solvents selected from tert-amyl ether and di-n-hexyl ether.

In addition to the solvents described above, aromatic solvents such as toluene, xylene, ethylbenzene, isopropylbenzene, tert-butylbenzene, and mesitylene may be used.

When the hole pattern is formed by negative tone development, light having the highest contrast can be used by performing exposure by dipole illumination of two line patterns in the X and Y directions. Adding s-polarized illumination with dipole illumination can further increase the contrast.

Here, in the present invention, it is preferable to use a halftone phase shift mask to form a hole pattern after development at the intersection of the lattice shifter lattice, and the lattice pattern is a halftone phase shift mask having a transmittance of 3 to 15%. Is preferably. In this case, a phase shift mask is used in which a lattice-shaped first shifter having a line width of less than half pitch and a second shifter having a thickness of 2 to 30 nm thicker on the wafer than the width of the first shifter are arranged on the first shifter. To form a hole pattern only where thick shifters are arranged, or a lattice-shaped first shifter having a line width of less than half pitch, and on the first shifter in a dimension on the wafer than the width of the first shifter in the range of 2 to 100; It is preferable to form a hole pattern only where the thick shifters are arranged using a phase shift mask in which the second shifters of the nm thick dot pattern are arranged.

This will be described in more detail below.

2 shows an optical image of an NA 1.3 lens using an ArF excimer laser having a wavelength of 193 nm, a dipole illumination, a 6% halftone phase shift mask, a pitch of 90 nm in s-polarized light, and an X-direction line with a line size of 45 nm.

Fig. 3 shows an optical image of a NA 1.3 lens using an ArF excimer laser having a wavelength of 193 nm, a dipole illumination, a 6% halftone phase shift mask, a pitch of 90 nm in s-polarized light, and a Y-direction line having a line size of 45 nm. It is shown that the darker one is a light shielding part and the white one is a strong light area, the contrast difference between white and black is clear, and a particularly strong light shielding part exists.

4 is a contrast image obtained by superposing an optical image of an X-direction line on a Y-direction line. The combination of the lines of X and Y can be considered to be a lattice-shaped image, but otherwise, the pattern of the black part where the light is weak is circular. When the size of a circle is large, it is easy to connect with an adjacent pattern in a rhombus shape, but as the size of a circle becomes smaller, the degree of circle improves and there exists a small light-shielded circle.

Exposure using a combination of two dipole and polarized illumination lines in the X and Y directions is a method of forming the light with the highest contrast, but the drawback is that the throughput is greatly reduced by two exposures and the mask exchange between them. have. In order to continuously perform two exposures while replacing a mask, it is necessary to provide two stages of the mask on the exposure apparatus side, but there is one stage of the mask of the current exposure apparatus. In this case, the masks are not replaced every single exposure, and the 25 wafers contained in the FOUP (wafer case) are continuously exposed in the X-direction line, and the masks are then replaced to replace the same 25 wafers. Continuously exposing the line in the Y direction can increase the throughput. However, as the time until the first wafer of the 25 wafers is next exposed becomes long, there arises a problem that the size and shape of the resist film after development change due to the influence of the environment. In order to block the influence of the environment in the wafer atmosphere until the second exposure, it is effective to lay a protective film on the upper layer of the resist film.

In order to finish a mask with 1 sheet, the method of exposing twice by each dipole illumination of X, Y direction using the mask of a grid | lattice pattern is proposed (nonpatent literature 1 mentioned above). In this method, the optical contrast is slightly lowered compared to the method using the two masks described above, but the throughput is improved because one mask can be used. In the above-mentioned Non-Patent Document 1, a line in the X direction is formed by dipole illumination in the X direction by using a mask of a lattice pattern, and the line in the X direction is insolubilized by light irradiation, and the photo is again on this. A resist film is applied, and lines in the Y direction are formed by dipole illumination in the Y direction, and hole patterns are formed in the gaps between the lines in the X direction and the lines in the Y direction. In this method, only one mask is required, but since the process of insolubilizing the first photoresist pattern and applying and developing the second photoresist film between two exposures is performed, the wafer is exposed between the two exposures. It is separated from the stage, and this causes a problem that the alignment error is large. In order to minimize the alignment error between two exposures, it is necessary to perform two exposures continuously without separating a wafer from an exposure stage.

The aperture shape of the dipole for forming the line in the X direction (horizontal direction) using the mask of a lattice pattern is shown in FIG. The aperture shape of the dipole for forming the line in the Y direction (vertical direction) is shown in FIG. Adding s-polarized illumination to dipole illumination is preferably used because the contrast is further improved. A negative pattern is formed by repeatedly performing two exposures forming a line in the X-direction and a line in the Y-direction using a lattice mask to form a hole pattern.

When a hole pattern is formed by one exposure using a lattice mask, aperture-shaped quadrupole illumination (crosspole illumination) shown in FIG. 20 is used. The contrast is enhanced by combining X-Y polarized illumination or azimuth polarized illumination of circular polarization.

In the hole pattern forming method of the present invention, when exposure is performed twice, the method of performing exposure by changing the illumination and mask of the first exposure and the second exposure is the most high contrast and fine patterns are formed with good dimensional uniformity. can do. The mask used for the first exposure and the second exposure forms the hole pattern of the resist after development at the intersection of the first line pattern and the second line. The angle between the first line and the second line is preferably orthogonal, but may be an angle other than 90 degrees, and the dimensions and pitches of the first line and the second line may be the same or different. Although it is also possible to continuously expose the 1st exposure and the 2nd exposure using the mask which has a 1st line and a 2nd line in 1 mask at a position different from this, in this case, the largest area which can be exposed is This is half. However, when performing continuous exposure, alignment error can be minimized. Of course, with one exposure, the error of alignment can be made smaller than two continuous exposures.

In order to perform exposure twice without reducing an exposure area using one mask, when using the grid pattern shown in FIG. 5 as a mask pattern, when using the dot pattern shown in FIG. The dot pattern and lattice pattern shown by may be combined.

The use of the lattice-shaped pattern improves the contrast of light most, but there is a drawback that the sensitivity of the resist film is lowered because the intensity of light is lowered. On the other hand, the method using the dot pattern has the advantage that the contrast of the light is lowered, but the sensitivity of the resist film is improved.

When the hole patterns are arranged in the horizontal and vertical directions, the illumination and mask patterns are used. However, when the hole patterns are arranged in other angles, for example, in the direction of 45 degrees, the mask and dipole illumination or cross of the patterns arranged in 45 degrees are used. Combine the pole lights.

When two exposures are performed, exposure is performed in which dipole illumination is combined with dipole illumination for enhancing the contrast of the X-direction line, and exposure is performed twice with polarization illumination combined with dipole illumination for enhancing the contrast in the Y direction. Two consecutive exposures in which the contrast in the X direction and the Y direction are emphasized using one mask can be performed by a commercially available scanner.

The method of combining X and Y polarized illumination and cross-pole illumination using a mask of a lattice pattern has a slightly lower contrast of light compared to exposure of two dipoles, but can form a hole pattern in one exposure. Therefore, considerable throughput improvement is expected, and the problem of alignment misalignment due to two exposures is avoided. Using such a mask and illumination, it becomes possible to form a hole pattern of 40 nm class at practical cost.

In the mask in which the lattice-shaped pattern shown in FIG. 5 is arrange | positioned, the intersection of gratings is strongly shielded, and as shown in FIG. 6, the black spot with very light blocking property appears. In Fig. 6, it is an optical image of a lattice line pattern with a pitch of 90 nm and a width of 30 nm in NA 1.3 lens, crosspole illumination, 6% halftone phase shift mask, azimuth polarized illumination. Exposure is performed using the mask of such a pattern, and a fine hole pattern can be formed by developing by the organic solvent with a positive negative inversion.

The optical image contrast in the mask in which the NA 1.3 lens shown in FIG. 7, a cross-pole illumination, a 6% halftone phase shift mask, the pitch 90 nm in azimuth | polarized-polarization illumination, and the square dot pattern of 55 nm of one side are arrange | positioned is shown. 8 is shown. In this case, the area of the circle of the strong light shielding portion is smaller than that of Fig. 6, and the contrast is lower than that of the mask of the lattice pattern. However, since the black light shielding portion is present, the hole pattern can be formed.

It is difficult to form a fine hole pattern in which pitches or positions are randomly arranged. Although the density pattern can improve contrast by the super-resolution technique which combined phase shift mask and polarization for incident light illumination, such as a dipole and a cross pole, contrast of an isolated pattern does not improve so much.

When a super resolution technique is used for a dense repeating pattern, a dense (proxymitty) bias with an isolated pattern becomes a problem. The use of a strong super resolution technique improves the resolution of the dense pattern as it is used, but the resolution of the isolated pattern does not change, so that the dense bias is expanded. The increase in the dense bias in the hole pattern accompanying the miniaturization is a serious problem. In order to suppress the dense bias, in general, biasing the dimension of a mask pattern is performed. Since the dense bias is also changed by the characteristics of the photoresist composition, that is, the dissolution contrast and the acid diffusion, the dense bias of the mask changes for each kind of the photoresist composition. The mask which changed the density bias for every kind of photoresist composition is used, and the burden of mask preparation is increasing. Therefore, only a tight hole pattern is resolved by strong super-resolution illumination, a negative resist film of an alcohol solvent which does not dissolve the first positive type resist pattern is applied to the pattern, and an unnecessary hole portion is exposed and developed to close and close the tight pattern. A method of manufacturing both the and isolated patterns (Pack and unpack; PAU method) has been proposed (Proc. SPIE Vol. 5753 p. 171 (2005)). The problem with this method is the positional shift between the first exposure and the second exposure, and the author of the literature also points out this point. In addition, the hole pattern which is not occluded in the second development is developed twice, and the dimensional change by this is also discussed as a problem.

In order to form the hole pattern of a random pitch by the organic solvent phenomenon of positive negative inversion, the grating | lattice-shaped pattern is arrange | positioned in the whole surface and the mask which made the width | variety of the grating thick is used only in the place which forms a hole.

On the lattice pattern of pitch 90 nm and 20 nm lines, the thick cross of a cross is arrange | positioned in the part to which a dot is to be formed as shown in FIG. The black part is the shifter part of the halftone. The thicker the portion, the thicker the line (40 nm wide in Fig. 9) and the narrower the line 30 nm wide. Since the intensity of light becomes weaker in the isolated pattern than in the dense pattern, a thick line is used. Since the intensity of light decreases slightly at the end of the dense pattern, a 32 nm line is slightly wider than the center of the dense part.

The contrast image of the optical image of the mask of FIG. 9 is shown in FIG. Holes are formed in the black shading portion by positive negative inversion. Although black spots are visible in addition to the place where the hole is to be formed, since the size of the black spots is small, they are practically hardly transferred. By further optimizing, for example, by narrowing the width of the lattice lines of unnecessary portions, it is possible to prevent the transfer of unnecessary holes.

Similarly, a mask in which a lattice pattern is arranged on the entire surface and thick dots are arranged only at a place where holes are formed may be used. On the lattice pattern of pitch 90 nm and 15 nm lines, a thick dot is arrange | positioned in the part to form a dot as shown in FIG. The black part is the shifter part of the halftone. Larger dots (90 nm on one side in Fig. 11) are arranged in the isolated portion, and square dots of 55 nm on one side are arranged in the dense portion. The shape of a dot may be square, and it may be a rectangle, a lozenge, a pentagon, a hexagon, a heptagon, an octagon or more polygon, and a circle. The contrast image of the optical image in the mask of FIG. 11 is shown in FIG. It is shown that there is a black light shielding portion which is almost equivalent to that of Fig. 10, and a hole is formed by positive negative inversion.

In the case of using a mask in which the lattice pattern as shown in Fig. 13 is not arranged, as shown in Fig. 14, the black shielding portion does not appear. In this case, even if it is difficult to form the hole or if it can be formed, the optical contrast is low, so that the variation of the mask dimension is largely reflected in the variation of the hole dimension.

After development, a process for reducing the hole pattern or the trench pattern may be added. For example, a thermal flow process that causes the thermal flow of a pattern to shrink by heating the pattern after development, or the RELACS method of applying a shrink agent on the pattern after development, and peeling the shrink agent after baking to attach the shrink agent to the pattern surface. Can be mentioned.

Example

Hereinafter, although a synthesis example, an Example, and a comparative example are shown and this invention is demonstrated concretely, this invention is not limited to a following example. In addition, in the following example, molecular weight and dispersion degree were confirmed by the gel permeation chromatography of the tetrahydrofuran (THF) solution. In addition, molecular weight and dispersion degree show the polystyrene conversion weight average molecular weight by GPC.

[Synthesis Example]

As the polymer compound used in the resist composition, copolymerization reaction is performed under a THF solvent by combining the respective monomers, crystallized in methanol, repeated washing with hexane, and then isolated and dried to obtain a polymer having the composition shown below. Compounds (resist polymers 1 to 15, comparative resist polymers 1 and blend resist polymers 1 and 2) were obtained. 1 H-NMR, molecular weight, and dispersion degree of the composition of the obtained high molecular compound were confirmed by gel permeation chromatography.

Figure pat00051

Figure pat00052

Figure pat00053

Figure pat00054

Figure pat00055

Figure pat00056

Positive type Resist  Preparation of composition, composition for alkali-soluble protective film formation

Using the polymer compound (resist polymer 1-15, comparative resist polymer 1, blend resist polymer 1, 2) obtained in the said synthesis example using the solution melt | dissolved in the composition shown in Table 1 below, and the protective film polymer shown below Each solution was prepared by filtering the composition solution for protective film formation melt | dissolved in the composition shown in Table 2 with a 0.2 micrometer Teflon (trademark) filter.

Each composition in the following table is as follows.

Figure pat00057

Figure pat00058

Figure pat00059

Figure pat00061

[Examples and Comparative Examples]

ArF  Exposure Patterning  Evaluation (1)

The resist composition shown in Table 3 was spin-coated on the board | substrate which produced Nissan Kagaku Kogyo Co., Ltd. antireflective film ARC-29A to 80 nm film thickness on a silicon wafer, and it was made to hot-plate at 100 degreeC for 60 second. It baked, and the thickness of the resist film was 100 nm.

The open frame exposure was carried out using ArF excimer laser scanner (Nikon Corporation, NSR-305B, NA 0.68, (sigma) 0.73), changing exposure amount in 0.2 mJ / cm <2> steps. After exposure, PEB was baked at 90 ° C. for 60 seconds, puddle development was performed for 60 seconds using the organic solvent shown in Table 1 in a developer, followed by rinsing at 500 rpm using 4-methyl-2-pentanol. Thereafter, the resultant was spin-dried at 2,000 rpm and baked at 100 ° C. for 60 seconds to evaporate the rinse liquid. The same process as mentioned above was performed to PEB, and also image development in 2.38 mass% tetramethylammonium hydroxide (TMAH) aqueous solution was also performed. The film thickness after PEB, the film thickness after organic-solvent development, and the film thickness after TMAH aqueous solution development were measured, the relationship (contrast curve) of exposure amount and film thickness was calculated | required, and the film | membrane reduction amount and slope (gamma) by the image development of an exposure part were calculated | required.

In FIG. 15 (Example 1-1) and FIG. 16 (Comparative Example 1-1), the film reduction amount and gamma are shown in Table 3 as a result of the contrast curve.

The resist composition of the present invention has a small amount of film reduction before and after organic solvent development, and has a large contrast (γ).

Figure pat00062

ArF  Exposure Patterning  Evaluation (2)

The resist composition shown in Table 4 was made to Shin-Etsu Chemical Co., Ltd. spin-on carbon film ODL-50 (carbon content is 80 mass%) on a silicon wafer at 200 nm, and the silicon-containing spin-on hard mask SHB- A940 (silicon content of 43 mass%) was spin-coated on a substrate for a trilayer process formed with a film thickness of 35 nm, baked at 100 ° C. for 60 seconds using a hot plate, and the thickness of the resist film was 100 nm. I did it. Thereafter, the protective film-forming composition (protective film 1) shown in Table 2 was spin-coated in some cases, baked at 90 ° C. for 60 seconds, and the thickness of the protective film was 50 nm.

ArF excimer laser immersion scanner manufactured by Nikon Corporation, NSR-610C, NA 1.30, sigma 0.98 / 0.78, cross-pole aperture 20 degrees, azimuth polarized light illumination, 6% halftone phase shift mask, wafer on-dimension pitch 90 nm Exposure using varying the exposure dose using a lattice mask of the layout shown in FIG. 17 having a line width of 30 nm), and baked (PEB) for 60 seconds at the temperature shown in Table 4 after exposure, and butyl acetate After discharging while rotating at 30 rpm for a second, the stop puddle phenomenon was then performed for 27 seconds, rinsed with diisoam ether, spin-dried, and baked at 100 ° C. for 20 seconds to evaporate the rinse solvent.

The size of the 50 inverted hole patterns of the solvent development was measured by Hitachi High Technologies Co., Ltd. TDSEM (S-9380), and the dimensional variation of 3σ was determined. The cross-sectional shape of the hole pattern was observed with the Hitachi High Technologies Co., Ltd. electron microscope S-4300. The results are shown in Table 4.

The resist of this invention is excellent in the dimensional uniformity of the pattern after organic-solvent image development, and can obtain a vertical pattern.

Figure pat00063

ArF  Exposure Patterning  Evaluation (3)

The resist composition shown in Table 5 was made into Shin-Etsu Chemical Co., Ltd. spin-on carbon film ODL-50 (carbon content is 80 mass%) at 200 nm, and the silicon-containing spin-on hard mask SHB- A940 (silicon content of 43 mass%) was spin-coated on a substrate for a trilayer process formed with a film thickness of 35 nm, baked at 100 ° C. for 60 seconds using a hot plate, and the thickness of the resist film was 100 nm. I did it.

ArF excimer laser immersion scanner manufactured by Nikon Corporation, NSR-610C, NA 1.30, sigma 0.98 / 0.78, cross-pole aperture 20 degrees, azimuth polarized light illumination, 6% halftone phase shift mask, wafer on-dimension pitch 90 nm Exposure by using a mask having a pattern shown in FIG. 7 having a width of 55 nm) and varying the exposure amount, and baked (PEB) for 60 seconds at the temperature shown in Table 5 after exposure, and methyl benzoate was extracted from the developing nozzle. The resultant was discharged while rotating at 30 rpm for 3 seconds, after which the stop puddle phenomenon was performed for 27 seconds, rinsed with xylene, spin-dried, and baked at 100 ° C. for 20 seconds to evaporate the rinse solvent.

Image of solvent development The dimension of the inverted hole pattern was measured by TDSEM (S-9380) manufactured by Hitachi High Technologies Co., Ltd. to obtain a focus margin (DoF) of 40 nm ± 5 nm. The dimensions of 50 holes in the same exposure amount and the same focus shot were measured, and the dimensional variation of 3σ was determined. The results are shown in Table 5.

Figure pat00064

ArF  Exposure Patterning  Evaluation (4)

The resist composition shown in Table 6 was made into Shin-Etsu Chemical Co., Ltd. spin-on carbon film ODL-50 (carbon content is 80 mass%) at 200 nm, and the silicon-containing spin-on hard mask SHB- A940 (silicon content of 43 mass%) was spin-coated on a substrate for a trilayer process formed with a film thickness of 35 nm, baked at 100 ° C. for 60 seconds using a hot plate, and the thickness of the resist film was 100 nm. I did it.

ArF excimer laser immersion scanner manufactured by Nikon Corporation, NSR-610C, NA 1.30, sigma 0.98 / 0.78, dipole aperture 20 degrees, azimuth polarized light illumination, 6% halftone phase shift mask, wafer on-dimension pitch 90 nm, 7 consecutive exposures of the X dipole and the Y dipole were carried out at the same place while varying the exposure amount using a mask of the pattern in which the dots shown in FIG. (PEB), 2-heptanone was discharged from the developing nozzle while rotating at 30 rpm for 3 seconds, then stop puddle development was performed for 27 seconds, rinsed with diisoam ether, spin-dried, and baked at 100 ° C. for 20 seconds. The rinse solvent was evaporated.

Image of solvent development The dimension of the inverted hole pattern was measured by TDSEM (S-9380) manufactured by Hitachi High Technologies Co., Ltd. to obtain a focus margin (DoF) of 40 nm ± 5 nm. The dimensions of 50 holes in the same exposure amount and the same focus shot were measured, and the dimensional variation of 3σ was determined. The results are shown in Table 6.

Figure pat00065

ArF  Exposure Patterning  Evaluation (5)

The resist composition shown in Table 7 was made to Shin-Etsu Chemical Co., Ltd. spin-on carbon film ODL-50 (carbon content is 80 mass%) on a silicon wafer at 200 nm, and the silicon-containing spin-on hard mask SHB- A940 (silicon content of 43 mass%) was spin-coated on a substrate for a trilayer process formed with a film thickness of 35 nm, baked at 100 ° C. for 60 seconds using a hot plate, and the thickness of the resist film was 100 nm. I did it.

ArF excimer laser immersion scanner manufactured by Nikon Corporation, NSR-610C, NA 1.30, sigma 0.98 / 0.78, dipole aperture 20 degrees, azimuth polarized light illumination, 6% halftone phase shift mask, wafer on dimensions pitch 80 nm, The first exposure using a dipole illumination suitable for this, using a mask having a line width in the X direction having a line width of 40 nm), followed by a 6% halftone phase shift mask, a wafer image dimension of a pitch of 80 nm, and a line width. Using a mask in which lines in the Y-direction line of 40 nm are arranged, the second exposure is performed by dipole illumination suitable for this, and baked (PEB) for 60 seconds at the temperature shown in Table 7 after the exposure, and butyl acetate is removed from the developing nozzle. The resultant was discharged while rotating at 30 rpm for 3 seconds, and then a stop puddle phenomenon was performed for 27 seconds, rinsed with diisoam ether, spin-dried, and baked at 100 ° C. for 20 seconds to evaporate the rinse solvent.

The size of the 50 inverted hole patterns of the solvent development was measured by Hitachi High Technologies Co., Ltd. TDSEM (S-9380), and the dimensional variation of 3σ was determined. The results are shown in Table 7.

Figure pat00066

ArF  Exposure Patterning  Evaluation (6)

The resist composition shown in Table 8 was made into a silicon wafer by a Shin-Etsu Chemical Co., Ltd. spin-on carbon film ODL-50 (carbon content is 80 mass%) 200 nm, on which silicon-containing spin-on hard mask SHB- A940 (silicon content of 43 mass%) was spin-coated on a substrate for a trilayer process formed with a film thickness of 35 nm, baked at 100 ° C. for 60 seconds using a hot plate, and the thickness of the resist film was 100 nm. I did it.

ArF excimer laser immersion scanner manufactured by Nikon Corporation, NSR-610C, NA 1.30, sigma 0.98 / 0.78, dipole aperture 20 degrees, azimuth polarized light illumination, 6% halftone phase shift mask, wafer on-dimension pitch 90 nm, 2 consecutive exposures of the X dipole and the Y dipole were performed at the same place while varying the exposure amount using a mask having a pattern shown in FIG. 7 having a width of 55 nm, and baked for 60 seconds at the temperature shown in Table 8 after the exposure. (PEB), the solvent shown in Table 8 was discharged from the developing nozzle while rotating at 30 rpm for 3 seconds, and then the stop puddle development was performed for 27 seconds, rinsed with diisoam ether, spin-dried, and dried at 100 ° C for 20 seconds. Bake to evaporate the rinse solvent.

Image of solvent development The dimension of the inverted hole pattern was measured by TDSEM (S-9380) manufactured by Hitachi High Technologies Co., Ltd. to obtain a focus margin (DoF) of 40 nm ± 5 nm. The dimensions of 50 holes in the same exposure amount and the same focus shot were measured, and the dimensional variation of 3σ was determined. The results are shown in Table 8.

Figure pat00067

In addition, this invention is not limited to the said embodiment. The said embodiment is an illustration, It has the structure substantially the same as the technical idea described in the claim of this invention, and what exhibits the same effect is included in the technical scope of this invention.

10: substrate 20: substrate to be processed
30: intermediate layer 40: resist film

Claims (13)

A resist composition containing a vinyl alcohol substituted with an acid labile group, maleic anhydride or maleimide, or both, as a repeating unit, an acid generator, and an organic solvent is applied onto a substrate, and subjected to high energy radiation after heat treatment. Exposing the resist film, and dissolving the unexposed portion using a developer by an organic solvent after the heat treatment to obtain a negative pattern in which the exposed portion is not dissolved. The pattern forming method according to claim 1, wherein the repeating units of vinyl alcohol substituted with an acid labile group and maleic anhydride or maleimide or both are represented by the following general formula (1).
Figure pat00068

(Wherein, R 1 is acid labile groups, X is an oxygen atom or NR 2. R 2 represents a hydrogen atom, a hydroxy group, or a straight-chain, branched, or an alkyl group of a cyclic group having 1 to 6 carbon atoms, the alkyl group is a hydroxy group, It may have 1 or 2 or more groups selected from an ether group, an ester group, a carbonyl group, and an acid labile group: 0 <a1 <1.0, 0 <a2 <1.0, 0 <a1 + a2≤1.0.)
3. A resist according to claim 2, wherein the polymer comprises a vinyl alcohol substituted with an acid labile group represented by the formula (1), maleic anhydride or maleimide, or both, as a repeating unit, an acid generator, and an organic solvent. The composition is applied onto a substrate, a protective film is formed after the heat treatment, the resist film is exposed with a high energy ray, and after the heat treatment, the protective film and the unexposed portion are dissolved by using a developer with an organic solvent, and the negative portion of the exposed portion is not dissolved. A pattern formation method characterized by obtaining a mold pattern. The acid generator according to claim 1, wherein the acid generator is an acid generator in which a sulfonic acid, imide acid or methic acid in which the α position is substituted with fluorine is generated, and a sulfonic acid or fluorine in which the α position is not substituted with fluorine or unsubstituted. A pattern forming method comprising both of sulfonates of acids. The developer according to claim 1, wherein the developer is 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methyl Cyclohexanone, acetophenone, methyl acetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, and isoam formate Methyl valerate, methyl pentenate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, lactic acid Isoamyl, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenyl acetate, benzyl formate, phenyl ethyl formate, methyl 3-phenylpropionate, Propionic acid benzyl, phenyl ethyl acetate, and the pattern forming method, characterized in that at least one member selected from acetic acid 2-phenylethyl. The pattern forming method according to claim 1, wherein the exposure by the high energy ray is immersion lithography using an ArF excimer laser having a wavelength of 193 nm, EUV lithography having a wavelength of 13.5 nm, or an electron beam. The immersion lithography by ArF excimer laser of 193 nm in wavelength WHEREIN: The hole pattern after image development is formed in a dot part using the halftone phase shift mask in which the shifter pattern of a dot was arrange | positioned, Pattern formation method. The pattern forming method according to claim 1, wherein the half-tone phase shift mask is used to perform two exposures of two intersecting lines, and a hole pattern after development is formed at an intersection of the lines. The pattern formation method according to claim 1, wherein a halftone phase shift mask is used to form a hole pattern after development at an intersection point of the grating shifter grating. The pattern formation according to claim 1, wherein instead of containing the acid generator, a polymer compound obtained by copolymerizing any repeating unit of the sulfonium salts (e1) to (e3) represented by the following formula with the polymer compound is used. Way.
Figure pat00069

(In the formula, R 20, R 24, R 28 is a hydrogen atom or a methyl group, R 21 represents a single bond, phenylene group, -OR 33 -, or -C (= O) -YR 33 - . Y is an oxygen atom or NH R <33> is a C1-C6 linear, branched or cyclic alkylene group, alkenylene group, or phenylene group, and carbonyl group (-CO-), ester group (-COO-), ether group (-O-) R 22 , R 23 , R 25 , R 26 , R 27 , R 29 , R 30 , and R 31 may be the same or different linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms. And may contain a carbonyl group, an ester group or an ether group, or represent an aryl group having 6 to 12 carbon atoms, an aralkyl group or a thiophenyl group having 7 to 20 carbon atoms, Z 0 represents a single bond, a methylene group, an ethylene group, a phenylene group , A fluorinated phenylene group, -OR 32 -or -C (= O) -Z 1 -R 32 -Z 1 is an oxygen atom or NH, R 32 is a linear, branched or cyclic having 1 to 6 carbon atoms egg Group, an alkenylene group or a phenylene group, a carbonyl group may contain an ester group, an ether group or a hydroxyl group M -.. Represents a non-nucleophilic counter ion 0≤e1≤0.3, 0≤e2≤0.3, 0≤e3 ≤ 0.3, 0 <e1 + e2 + e3 ≤ 0.3.)
2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methyl aceto Phenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valeric acid, methyl pentate, Methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, isoamyl lactate, 2-hydroxyiso Methyl butyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenyl acetate, benzyl formate, phenylethyl formate, 3-phenylpropionate, benzyl propionate, phenylacet A polymer which is soluble in a developer selected from ethyl and 2-phenylethyl acetate and has a repeating unit of vinyl alcohol substituted with an acid labile group represented by the following formula (1) and maleic anhydride or maleimide, or both of a1 and a2. A compound, an acid generator, and the organic solvent are contained, The resist composition for negative pattern formation characterized by the above-mentioned.
Figure pat00070

(Wherein, R 1 is acid labile groups, X is an oxygen atom or NR 2. R 2 represents a hydrogen atom, a hydroxy group, or a straight-chain, branched, or an alkyl group of a cyclic group having 1 to 6 carbon atoms, the alkyl group is a hydroxy group, It may have 1 or 2 or more groups selected from an ether group, an ester group, a carbonyl group, and an acid labile group: 0 <a1 <1.0, 0 <a2 <1.0, 0 <a1 + a2≤1.0.)
The negative pattern of Claim 11 using the high molecular compound which copolymerized the repeating unit of sulfonium salt (e1)-(e3) represented by the following formula with the said high molecular compound instead of containing an acid generator. Formation resist composition.
Figure pat00071

(In the formula, R 20, R 24, R 28 is a hydrogen atom or a methyl group, R 21 represents a single bond, phenylene group, -OR 33 -, or -C (= O) -YR 33 - . Y is an oxygen atom or NH R <33> is a C1-C6 linear, branched or cyclic alkylene group, alkenylene group, or phenylene group, and carbonyl group (-CO-), ester group (-COO-), ether group (-O-) R 22 , R 23 , R 25 , R 26 , R 27 , R 29 , R 30 , and R 31 may be the same or different linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms. And may contain a carbonyl group, an ester group or an ether group, or represent an aryl group having 6 to 12 carbon atoms, an aralkyl group or a thiophenyl group having 7 to 20 carbon atoms, Z 0 represents a single bond, a methylene group, an ethylene group, a phenylene group , A fluorinated phenylene group, -OR 32 -or -C (= O) -Z 1 -R 32 -Z 1 is an oxygen atom or NH, R 32 is a linear, branched or cyclic having 1 to 6 carbon atoms egg Group, an alkenylene group or a phenylene group, a carbonyl group may contain an ester group, an ether group or a hydroxyl group M -.. Represents a non-nucleophilic counter ion 0≤e1≤0.3, 0≤e2≤0.3, 0≤e3 ≤ 0.3, 0 <e1 + e2 + e3 ≤ 0.3.)
The acid generator according to claim 11, wherein the acid generator is an acid generator in which a sulfonic acid, imide acid, or methic acid in which the α position is substituted with fluorine and a sulfonic acid or fluorine in which the α position is not substituted with fluorine or unsubstituted The resist composition for negative pattern formation containing both the sulfonates of an acid.
KR1020120102114A 2011-09-16 2012-09-14 Patterning process and resist composition KR101622000B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2011-202930 2011-09-16
JP2011202930 2011-09-16

Publications (2)

Publication Number Publication Date
KR20130030227A true KR20130030227A (en) 2013-03-26
KR101622000B1 KR101622000B1 (en) 2016-05-17

Family

ID=47880971

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120102114A KR101622000B1 (en) 2011-09-16 2012-09-14 Patterning process and resist composition

Country Status (4)

Country Link
US (1) US8865390B2 (en)
JP (1) JP5780222B2 (en)
KR (1) KR101622000B1 (en)
TW (1) TWI531862B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180107751A (en) * 2017-03-22 2018-10-02 신에쓰 가가꾸 고교 가부시끼가이샤 Sulfonium salt, resist composition, and patterning process

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5836031B2 (en) * 2011-09-22 2015-12-24 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, and resist film, pattern forming method, and electronic device manufacturing method using the same
JP5692035B2 (en) * 2011-12-15 2015-04-01 信越化学工業株式会社 Pattern forming method and resist composition
JP5642731B2 (en) * 2012-04-27 2014-12-17 信越化学工業株式会社 Pattern formation method
KR101507826B1 (en) * 2013-04-24 2015-04-07 금호석유화학 주식회사 Novel monomer, polymer and resist composition comprising the same
JP2015045702A (en) * 2013-08-27 2015-03-12 富士フイルム株式会社 Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition, resist film using the composition, method for manufacturing electronic device, and electronic device
JP6459759B2 (en) * 2014-05-26 2019-01-30 信越化学工業株式会社 Pattern forming method and shrink agent
JP6455369B2 (en) * 2014-10-30 2019-01-23 信越化学工業株式会社 Pattern forming method and shrink agent
JP6455370B2 (en) * 2014-10-30 2019-01-23 信越化学工業株式会社 Pattern forming method and shrink agent
JP2016141796A (en) * 2015-02-05 2016-08-08 信越化学工業株式会社 Polymer, resist material, and patterning process
KR102128536B1 (en) * 2017-07-04 2020-06-30 주식회사 엘지화학 POSITIVE-WORKING PHOTORESIST COMPOSITION, PATTERN USING THE SAME, and MANUFACTURING METHOD OF THE PATTERN
US11393694B2 (en) * 2018-11-13 2022-07-19 Tokyo Electron Limited Method for planarization of organic films

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4720445A (en) * 1986-02-18 1988-01-19 Allied Corporation Copolymers from maleimide and aliphatic vinyl ethers and esters used in positive photoresist
JP3991462B2 (en) 1997-08-18 2007-10-17 Jsr株式会社 Radiation sensitive resin composition
KR100551653B1 (en) 1997-08-18 2006-05-25 제이에스알 가부시끼가이샤 Radiation Sensitive Resin Composition
JP3790649B2 (en) 1999-12-10 2006-06-28 信越化学工業株式会社 Resist material
JP4190167B2 (en) * 2000-09-26 2008-12-03 富士フイルム株式会社 Positive resist composition
JP4025074B2 (en) * 2001-09-19 2007-12-19 富士フイルム株式会社 Positive resist composition
US20040009429A1 (en) * 2002-01-10 2004-01-15 Fuji Photo Film Co., Ltd. Positive-working photosensitive composition
JP2003330194A (en) * 2002-05-14 2003-11-19 Fuji Photo Film Co Ltd Positive photosensitive composition
JP2004102019A (en) * 2002-09-11 2004-04-02 Fuji Photo Film Co Ltd Positive type resist composition
JP4538631B2 (en) * 2005-02-14 2010-09-08 国立大学法人横浜国立大学 Reaction development image forming method
US8323872B2 (en) 2005-06-15 2012-12-04 Shin-Etsu Chemical Co., Ltd. Resist protective coating material and patterning process
JP4662062B2 (en) 2005-06-15 2011-03-30 信越化学工業株式会社 Resist protective film material and pattern forming method
JP4842844B2 (en) 2006-04-04 2011-12-21 信越化学工業株式会社 Resist material and pattern forming method using the same
US7771913B2 (en) 2006-04-04 2010-08-10 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process using the same
JP4763511B2 (en) 2006-05-26 2011-08-31 信越化学工業株式会社 Resist protective film material and pattern forming method
JP4861237B2 (en) 2006-05-26 2012-01-25 信越化学工業株式会社 Resist protective film material and pattern forming method
US7759047B2 (en) 2006-05-26 2010-07-20 Shin-Etsu Chemical Co., Ltd. Resist protective film composition and patterning process
JP4866688B2 (en) * 2006-09-04 2012-02-01 富士フイルム株式会社 Positive resist composition, resin used for positive resist composition, compound used for synthesis of resin, and pattern forming method using positive resist composition
KR101116963B1 (en) 2006-10-04 2012-03-14 신에쓰 가가꾸 고교 가부시끼가이샤 Polymer, Resist Composition, and Patterning Process
JP4858714B2 (en) 2006-10-04 2012-01-18 信越化学工業株式会社 Polymer compound, resist material, and pattern forming method
JP4849267B2 (en) 2006-10-17 2012-01-11 信越化学工業株式会社 Resist material and pattern forming method using the same
KR101242332B1 (en) 2006-10-17 2013-03-12 신에쓰 가가꾸 고교 가부시끼가이샤 Resist Composition and Patterning Process
JP4554665B2 (en) 2006-12-25 2010-09-29 富士フイルム株式会社 PATTERN FORMATION METHOD, POSITIVE RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED FOR THE PATTERN FORMATION METHOD, NEGATIVE DEVELOPMENT SOLUTION USED FOR THE PATTERN FORMATION METHOD, AND NEGATIVE DEVELOPMENT RINSE SOLUTION USED FOR THE PATTERN FORMATION METHOD
JP4355725B2 (en) 2006-12-25 2009-11-04 信越化学工業株式会社 Positive resist material and pattern forming method
JP5011018B2 (en) 2007-04-13 2012-08-29 富士フイルム株式会社 Pattern formation method
JP5002379B2 (en) 2007-04-13 2012-08-15 富士フイルム株式会社 Pattern formation method
US8034547B2 (en) 2007-04-13 2011-10-11 Fujifilm Corporation Pattern forming method, resist composition to be used in the pattern forming method, negative developing solution to be used in the pattern forming method and rinsing solution for negative development to be used in the pattern forming method
JP4982288B2 (en) 2007-04-13 2012-07-25 富士フイルム株式会社 Pattern formation method
JP4617337B2 (en) 2007-06-12 2011-01-26 富士フイルム株式会社 Pattern formation method
JP4590431B2 (en) * 2007-06-12 2010-12-01 富士フイルム株式会社 Pattern formation method
JP5171491B2 (en) * 2007-09-04 2013-03-27 富士フイルム株式会社 Positive resist composition and pattern forming method using the same
JP4998746B2 (en) * 2008-04-24 2012-08-15 信越化学工業株式会社 Polymer compound containing sulfonium salt, resist material, and pattern forming method
JP4826840B2 (en) * 2009-01-15 2011-11-30 信越化学工業株式会社 Pattern formation method
JP4826846B2 (en) * 2009-02-12 2011-11-30 信越化学工業株式会社 Pattern formation method
JP5440468B2 (en) 2010-01-20 2014-03-12 信越化学工業株式会社 Pattern formation method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180107751A (en) * 2017-03-22 2018-10-02 신에쓰 가가꾸 고교 가부시끼가이샤 Sulfonium salt, resist composition, and patterning process

Also Published As

Publication number Publication date
JP2013076990A (en) 2013-04-25
TW201319745A (en) 2013-05-16
TWI531862B (en) 2016-05-01
KR101622000B1 (en) 2016-05-17
US8865390B2 (en) 2014-10-21
US20130071788A1 (en) 2013-03-21
JP5780222B2 (en) 2015-09-16

Similar Documents

Publication Publication Date Title
JP5772717B2 (en) Pattern formation method
JP5440468B2 (en) Pattern formation method
JP5835148B2 (en) Pattern forming method and resist composition
JP5807510B2 (en) Pattern forming method and resist composition
JP5842741B2 (en) Pattern forming method and resist composition
JP5664509B2 (en) Pattern formation method
JP5828325B2 (en) Pattern formation method
JP5533821B2 (en) Pattern forming method and resist composition
JP5780222B2 (en) Pattern formation method
JP5807552B2 (en) Pattern forming method and resist composition
JP6115322B2 (en) Pattern formation method
KR20120005387A (en) Patterning process
KR20120082826A (en) Patterning process and resist composition
JP5817650B2 (en) Pattern forming method and resist composition
JP6237551B2 (en) Resist composition and pattern forming method
KR20140024220A (en) Patterning process and resist composition
JP5672161B2 (en) Pattern formation method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190429

Year of fee payment: 4