KR20120134046A - Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition - Google Patents

Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition Download PDF

Info

Publication number
KR20120134046A
KR20120134046A KR1020120056565A KR20120056565A KR20120134046A KR 20120134046 A KR20120134046 A KR 20120134046A KR 1020120056565 A KR1020120056565 A KR 1020120056565A KR 20120056565 A KR20120056565 A KR 20120056565A KR 20120134046 A KR20120134046 A KR 20120134046A
Authority
KR
South Korea
Prior art keywords
group
acid
carbon atoms
chemically amplified
substituted
Prior art date
Application number
KR1020120056565A
Other languages
Korean (ko)
Other versions
KR101782172B1 (en
Inventor
마사요시 사게하시
요우이찌 오사와
고지 하세가와
도모히로 고바야시
Original Assignee
신에쓰 가가꾸 고교 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2011120457A external-priority patent/JP5491450B2/en
Application filed by 신에쓰 가가꾸 고교 가부시끼가이샤 filed Critical 신에쓰 가가꾸 고교 가부시끼가이샤
Priority to KR1020120056565A priority Critical patent/KR101782172B1/en
Publication of KR20120134046A publication Critical patent/KR20120134046A/en
Application granted granted Critical
Publication of KR101782172B1 publication Critical patent/KR101782172B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • C08F220/382Esters containing sulfur and containing oxygen, e.g. 2-sulfoethyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2/00Processes of polymerisation
    • C08F2/44Polymerisation in the presence of compounding ingredients, e.g. plasticisers, dyestuffs, fillers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/111Polymer of unsaturated acid or ester

Abstract

PURPOSE: A polymer compound is provided to form a pattern with excellent resolution and excellent rectangular ability and to obtain a resist material with good adhesion as a substrate. CONSTITUTION: A polymer compound contains a repeating unit in chemical formula 1. In chemical formula 1, R^1 is a hydrogen, fluorine, methyl, or trifluoromethyl, R^2, R^3 and R^4 is selected from a substituted or unsubstituted C1-10 linear, branched or cyclic alkyl, alkenyl and oxoalkyl or can form a ring with a sulfur atom combined with two or more of R^2, R^3 and R^4, X^1 is O or CH2, A^1 is a C1-10 linear, branched or cyclic divalent hydrocarbon group, B^1 is C1-10 alkylene or C6-18 arylene which can comprise hetero atoms except fluorine, and k^1 is 0 or 1.

Description

고분자 화합물, 화학 증폭 레지스트 재료, 이 화학 증폭 레지스트 재료를 사용한 패턴 형성 방법{POLYMER, CHEMICALLY AMPLIFIED RESIST COMPOSITION, AND PATTERNING PROCESS USING SAID CHEMICALLY AMPLIFIED RESIST COMPOSITION}Polymer compound, chemically amplified resist material, pattern formation method using this chemically amplified resist material {POLYMER, CHEMICALLY AMPLIFIED RESIST COMPOSITION, AND PATTERNING PROCESS USING SAID CHEMICALLY AMPLIFIED RESIST COMPOSITION}

본 발명은, (1) 고분자 화합물, (2) 이 고분자 화합물을 함유하는 화학 증폭 레지스트 재료 및 (3) 이 화학 증폭 레지스트 재료를 사용한 패턴 형성 방법에 관한 것이다. 또한, 본 발명에서 고에너지선이란, 자외선, 원자외선, 전자선, EUV, X선, 엑시머 레이저, γ선, 싱크로트론 방사선을 포함하는 것이다.The present invention relates to (1) a polymer compound, (2) a chemically amplified resist material containing this polymer compound, and (3) a pattern formation method using this chemically amplified resist material. In the present invention, the high energy ray includes ultraviolet rays, far ultraviolet rays, electron beams, EUV, X-rays, excimer lasers, gamma rays, and synchrotron radiation.

최근, LSI의 고집적화와 고속도화에 따라 패턴룰의 미세화가 요구되고 있는 가운데, 차세대의 미세 가공 기술로서 원자외선 리소그래피 및 진공 자외선 리소그래피가 유망시되고 있다. 그 중에서도 ArF 엑시머 레이저광을 광원으로 한 포토리소그래피는 0.13 ㎛ 이하의 초미세 가공에 불가결한 기술이다.In recent years, finer pattern rule has been demanded due to higher integration and higher speed of LSI, and far ultraviolet lithography and vacuum ultraviolet lithography are promising as the next generation fine processing technology. Among them, photolithography using ArF excimer laser light as a light source is an indispensable technique for ultrafine processing of 0.13 µm or less.

ArF 리소그래피는 130 nm 노드의 디바이스 제작으로부터 부분적으로 사용되기 시작하여, 90 nm 노드 디바이스로부터는 주된 리소그래피 기술이 되었다. 다음의 45 nm 노드의 리소그래피 기술로서, 당초 F2 레이저를 사용한 157 nm 리소그래피가 유망시되었지만, 여러 문제에 의한 개발 지연이 지적되었기 때문에, 투영 렌즈와 웨이퍼 사이에 물, 에틸렌글리콜, 글리세린 등의 공기보다 굴절률이 높은 액체를 삽입함으로써, 투영 렌즈의 개구수(NA)를 1.0 이상으로 설계할 수 있으며, 고해상도를 달성할 수 있는 ArF 액침 리소그래피가 급부상하여(예를 들면, 비특허문헌 1 참조), 실용 단계에 있다. 이 액침 리소그래피를 위해서는, 물에 용출되기 어려운 레지스트 재료가 요구된다.ArF lithography began to be used in part from device fabrication of 130 nm nodes, becoming a major lithography technique from 90 nm node devices. As a lithography technique for the next 45 nm node, 157 nm lithography using the F 2 laser was initially promising, but development delays due to various problems have been pointed out, so that air such as water, ethylene glycol, glycerin, etc. between the projection lens and the wafer has been pointed out. By inserting a liquid with a higher refractive index, the numerical aperture (NA) of the projection lens can be designed to 1.0 or more, and ArF immersion lithography capable of achieving a high resolution suddenly emerges (for example, see Non-Patent Document 1). It is in the practical stage. For this immersion lithography, a resist material which is hard to elute in water is required.

ArF 리소그래피에서는, 정밀하면서도 고가의 광학계 재료의 열화를 방지하기 위해, 적은 노광량으로 충분한 해상성을 발휘할 수 있는 감도가 높은 레지스트 재료가 요구되고 있으며, 실현하는 방책으로서는, 그의 각 성분으로서 파장 193 nm에서 고투명인 것을 선택하는 것이 가장 일반적이다. 예를 들면 베이스 수지에 대해서는, 폴리아크릴산 및 그의 유도체, 노르보르넨-무수 말레산 교호 중합체, 폴리노르보르넨 및 개환 복분해 중합체, 개환 복분해 중합체 수소 첨가물 등이 제안되어 있으며, 수지 단체의 투명성을 높이는 점에서는 어느 정도의 성과를 얻었다.In ArF lithography, in order to prevent deterioration of an accurate and expensive optical material, a highly sensitive resist material capable of exhibiting sufficient resolution with a small exposure amount is required. As a measure to realize, each component thereof has a wavelength of 193 nm. It is most common to choose something that is highly transparent. For example, with respect to the base resin, polyacrylic acid and its derivatives, norbornene-maleic anhydride alternating polymers, polynorbornene and ring-opening metathesis polymers, ring-opening metathesis polymers, hydrogenated additives, and the like have been proposed. In terms of performance, some results were obtained.

또한, 고해상도를 달성하기 위해 광산발생제나 첨가제도 다양한 검토가 이루어져 있다. 예를 들면, 노광에 의해 발생한 산의 확산을 제어하기 위해, 아민 등의 염기성 화합물을 켄처로서 첨가함으로써 고해상이 얻어진다는 것이 일반적으로 알려져 있다. 그 이외에, 광산발생제를 2종 이상 혼합하여 사용하여, 한쪽 광산발생제가 소위 약산을 발생하는 오늄염인 경우, 산 확산 제어의 기능을 갖게 할 수도 있다(특허문헌 1, 특허문헌 2). 즉, 불소 치환된 술폰산과 같은 강산을 발생하는 오늄염과, 불소 치환되어 있지 않은 술폰산이나, 카르복실산과 같은 약산을 발생하는 오늄염을 혼합하여 사용한 경우, 고에너지선 조사에 의해 광산발생제로부터 발생한 강산이 미반응된 약산 음이온을 갖는 오늄염과 충돌하면 염 교환에 의해 약산을 방출하고, 강산 음이온을 갖는 오늄염을 발생시킨다. 이 과정에서 강산이 보다 촉매능이 낮은 약산으로 교환되기 때문에 외관상, 산이 실활하여 산 확산의 제어를 행할 수 있다. 여기서 강산을 발생하는 광산발생제가 오늄염인 경우에는 고에너지선 조사에 의해 발생한 강산을 약산으로 교환할 수는 있지만, 고에너지선 조사에 의해 발생한 약산은 미반응된 강산을 발생하는 오늄염과 충돌하여 염 교환을 행할 수는 없다. 이들은 오늄 양이온이 강산의 음이온과 보다 이온쌍을 형성하기 쉽다는 현상에 기인한다. 그러나, 약산을 발생하는 오늄염 자체가 레지스트 재료 중에서 이동성, 확산성을 갖기 때문에, 리소그래피 특성에 주는 영향이나 액침액 중으로의 용출 등, 고해상의 달성이라는 점에서 과제가 남는다.In addition, various studies have been made on photoacid generators and additives to achieve high resolution. For example, in order to control the diffusion of the acid generated by exposure, it is generally known that high resolution is obtained by adding a basic compound such as an amine as a quencher. In addition, two or more kinds of photoacid generators may be mixed and used, and when one photoacid generator is an onium salt that generates a so-called weak acid, it may be possible to have a function of acid diffusion control (Patent Document 1, Patent Document 2). That is, when an onium salt that generates a strong acid such as a fluorine-substituted sulfonic acid and an onium salt that generates a weak acid such as a fluorine-free sulfonic acid or a carboxylic acid are used in combination with a photoacid generator by high energy ray irradiation. When the generated strong acid collides with the onium salt having an unreacted weak acid anion, the weak acid is released by salt exchange to generate an onium salt having a strong acid anion. In this process, the strong acid is exchanged with a weaker acid having a lower catalytic ability, so that the acid is deactivated in appearance and the acid diffusion can be controlled. Where the photoacid generator that generates the strong acid is an onium salt, the strong acid generated by the high energy ray irradiation may be replaced with a weak acid, but the weak acid generated by the high energy ray irradiation collides with the onium salt that generates the unreacted strong acid. Salt exchange is not possible. These are due to the phenomenon that onium cations are more likely to form ion pairs with anions of strong acids. However, since the onium salt itself, which generates a weak acid, has mobility and diffusivity in the resist material, a problem remains in that high resolution is achieved, such as an effect on lithography characteristics and elution into an immersion liquid.

또한, 약산의 음이온이 수지 중에 결합한 수지 결합형의 오늄염이 개발되어 있다(특허문헌 3,특허문헌 4). 이에 따라 약산 오늄염의 이동, 확산을 제어하는 것이 가능해졌지만, 약산 음이온이 첨가된 레지스트에서 종종 관찰되는 레지스트 패턴의 기판으로부터의 박리 등의 결함의 극복에는 아직 과제가 남는다.Moreover, the onium salt of the resin bond type which the anion of weak acid couple | bonded in resin is developed (patent document 3, patent document 4). As a result, it is possible to control the movement and diffusion of the weak acid onium salt, but there is still a problem in overcoming defects such as peeling from the substrate of the resist pattern, which is often observed in the resist to which the weak acid anion is added.

일본 특허 공개 제2010-155824호 공보Japanese Patent Laid-Open No. 2010-155824 일본 특허 공개 제2008-158339호 공보Japanese Patent Publication No. 2008-158339 WO 2010119910WO 2010119910 일본 특허 공개 제2011-37834호 공보Japanese Patent Laid-Open No. 2011-37834

Journal of photopolymer Science and Technology Vol.17, No.4, p587(2004) Journal of photopolymer Science and Technology Vol. 17, No. 4, p 587 (2004)

본 발명은 상기 사정을 감안하여 이루어진 것이며, ArF 엑시머 레이저광, EUV 등의 고에너지선을 광원으로 한 포토리소그래피에 있어서, 해상성이 우수하고, 특히 패턴 형상의 직사각형성이 우수한 패턴을 형성할 수 있으며, 기판으로의 적절한 밀착성을 갖는 레지스트 재료를 얻을 수 있는 고분자 화합물, 상기 고분자 화합물을 함유하는 화학 증폭 레지스트 재료 및 이 화학 증폭 레지스트 재료를 사용한 패턴 형성 방법을 제공하는 것을 목적으로 한다.This invention is made | formed in view of the said situation, and in photolithography which used the high energy ray, such as ArF excimer laser beam and EUV, as a light source, it is possible to form the pattern which is excellent in the resolution and especially the pattern-shaped rectangularity. An object of the present invention is to provide a polymer compound capable of obtaining a resist material having appropriate adhesion to a substrate, a chemically amplified resist material containing the polymer compound, and a pattern forming method using the chemically amplified resist material.

상기 과제를 해결하기 위해 본 발명에 따르면, 하기 화학식 1로 표시되는 반복 단위를 함유하는 고분자 화합물을 제공한다.According to the present invention to solve the above problems, there is provided a polymer compound containing a repeating unit represented by the following formula (1).

Figure pat00001
Figure pat00001

(식 중, R1은 수소 원자, 불소 원자, 메틸기 및 트리플루오로메틸기 중 어느 하나를 나타내고, R2, R3 및 R4는 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기, 알케닐기 및 옥소알킬기 중 어느 하나, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 및 아릴옥소알킬기 중 어느 하나를 나타내거나, 또는 R2, R3 및 R4 중 어느 2개 이상이 서로 결합하여 식 중의 황 원자와 함께 환을 형성할 수도 있고, X1은 O 또는 CH2를 나타내고, A1은 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 2가 탄화수소기를 나타내고, B1은 불소를 제외한 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 10의 알킬렌기 또는 탄소수 6 내지 18의 아릴렌기를 나타내고, k1은 0 또는 1의 정수를 나타냄)(Wherein R 1 represents any one of a hydrogen atom, a fluorine atom, a methyl group and a trifluoromethyl group, and R 2 , R 3 and R 4 each independently represent a substituted or unsubstituted straight chain having 1 to 10 carbon atoms, Any of branched or cyclic alkyl, alkenyl and oxoalkyl groups, or a substituted or unsubstituted aryl group having 6 to 18 carbon atoms, an aralkyl group and an aryloxoalkyl group, or R 2 , R 3 and Any two or more of R 4 may be bonded to each other to form a ring together with a sulfur atom in the formula, X 1 represents O or CH 2 , and A 1 is linear, branched or cyclic having 1 to 10 carbon atoms. Represents a divalent hydrocarbon group, B 1 represents an alkylene group having 1 to 10 carbon atoms or an arylene group having 6 to 18 carbon atoms, which may include a hetero atom other than fluorine, and k 1 represents an integer of 0 or 1)

상기 화학식 1로 표시되는 반복 단위는 B1이 불소 치환되어 있지 않은 술폰산의 술포늄염 구조를 갖고 있으며, 락톤 구조를 갖고 있다. 그 때문에, 이러한 반복 단위를 갖는 고분자 화합물을 화학 증폭 레지스트 재료의 베이스 수지로서 사용한 경우, 산발생제로부터 발생한 강산의 이동, 확산을 적절하게 제어하는 것이 가능하고, 얻어지는 레지스트 패턴은 직사각형성이 우수하고, 패턴의 기판으로의 밀착성도 양호하다. 나아가서는 술포늄염의 음이온 성분의 용출이 낮기 때문에, 특히 액침 리소그래피 재료로서 바람직하게 사용할 수 있다.The repeating unit represented by the formula (1) has a sulfonium salt structure of sulfonic acid in which B 1 is not fluorine-substituted, and has a lactone structure. Therefore, when the polymer compound having such a repeating unit is used as the base resin of the chemically amplified resist material, it is possible to appropriately control the movement and diffusion of the strong acid generated from the acid generator, and the resulting resist pattern has excellent rectangularity. The adhesiveness to the board | substrate of a pattern is also favorable. Furthermore, since the elution of the anion component of a sulfonium salt is low, it can use especially suitably as an immersion lithography material.

또한, 상기 고분자 화합물은, 상기 화학식 1로 표시되는 반복 단위에 추가로 산불안정기를 갖는 반복 단위를 함유하는 것임이 바람직하다.Moreover, it is preferable that the said high molecular compound contains the repeating unit which has an acid labile group in addition to the repeating unit represented by the said General formula (1).

본 발명의 고분자 화합물 중에 포함되는 상기 화학식 1로 표시되는 반복 단위는, 산불안정 단위의 선택에 의해 산의 이동, 확산 제어의 기능뿐만 아니라, 그 자체가 산을 발생하는 단위로서 기능하는 것도 가능하다.The repeating unit represented by the formula (1) included in the polymer compound of the present invention may function not only as an acid migration and diffusion control function by selecting an acid labile unit, but also as a unit that generates acid itself. .

또한, 본 발명에서는 (A) 상기 고분자 화합물, (B) 유기 용제, (C) 광산발생제 및 (D) 염기성 화합물을 함유하는 것임을 특징으로 하는 화학 증폭 레지스트 재료를 제공한다.Moreover, this invention provides the chemically amplified resist material characterized by containing (A) the said high molecular compound, (B) organic solvent, (C) photoacid generator, and (D) basic compound.

이와 같이, 본 발명의 고분자 화합물을 함유하는 화학 증폭 레지스트 재료를 사용하면, 직사각형성이 우수하고, 기판으로의 밀착성이 양호한 레지스트 패턴을 얻을 수 있다.Thus, when the chemically amplified resist material containing the high molecular compound of this invention is used, the resist pattern excellent in rectangularity and favorable adhesiveness to a board | substrate can be obtained.

또한, 본 발명에서는, 상기 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법을 제공한다.In addition, the present invention includes a step of applying the chemically amplified resist material on a substrate, a step of exposing with a high energy ray through a photomask after heat treatment, and a step of developing using a developer. It provides a pattern formation method.

또한, 본 발명에서는, 상기 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 물에 불용이며 알칼리 현상액에 가용인 보호막을 도포하는 공정과, 상기 기판과 투영 렌즈 사이에 물을 삽입하여 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법을 제공한다.In the present invention, a step of applying the chemically amplified resist material on a substrate, a step of applying a protective film insoluble in water and soluble in an alkaline developer after heat treatment, and inserting water between the substrate and the projection lens It provides a pattern forming method comprising the step of exposing to a high energy ray through a photomask, and the step of developing using a developer.

이와 같이, 본 발명의 패턴 형성 방법이면, 직사각형성이 우수하고, 기판으로의 밀착성이 양호한 레지스트 패턴을 얻을 수 있다. 또한, 술포늄염의 음이온 성분의 용출이 낮기 때문에, 액침 리소그래피 재료에 바람직하게 사용할 수 있다.Thus, the resist pattern which is excellent in rectangularity and favorable adhesiveness to a board | substrate can be obtained by the pattern formation method of this invention. Moreover, since the elution of the anion component of a sulfonium salt is low, it can use suitably for immersion lithography materials.

본 발명의 특정 구조의 술폰산 음이온과 술포늄 양이온을 포함하는 술포늄염을 함유하는 고분자 화합물을 베이스 수지로서 사용한 화학 증폭 레지스트 재료는, 해상성, 특히 패턴 형상의 직사각형성이 우수하다. 또한, 반복 단위 중에 락톤 구조를 갖고 있기 때문에, 수지의 기판으로의 우수한 밀착성을 갖고 있고, 패턴 붕괴에 강하여 매우 유용하다.The chemically amplified resist material using a high molecular compound containing a sulfonium salt containing a sulfonate anion and a sulfonium cation having a specific structure of the present invention as a base resin is excellent in resolution, in particular in a pattern-shaped rectangularity. Moreover, since it has a lactone structure in a repeating unit, it has the outstanding adhesiveness to the board | substrate of resin, is strong in pattern collapse, and is very useful.

본 발명자들은 상기 목적을 달성하기 위해 예의 검토를 거듭한 결과, 하기 화학식 1로 표시되는 특정 구조의 술폰산 음이온의 반복 단위를 갖는 수지 조성물을 레지스트 베이스 수지로서 사용한 레지스트 재료가 레지스트의 해상성, 특히 패턴 형상의 직사각형성이 우수하고, 기판과의 밀착성도 우수하여 라인 앤드 스페이스 패턴 등의 박리가 적고, 레지스트 재료로서 정밀한 미세 가공에 매우 유효하다는 것을 지견하여, 본 발명을 완성하기에 이르렀다.MEANS TO SOLVE THE PROBLEM As a result of earnestly examining in order to achieve the said objective, the resist material which used the resin composition which has a repeating unit of the sulfonic acid anion of the specific structure represented by following General formula (1) as a resist base resin is the resolution of a resist, especially a pattern The present invention has been completed by finding that the rectangular shape of the shape is excellent, the adhesion to the substrate is excellent, the peeling of the line and space pattern, etc. is small, and it is very effective for precise microfabrication as a resist material.

이하, 본 발명의 고분자 화합물, 화학 증폭 레지스트 재료 및 패턴 형성 방법에 대하여 설명한다. 우선, 본 발명이 제공하는 고분자 화합물은 하기 화학식 1로 표시되는 반복 단위를 함유하는 것이다.EMBODIMENT OF THE INVENTION Hereinafter, the high molecular compound, the chemically amplified resist material, and the pattern formation method of this invention are demonstrated. First, the high molecular compound provided by this invention contains the repeating unit represented by following formula (1).

<화학식 1>&Lt; Formula 1 >

Figure pat00002
Figure pat00002

(식 중, R1은 수소 원자, 불소 원자, 메틸기 및 트리플루오로메틸기 중 어느 하나를 나타내고, R2, R3 및 R4는 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기, 알케닐기 및 옥소알킬기 중 어느 하나, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 및 아릴옥소알킬기 중 어느 하나를 나타내거나, 또는 R2, R3 및 R4 중 어느 2개 이상이 서로 결합하여 식 중의 황 원자와 함께 환을 형성할 수도 있고, X1은 O 또는 CH2를 나타내고, A1은 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 2가 탄화수소기를 나타내고, B1은 불소를 제외한 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 10의 알킬렌기 또는 탄소수 6 내지 18의 아릴렌기를 나타내고, k1은 0 또는 1의 정수를 나타냄)(Wherein R 1 represents any one of a hydrogen atom, a fluorine atom, a methyl group and a trifluoromethyl group, and R 2 , R 3 and R 4 each independently represent a substituted or unsubstituted straight chain having 1 to 10 carbon atoms, Any of branched or cyclic alkyl, alkenyl and oxoalkyl groups, or a substituted or unsubstituted aryl group having 6 to 18 carbon atoms, an aralkyl group and an aryloxoalkyl group, or R 2 , R 3 and Any two or more of R 4 may be bonded to each other to form a ring together with a sulfur atom in the formula, X 1 represents O or CH 2 , and A 1 is linear, branched or cyclic having 1 to 10 carbon atoms. Represents a divalent hydrocarbon group, B 1 represents an alkylene group having 1 to 10 carbon atoms or an arylene group having 6 to 18 carbon atoms, which may include a hetero atom other than fluorine, and k 1 represents an integer of 0 or 1)

상기 화학식 1 중, B1로 표시되는 불소를 제외한 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 10의 알킬렌기 또는 탄소수 6 내지 18의 아릴렌기로서, 구체적으로는 하기의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다. 또한, 본 발명에서 불소를 제외한 헤테로 원자란, 질소, 산소, 황 원자 등을 말한다.Although the following may specifically be illustrated as a C1-C10 alkylene group or a C6-C18 arylene group which may contain the hetero atom except the fluorine represented by B <1> in the said General formula (1), It is not limited. In addition, in this invention, the hetero atom except fluorine means nitrogen, oxygen, a sulfur atom, etc.

Figure pat00003
Figure pat00003

(식 중, 파선은 결합손을 나타냄)(Indicated by broken line in formula)

상기 화학식 1 중, R2, R3 및 R4로 표시되는 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기, 알케닐기 및 옥소알킬기 중 어느 하나, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 및 아릴옥소알킬기로서 구체적으로는, 치환 또는 비치환된 알킬기로서 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로프로필메틸기, 4-메틸시클로헥실기, 시클로헥실메틸기, 노르보르닐기, 아다만틸기 등을 들 수 있다.In Formula 1, any one of a substituted or unsubstituted linear, branched or cyclic alkyl group, alkenyl group and oxoalkyl group represented by R 2 , R 3 and R 4 , or substituted or unsubstituted Specifically, as the aryl group, aralkyl group and aryl oxoalkyl group having 6 to 18 carbon atoms, as the substituted or unsubstituted alkyl group, methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert- Butyl group, pentyl group, hexyl group, heptyl group, octyl group, cyclopentyl group, cyclohexyl group, cycloheptyl group, cyclopropylmethyl group, 4-methylcyclohexyl group, cyclohexylmethyl group, norbornyl group, adamantyl group, etc. Can be mentioned.

치환 또는 비치환된 알케닐기로서는, 비닐기, 알릴기, 프로페닐기, 부테닐기, 헥세닐기, 시클로헥세닐기 등을 들 수 있다.Examples of the substituted or unsubstituted alkenyl group include a vinyl group, allyl group, propenyl group, butenyl group, hexenyl group, cyclohexenyl group and the like.

치환 또는 비치환된 옥소알킬기로서는, 2-옥소시클로펜틸기, 2-옥소시클로헥실기, 2-옥소프로필기, 2-옥소에틸기, 2-시클로펜틸-2-옥소에틸기, 2-시클로헥실-2-옥소에틸기, 2-(4-메틸시클로헥실)-2-옥소에틸기 등을 들 수 있다.As a substituted or unsubstituted oxoalkyl group, 2-oxocyclopentyl group, 2-oxocyclohexyl group, 2-oxopropyl group, 2-oxoethyl group, 2-cyclopentyl-2-oxoethyl group, 2-cyclohexyl-2 -Oxoethyl group, 2- (4-methylcyclohexyl) -2-oxoethyl group, etc. are mentioned.

치환 또는 비치환된 아릴기로서는, 페닐기, 나프틸기, 티에닐기 등이나, 4-히드록시페닐기, 4-메톡시페닐기, 3-메톡시페닐기, 2-메톡시페닐기, 4-에톡시페닐기, 4-tert-부톡시페닐기, 3-tert-부톡시페닐기 등의 알콕시페닐기, 2-메틸페닐기, 3-메틸페닐기, 4-메틸페닐기, 4-에틸페닐기, 4-tert-부틸페닐기, 4-n-부틸페닐기, 2,4-디메틸페닐기 등의 알킬페닐기, 메틸나프틸기, 에틸나프틸기 등의 알킬나프틸기, 메톡시나프틸기, 에톡시나프틸기 등의 알콕시나프틸기, 디메틸나프틸기, 디에틸나프틸기 등의 디알킬나프틸기, 디메톡시나프틸기, 디에톡시나프틸기 등의 디알콕시나프틸기 등을 들 수 있다.As a substituted or unsubstituted aryl group, a phenyl group, a naphthyl group, thienyl group, etc., 4-hydroxyphenyl group, 4-methoxyphenyl group, 3-methoxyphenyl group, 2-methoxyphenyl group, 4-ethoxyphenyl group, 4 alkoxyphenyl groups such as -tert-butoxyphenyl group and 3-tert-butoxyphenyl group, 2-methylphenyl group, 3-methylphenyl group, 4-methylphenyl group, 4-ethylphenyl group, 4-tert-butylphenyl group, 4-n- Alkylphenyl groups such as butylphenyl group and 2,4-dimethylphenyl group, alkylnaphthyl groups such as methylnaphthyl group and ethyl naphthyl group, alkoxynaphthyl groups such as methoxynaphthyl group and ethoxynaphthyl group, dimethylnaphthyl group and diethylnaphthyl group And dialkoxy naphthyl groups such as dialkyl naphthyl groups, dimethoxynaphthyl groups and diethoxy naphthyl groups.

치환 또는 비치환된 아르알킬기로서는 벤질기, 1-페닐에틸기, 2-페닐에틸기 등을 들 수 있다.As a substituted or unsubstituted aralkyl group, a benzyl group, 1-phenylethyl group, 2-phenylethyl group, etc. are mentioned.

치환 또는 비치환된 아릴옥소알킬기로서는, 2-페닐-2-옥소에틸기, 2-(1-나프틸)-2-옥소에틸기, 2-(2-나프틸)-2-옥소에틸기 등의 2-아릴-2-옥소에틸기 등을 들 수 있다.Examples of the substituted or unsubstituted aryl oxoalkyl group include 2-phenyl-2-oxoethyl group, 2- (1-naphthyl) -2-oxoethyl group and 2- (2-naphthyl) -2-oxoethyl group. An aryl-2-oxoethyl group etc. are mentioned.

또한, R2, R3 및 R4 중 어느 2개 이상이 서로 결합하여 황 원자와 함께 환상 구조를 형성하는 경우에는, 구체적으로는 하기의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.In addition, when any two or more of R <2> , R <3> and R <4> combine with each other, and form a cyclic structure with a sulfur atom, the following can be illustrated concretely, It is not limited to this.

Figure pat00004
Figure pat00004

(식 중, R4는 상기와 동일함)(Wherein R 4 is the same as above)

보다 구체적으로 술포늄 양이온을 나타내면, 트리페닐술포늄, 4-히드록시페닐디페닐술포늄, 비스(4-히드록시페닐)페닐술포늄, 트리스(4-히드록시페닐)술포늄, 4-tert-부톡시페닐디페닐술포늄, 비스(4-tert-부톡시페닐)페닐술포늄, 트리스(4-tert-부톡시페닐)술포늄, 3-tert-부톡시페닐디페닐술포늄, 비스(3-tert-부톡시페닐)페닐술포늄, 트리스(3-tert-부톡시페닐)술포늄, 3,4-디-tert-부톡시페닐디페닐술포늄, 비스(3,4-디-tert-부톡시페닐)페닐술포늄, 트리스(3,4-디-tert-부톡시페닐)술포늄, 디페닐(4-티오페녹시페닐)술포늄, 4-tert-부톡시카르보닐메틸옥시페닐디페닐술포늄, 트리스(4-tert-부톡시카르보닐메틸옥시페닐)술포늄, (4-tert-부톡시페닐)비스(4-디메틸아미노페닐)술포늄, 트리스(4-디메틸아미노페닐)술포늄, 2-나프틸디페닐술포늄, (4-히드록시-3,5-디메틸페닐)디페닐술포늄, (4-n-헥실옥시-3,5-디메틸페닐)디페닐술포늄, 디메틸(2-나프틸)술포늄, 4-히드록시페닐디메틸술포늄, 4-메톡시페닐디메틸술포늄, 트리메틸술포늄, 2-옥소시클로헥실시클로헥실메틸술포늄, 트리나프틸술포늄, 트리벤질술포늄, 디페닐메틸술포늄, 디메틸페닐술포늄, 2-옥소-2-페닐에틸티아시클로펜타늄, 디페닐 2-티에닐술포늄, 4-n-부톡시나프틸-1-티아시클로펜타늄, 2-n-부톡시나프틸-1-티아시클로펜타늄, 4-메톡시나프틸-1-티아시클로펜타늄, 2-메톡시나프틸-1-티아시클로펜타늄 등을 들 수 있다. 보다 바람직하게는 트리페닐술포늄, 4-tert-부틸페닐디페닐술포늄, 4-tert-부톡시페닐디페닐술포늄, 트리스(4-tert-부틸페닐)술포늄, 트리스(4-tert-부톡시페닐)술포늄, 디메틸페닐술포늄 등을 들 수 있다.More specifically, when sulfonium cation is represented, triphenylsulfonium, 4-hydroxyphenyldiphenylsulfonium, bis (4-hydroxyphenyl) phenylsulfonium, tris (4-hydroxyphenyl) sulfonium, 4-tert -Butoxyphenyldiphenylsulfonium, bis (4-tert-butoxyphenyl) phenylsulfonium, tris (4-tert-butoxyphenyl) sulfonium, 3-tert-butoxyphenyldiphenylsulfonium, bis ( 3-tert-butoxyphenyl) phenylsulfonium, tris (3-tert-butoxyphenyl) sulfonium, 3,4-di-tert-butoxyphenyldiphenylsulfonium, bis (3,4-di-tert -Butoxyphenyl) phenylsulfonium, tris (3,4-di-tert-butoxyphenyl) sulfonium, diphenyl (4-thiophenoxyphenyl) sulfonium, 4-tert-butoxycarbonylmethyloxy Phenyldiphenylsulfonium, tris (4-tert-butoxycarbonylmethyloxyphenyl) sulfonium, (4-tert-butoxyphenyl) bis (4-dimethylaminophenyl) sulfonium, tris (4-dimethylaminophenyl ) Sulfonium, 2-naphthyldiphenylsulfonium, (4-hydroxy-3,5-dimethylphenyl) diphenyl Phonium, (4-n-hexyloxy-3,5-dimethylphenyl) diphenylsulfonium, dimethyl (2-naphthyl) sulfonium, 4-hydroxyphenyldimethylsulfonium, 4-methoxyphenyldimethylsulfonium , Trimethylsulfonium, 2-oxocyclohexylcyclohexylmethylsulfonium, trinaphthylsulfonium, tribenzylsulfonium, diphenylmethylsulfonium, dimethylphenylsulfonium, 2-oxo-2-phenylethylthiacyclopentanium , Diphenyl 2-thienylsulfonium, 4-n-butoxynaphthyl-1-thiacyclopentanium, 2-n-butoxynaphthyl-1-thiacyclopentanium, 4-methoxynaphthyl-1- Thiacyclopentanium, 2-methoxynaphthyl-1- thiacyclopentanium, and the like. More preferably triphenylsulfonium, 4-tert-butylphenyldiphenylsulfonium, 4-tert-butoxyphenyldiphenylsulfonium, tris (4-tert-butylphenyl) sulfonium, and tris (4-tert- Butoxyphenyl) sulfonium, dimethylphenylsulfonium, etc. are mentioned.

상기 화학식 1 중, A1로 표시되는 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 2가 탄화수소기로서, 구체적으로는 하기의 것을 예시할 수 있다.As said C1-C10 linear, branched or cyclic divalent hydrocarbon group represented by A <1> , the following can be illustrated specifically ,.

Figure pat00005
Figure pat00005

(식 중, 파선은 결합손을 나타냄)(Indicated by broken line in formula)

상기 화학식 1로 표시되는 반복 단위로서 구체적으로는 하기의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Although the following can be illustrated specifically as a repeating unit represented by the said Formula (1), It is not limited to this.

Figure pat00006
Figure pat00006

(식 중, R1은 상기와 동일함)(Wherein R 1 is the same as above)

Figure pat00007
Figure pat00007

(식 중, R1은 상기와 동일함)(Wherein R 1 is the same as above)

Figure pat00008
Figure pat00008

(식 중, R1은 상기와 동일함)(Wherein R 1 is the same as above)

Figure pat00009
Figure pat00009

(식 중, R1은 상기와 동일함)(Wherein R 1 is the same as above)

Figure pat00010
Figure pat00010

(식 중, R1은 상기와 동일함)(Wherein R 1 is the same as above)

Figure pat00011
Figure pat00011

(식 중, R1은 상기와 동일함)(Wherein R 1 is the same as above)

여기서, 본 발명의 고분자 화합물 중의 화학식 1로 표시되는 반복 단위를 얻기 위한 단량체는, 하기 화학식 (1a)로 표시되는 신규 물질이다.Here, the monomer for obtaining the repeating unit represented by General formula (1) in the high molecular compound of this invention is a novel substance represented by following General formula (1a).

Figure pat00012
Figure pat00012

(식 중, R1은 수소 원자, 불소 원자, 메틸기 및 트리플루오로메틸기 중 어느 하나를 나타내고, R2, R3 및 R4는 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기, 알케닐기 및 옥소알킬기 중 어느 하나, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 및 아릴옥소알킬기 중 어느 하나를 나타내거나, 또는 R2, R3 및 R4 중 어느 2개 이상이 서로 결합하여 식 중의 황 원자와 함께 환을 형성할 수도 있고, X1은 O 또는 CH2를 나타내고, A1은 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 2가 탄화수소기를 나타내고, B1은 불소를 제외한 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 10의 알킬렌기 또는 탄소수 6 내지 18의 아릴렌기를 나타내고, k1은 0 또는 1의 정수를 나타냄)(Wherein R 1 represents any one of a hydrogen atom, a fluorine atom, a methyl group and a trifluoromethyl group, and R 2 , R 3 and R 4 each independently represent a substituted or unsubstituted straight chain having 1 to 10 carbon atoms, Any of branched or cyclic alkyl, alkenyl and oxoalkyl groups, or a substituted or unsubstituted aryl group having 6 to 18 carbon atoms, an aralkyl group and an aryloxoalkyl group, or R 2 , R 3 and Any two or more of R 4 may be bonded to each other to form a ring together with a sulfur atom in the formula, X 1 represents O or CH 2 , and A 1 is linear, branched or cyclic having 1 to 10 carbon atoms. Represents a divalent hydrocarbon group, B 1 represents an alkylene group having 1 to 10 carbon atoms or an arylene group having 6 to 18 carbon atoms, which may include a hetero atom other than fluorine, and k 1 represents an integer of 0 or 1)

여기서, 단량체 (1a)를 얻기 위한 방법에 대하여 하기 반응식에 예시하지만, 이것으로 한정되는 것은 아니다. 이하, 식 중에서 사용되는 파선은 결합손을 나타낸다.Here, although the method for obtaining monomer (1a) is illustrated in the following reaction formula, it is not limited to this. Hereinafter, the broken line used in a formula represents a bond.

Figure pat00013
Figure pat00013

(식 중, R1 내지 R4, X1, A1, B1 및 k1은 상기와 동일하고, X2는 할로겐 원자, 수산기, 알콕시기 또는 하기 화학식 (10)으로 표시되는 치환기를 나타내고, M+는 리튬 이온, 나트륨 이온, 칼륨 이온, 치환 또는 미치환된 암모늄 이온을 나타내고, X3-는 할라이드 이온 또는 메틸 황산 이온을 나타냄)(In formula, R <1> -R <4> , X <1> , A <1> , B <1> and k <1> are the same as the above, X <2> represents a halogen atom, a hydroxyl group, an alkoxy group, or the substituent represented by following General formula (10), M + represents lithium ions, sodium ions, potassium ions, substituted or unsubstituted ammonium ions, and X 3- represents halide ions or methyl sulfate ions)

Figure pat00014
Figure pat00014

(식 중, R1, A1 및 k1은 상기와 동일함)Wherein R 1 , A 1 and k 1 are the same as above.

또한, 상기 화학식 (1a)에서 k1이 1인 경우에는, 하기에 나타내는 다른 방법을 이용하여 상기 반응식 중의 화합물 (4)를 얻을 수 있다.Further, it is possible, if in Formula (1a) of k 1 is 1, using the other method shown below to obtain the compound (4) in the reaction scheme.

Figure pat00015
Figure pat00015

(식 중, R1, X1 및 A1은 상기와 동일하고, X4는 할로겐 원자를 나타내고, X5는 할로겐 원자, 수산기 또는 알콕시기를 나타내고, Ma +는 리튬 이온, 나트륨 이온, 칼륨 이온, 마그네슘 이온, 칼슘 이온 또는 치환 또는 미치환된 암모늄 이온을 나타냄)(Wherein, R 1, X 1 and A 1 is as defined above, and X 4 represents a halogen atom, X 5 represents a halogen atom, a hydroxyl group or an alkoxy group, M a + is a lithium ion, a sodium ion, a potassium ion , Magnesium ions, calcium ions or substituted or unsubstituted ammonium ions)

스텝 (i)은 히드록시락톤 (2)와 에스테르화제 (3)의 반응에 의해 에스테르 (4)로 유도하는 공정이다. 또한, 히드록시락톤 (2)의 합성법은, 일본 특허 공개 제2000-159758호 공보 및 일본 특허 제4539865호 공보에 개시되어 있다.Step (i) is a step of inducing ester (4) by reaction of hydroxylactone (2) and esterification agent (3). In addition, the synthesis | combining method of hydroxylactone (2) is disclosed by Unexamined-Japanese-Patent No. 2000-159758 and Unexamined-Japanese-Patent No. 4539865.

반응은 공지된 방법에 의해 용이하게 진행되지만, 에스테르화제 (3)으로서는 산 클로라이드(화학식 (3)에서, X2가 염소 원자인 경우) 또는 산 무수물(화학식 (3)에서, X2가 화학식 (10)으로 표시되는 치환기인 경우) 또는 카르복실산(화학식 (3)에서, X2가 수산기인 경우)이 바람직하다.The reaction proceeds easily by a known method, but as the esterifying agent (3), an acid chloride (when X 2 is a chlorine atom in formula (3)) or an acid anhydride (in formula (3), X 2 is represented by a formula ( Preference is given to a substituent represented by 10)) or a carboxylic acid (in formula (3), where X 2 is a hydroxyl group).

산 클로라이드 또는 산 무수물을 사용하는 경우에는, 무용매 또는 염화메틸렌, 톨루엔, 헥산, 디에틸에테르, 테트라히드로푸란, 아세토니트릴 등의 용매 중, 히드록시락톤 화합물 (2)와 아크릴산 클로라이드, 메타크릴산 클로라이드, 아크릴산 무수물, 메타크릴산 무수물 등의 대응하는 산 클로라이드 또는 산 무수물, 및 트리에틸아민, 피리딘, 4-디메틸아미노피리딘 등의 염기를 순차 또는 동시에 첨가하고, 필요에 따라 냉각 또는 가열 등을 하여 행하는 것이 바람직하다.When acid chloride or acid anhydride is used, hydroxylactone compound (2) and acrylic acid chloride, methacrylic acid in solvents or solvents such as methylene chloride, toluene, hexane, diethyl ether, tetrahydrofuran and acetonitrile Corresponding acid chlorides or acid anhydrides such as chlorides, acrylic anhydrides and methacrylic anhydrides, and bases such as triethylamine, pyridine and 4-dimethylaminopyridine are added sequentially or simultaneously, and cooled or heated as necessary. It is preferable to carry out.

또한, 카르복실산을 사용하는 경우에는, 톨루엔, 헥산 등의 용매 중 히드록시락톤 (2) 및 아크릴산, 메타크릴산 등의 대응하는 카르복실산을 산 촉매의 존재하에 가열하고, 필요에 따라 발생하는 물을 계 외로 제거 등을 하여 행하는 것이 바람직하다. 사용하는 산 촉매로서는, 예를 들면 염산, 황산, 질산, 과염소산 등의 무기산류, p-톨루엔술폰산, 벤젠술폰산 등의 유기산 등을 들 수 있다.In addition, when using a carboxylic acid, hydroxylactone (2) and corresponding carboxylic acids, such as acrylic acid and methacrylic acid, are heated in the presence of an acid catalyst in solvents, such as toluene and hexane, and generate | occur | produce as needed. It is preferable to remove water to be made out of the system and the like. As an acid catalyst to be used, inorganic acids, such as hydrochloric acid, a sulfuric acid, nitric acid, a perchloric acid, organic acids, such as p-toluenesulfonic acid and benzenesulfonic acid, etc. are mentioned, for example.

스텝 (ii)는, 에스테르 (4)의 tert-부틸에스테르 부분을 포름산에 의해 탈보호하여, 카르복실산 (5)를 얻는 공정이다. 포름산을 용매로서 에스테르 (4)를 용해하고, 필요에 따라 냉각 또는 가열하면서 교반함으로써 카르복실산 (5)를 얻을 수 있다.Step (ii) is a step of deprotecting the tert-butyl ester portion of ester (4) with formic acid to obtain carboxylic acid (5). Carboxylic acid (5) can be obtained by dissolving ester (4) as a solvent and stirring, cooling or heating as needed.

스텝 (iii)은, 카르복실산 (5)를 대응하는 산 염화물 (6)으로 유도하는 공정이다. 반응은 염화메틸렌, 톨루엔, 헥산, 디에틸에테르, 테트라히드로푸란, 아세토니트릴 등의 용매 중 이염화옥살릴 등의 염소화제를 순차 또는 동시에 첨가하고, 필요에 따라 냉각 또는 가열 등을 하여 행하는 것이 바람직하다.Step (iii) is a step of inducing carboxylic acid (5) to the corresponding acid chloride (6). The reaction is preferably carried out by sequentially or simultaneously adding a chlorinating agent such as oxalyl dichloride in a solvent such as methylene chloride, toluene, hexane, diethyl ether, tetrahydrofuran, acetonitrile and cooling or heating as necessary. .

스텝 (iv)는 산 염화물 (6)과 술포알코올 (7)의 친핵 치환 반응에 의해 오늄염 (8)을 얻는 공정이다. 반응은 통상법에 따라 행할 수 있으며, 용매 중 산 염화물 (6), 술포알코올 (7) 및 염기를 순차 또는 동시에 첨가하고, 필요에 따라 냉각 또는 가열하여 행하는 것이 바람직하다. 반응에 사용할 수 있는 용매로서, 물, 테트라히드로푸란, 디에틸에테르, 디이소프로필에테르, 디-n-부틸에테르, 1,4-디옥산 등의 에테르류, n-헥산, n-헵탄, 벤젠, 톨루엔, 크실렌 등의 탄화수소류, 아세토니트릴, 디메틸술폭시드(DMSO), N,N-디메틸포름아미드(DMF) 등의 비양성자성 극성 용매류, 염화메틸렌, 클로로포름, 사염화탄소 등의 염소계 유기 용매 등을 들 수 있다. 이들 용매는 반응 조건에 따라 적절하게 선택하여 사용할 수 있으며, 1종 단독 또는 2종 이상을 혼합하여 사용할 수 있다. 또한, 상기 스텝 (iv)에서 나타낸 반응에 사용할 수 있는 염기로서, 예를 들면 암모니아, 트리에틸아민, 피리딘, 루티딘, 콜리딘, N,N-디메틸아닐린 등의 아민류, 수산화나트륨, 수산화칼륨, 수산화테트라메틸암모늄 등의 수산화물류, 탄산칼륨, 탄산수소나트륨 등의 탄산염류 등을 들 수 있다. 이들 염기는 1종 단독 또는 2종 이상을 혼합하여 사용할 수 있다.Step (iv) is a step of obtaining onium salt (8) by nucleophilic substitution reaction of acid chloride (6) and sulfoalcohol (7). The reaction can be carried out according to a conventional method, and it is preferable to add an acid chloride (6), a sulfoalcohol (7) and a base in a solvent sequentially or simultaneously, and to carry out cooling or heating as necessary. As a solvent which can be used for reaction, ether, such as water, tetrahydrofuran, diethyl ether, diisopropyl ether, di-n-butyl ether, 1, 4- dioxane, n-hexane, n-heptane, benzene Hydrocarbons such as toluene and xylene, aprotic polar solvents such as acetonitrile, dimethyl sulfoxide (DMSO) and N, N-dimethylformamide (DMF), and chlorine organic solvents such as methylene chloride, chloroform and carbon tetrachloride. Can be mentioned. These solvents can be appropriately selected and used according to the reaction conditions, and can be used alone or in combination of two or more thereof. Moreover, as a base which can be used for the reaction shown by said step (iv), For example, amines, such as ammonia, triethylamine, pyridine, lutidine, collidine, N, N- dimethylaniline, sodium hydroxide, potassium hydroxide, Hydroxides, such as tetramethylammonium hydroxide, Carbonate, such as potassium carbonate and sodium hydrogencarbonate, etc. are mentioned. These bases can be used individually by 1 type or in mixture of 2 or more types.

스텝 (v)는, 오늄염 (8)과 술포늄염 (9)의 이온 교환 반응에 의해 중합성 음이온을 갖는 술포늄염 (1a)를 얻는 공정이다. 오늄염 (8)은 스텝 (iv)의 반응을 행한 후, 통상의 수계 후처리를 거쳐서 단리한 것을 사용할 수도 있고, 반응을 정지한 후에 특별히 후처리를 행하지 않은 것을 사용할 수도 있다.Step (v) is a step of obtaining a sulfonium salt (1a) having a polymerizable anion by ion exchange reaction between the onium salt (8) and the sulfonium salt (9). After the reaction of step (iv) is carried out, the onium salt (8) may be one that has been isolated through a conventional aqueous post-treatment, or may be one that does not undergo post-treatment after the reaction is stopped.

단리한 오늄염 (8)을 사용하는 경우에는, 오늄염 (8)을 물, 테트라히드로푸란, 디에틸에테르, 디이소프로필에테르, 디-n-부틸에테르, 1,4-디옥산 등의 에테르류, n-헥산, n-헵탄, 벤젠, 톨루엔, 크실렌 등의 탄화수소류, 아세토니트릴, 디메틸술폭시드(DMSO), N,N-디메틸포름아미드(DMF) 등의 비양성자성 극성 용매류, 염화메틸렌, 클로로포름, 사염화탄소 등의 염소계 유기 용매 등에 용해하여, 술포늄염 (9)와 혼합하고, 필요에 따라 냉각 또는 가열함으로써 반응 혼합물을 얻을 수 있다. 반응 혼합물로부터 통상의 수계 후처리(aqueous work-up)에 의해 중합성 음이온을 갖는 술포늄염 (1a)를 얻을 수 있으며, 필요에 따라 증류, 재결정, 크로마토그래피 등의 통상법에 따라 정제할 수 있다.In the case of using the isolated onium salt (8), ethers such as water, tetrahydrofuran, diethyl ether, diisopropyl ether, di-n-butyl ether and 1,4-dioxane Aprotic polar solvents such as hydrocarbons such as n-hexane, n-heptane, benzene, toluene and xylene, acetonitrile, dimethyl sulfoxide (DMSO) and N, N-dimethylformamide (DMF) The reaction mixture can be obtained by dissolving in a chlorine-based organic solvent such as methylene, chloroform, carbon tetrachloride or the like, mixing with the sulfonium salt (9), and cooling or heating as necessary. The sulfonium salt (1a) having a polymerizable anion can be obtained from the reaction mixture by ordinary aqueous work-up, and can be purified according to conventional methods such as distillation, recrystallization, chromatography, etc. as necessary.

오늄염 (8)을 합성하는 반응을 정지한 후, 특별히 후처리를 행하지 않은 것을 사용하는 경우에는, 오늄염 (8)의 합성 반응을 정지한 혼합물에 대하여 술포늄염 (9)를 첨가하고, 필요에 따라 냉각 또는 가열함으로써 반응 혼합물을 얻을 수 있다. 이때, 필요에 따라 물, 테트라히드로푸란, 디에틸에테르, 디이소프로필에테르, 디-n-부틸에테르, 1,4-디옥산 등의 에테르류, n-헥산, n-헵탄, 벤젠, 톨루엔, 크실렌 등의 탄화수소류, 아세토니트릴, 디메틸술폭시드(DMSO), N,N-디메틸포름아미드(DMF) 등의 비양성자성 극성 용매류, 염화메틸렌, 클로로포름, 사염화탄소 등의 염소계 유기 용매 등을 첨가할 수도 있다. 반응 혼합물로부터 통상의 수계 후처리(aqueous work-up)에 의해 중합성 음이온을 갖는 술포늄염 (1a)를 얻을 수 있으며, 필요에 따라 증류, 재결정, 크로마토그래피 등의 통상법에 따라 정제할 수 있다.After stopping the reaction for synthesizing the onium salt (8), in the case of using the one which has not been specifically subjected to post-treatment, the sulfonium salt (9) is added to the mixture which stopped the synthesis reaction of the onium salt (8), and By cooling or heating depending on the reaction mixture can be obtained. At this time, ethers such as water, tetrahydrofuran, diethyl ether, diisopropyl ether, di-n-butyl ether, 1,4-dioxane, n-hexane, n-heptane, benzene, toluene, Hydrocarbons such as xylene, aprotic polar solvents such as acetonitrile, dimethyl sulfoxide (DMSO) and N, N-dimethylformamide (DMF), and chlorine-based organic solvents such as methylene chloride, chloroform and carbon tetrachloride can be added. It may be. The sulfonium salt (1a) having a polymerizable anion can be obtained from the reaction mixture by ordinary aqueous work-up, and can be purified according to conventional methods such as distillation, recrystallization, chromatography, etc. as necessary.

스텝 (vi) 및 (vii)은, 상기 화학식 (1a)에서 k1이 1일 때 상기 반응식 중의 에스테르 (4)를 얻는 다른 방법이다.Steps (vi) and (vii) are other methods of obtaining ester (4) in the reaction scheme when k 1 is 1 in the formula (1a).

스텝 (vi)은 히드록시락톤 (2)와 에스테르화제 (11)의 반응에 의해 할로에스테르 (12)를 얻는 반응이다. 반응은 공지된 방법에 의해 용이하게 진행되지만, 에스테르화제 (11)로서는 산 클로라이드(화학식 (11)에서 X5가 염소 원자인 경우) 또는 카르복실산(화학식 (11)에서 X5가 수산기인 경우)이 특히 바람직하다. 산 클로라이드를 사용하는 경우에는, 무용매 또는 염화메틸렌, 아세토니트릴, 톨루엔, 헥산 등의 용매 중 히드록시락톤 (2), 2-클로로아세트산 클로라이드, 3-클로로프로피온산 클로라이드 등의 대응하는 산 클로라이드, 트리에틸아민, 피리딘, 4-디메틸아미노피리딘 등의 염기를 순차 또는 동시에 첨가하고, 필요에 따라 냉각 또는 가열 등을 하여 행하는 것이 바람직하다. 또한, 카르복실산을 사용하는 경우에는, 톨루엔, 헥산 등의 용매 중 히드록시락톤 (2)와 2-클로로아세트산, 3-클로로프로피온산 등의 대응하는 카르복실산을 산 촉매의 존재하에 가열하고, 필요에 따라 생성되는 물을 계 외로 제거 등을 하여 행하는 것이 바람직하다. 사용하는 산 촉매로서는, 예를 들면 염산, 황산, 질산, 과염소산 등의 무기산류, p-톨루엔술폰산, 벤젠술폰산 등의 유기산류 등을 들 수 있다. 반응 시간은 가스 크로마토그래피(GC)나 실리카 겔 박층 크로마토그래피(TLC)로 반응을 추적하여 반응을 완결시키는 것이 수율의 면에서 바람직하지만, 통상 0.5 내지 24시간 정도이다. 반응 혼합물로부터 통상의 수계 처리(aqueous work-up)에 의해 할로에스테르 (12)를 얻을 수 있으며, 필요에 따라 증류, 크로마토그래피, 재결정 등의 통상법에 따라 정제할 수 있다.Step (vi) is a reaction for obtaining the haloester (12) by the reaction of the hydroxylactone (2) and the esterifying agent (11). The reaction proceeds easily by a known method, but as the esterifying agent (11), an acid chloride (if X 5 is a chlorine atom in formula (11)) or a carboxylic acid (X 5 in formula (11) is a hydroxyl group) ) Is particularly preferred. In the case of using an acid chloride, solvents or corresponding acid chlorides such as hydroxylactone (2), 2-chloroacetic acid chloride, 3-chloropropionic acid chloride, and the like in a solvent such as methylene chloride, acetonitrile, toluene and hexane It is preferable to add a base such as ethylamine, pyridine, 4-dimethylaminopyridine or the like sequentially or simultaneously, and to carry out cooling or heating as necessary. In the case of using carboxylic acid, hydroxylactone (2) and the corresponding carboxylic acid such as 2-chloroacetic acid and 3-chloropropionic acid are heated in the presence of an acid catalyst in a solvent such as toluene or hexane, It is preferable to remove water produced | generated as needed out of system and the like. As an acid catalyst to be used, inorganic acids, such as hydrochloric acid, a sulfuric acid, nitric acid, a perchloric acid, organic acids, such as p-toluenesulfonic acid and benzenesulfonic acid, etc. are mentioned, for example. The reaction time is preferably in terms of the yield in order to complete the reaction by tracking the reaction by gas chromatography (GC) or silica gel thin layer chromatography (TLC), but it is usually about 0.5 to 24 hours. The haloester (12) can be obtained from the reaction mixture by ordinary aqueous work-up, and can be purified according to conventional methods such as distillation, chromatography, and recrystallization as necessary.

스텝 (vii)은 할로에스테르 (12)와 카르복실산염 화합물 (13)의 반응에 의해 에스테르 (14)로 유도하는 반응이다.Step (vii) is a reaction induced into ester (14) by reaction of haloester (12) and carboxylate compound (13).

스텝 (vii)에서의 반응은 통상법에 따라 행할 수 있다. 카르복실산염 화합물 (13)로서는, 각종 카르복실산 금속염 등의 시판된 카르복실산염 화합물을 그대로 사용할 수도 있고, 메타크릴산, 아크릴산 등의 대응하는 카르복실산과 염기로부터 반응계 내에서 카르복실산염 화합물을 제조하여 사용할 수도 있다. 카르복실산염 화합물 (13)의 사용량은, 원료인 할로에스테르 (12) 1 몰에 대하여 0.5 내지 10 몰, 특히 1.0 내지 3.0 몰로 하는 것이 바람직하다. 0.5 몰 미만의 사용이면 원료가 대량으로 잔존하기 때문에 수율이 대폭 저하되는 경우가 있으며, 10 몰을 초과하는 사용이면 사용 원료비의 증가, 가마솥 수율의 저하 등에 의해 비용면에서 불리해지는 경우가 있다. 대응하는 카르복실산과 염기로부터 반응계 내에서 카르복실산염 화합물을 제조하는 경우 사용할 수 있는 염기로서는, 예를 들면 암모니아, 트리에틸아민, 피리딘, 루티딘, 콜리딘, N,N-디메틸아닐린 등의 아민류; 수산화나트륨, 수산화칼륨, 수산화테트라메틸암모늄 등의 수산화물류; 탄산칼륨, 탄산수소나트륨 등의 탄산염류; 나트륨 등의 금속류; 수소화나트륨 등의 금속 수소화물; 나트륨메톡시드, 칼륨 t-부톡시드 등의 금속 알콕시드류; 부틸리튬, 브롬화에틸마그네슘 등의 유기 금속류; 리튬디이소프로필아미드 등의 금속 아미드류로부터 선택하여 단독 또는 2종 이상을 혼합하여 사용할 수 있다. 염기의 사용량은, 대응하는 카르복실산 1 몰에 대하여 0.2 내지 10 몰, 특히 0.5 내지 2.0 몰로 하는 것이 바람직하다. 0.2 몰 미만의 사용이면 대량의 카르복실산이 무용지물이 되기 때문에 비용면에서 불리해지는 경우가 있으며, 10 몰을 초과하는 사용이면 부반응의 증가에 의해 수율이 대폭 저하되는 경우가 있다. 반응 시간은 가스 크로마토그래피(GC)나 실리카겔 박층 크로마토그래피(TLC)로 반응을 추적하여 반응을 완결시키는 것이 수율의 면에서 바람직하지만, 통상 0.5 내지 24시간 정도이다. 반응 혼합물로부터 통상의 수계 처리(aqueous work-up)에 의해 중합성 음이온을 갖는 술포늄염 (1a)를 얻을 수 있으며, 필요에 따라 증류, 크로마토그래피, 재결정 등의 통상법에 따라 정제할 수 있다.The reaction in step (vii) can be carried out according to a conventional method. As the carboxylate compound (13), commercially available carboxylate compounds such as various carboxylate metal salts may be used as they are, and carboxylate compounds may be used in the reaction system from corresponding carboxylic acids and bases such as methacrylic acid and acrylic acid. It can also manufacture and use. It is preferable that the usage-amount of a carboxylate compound (13) shall be 0.5-10 mol, especially 1.0-3.0 mol with respect to 1 mol of haloesters (12) which are raw materials. If the amount is less than 0.5 mole, the yield may be greatly reduced because the raw material remains in a large amount. If the amount is more than 10 mole, the cost may be disadvantageous due to an increase in the raw material cost and a decrease in the yield of the cauldron. As a base which can be used when manufacturing a carboxylate compound in a reaction system from a corresponding carboxylic acid and a base, For example, amines, such as ammonia, triethylamine, pyridine, lutidine, collidine, N, N- dimethylaniline, etc. ; Hydroxides such as sodium hydroxide, potassium hydroxide and tetramethylammonium hydroxide; Carbonates such as potassium carbonate and sodium bicarbonate; Metals such as sodium; Metal hydrides such as sodium hydride; Metal alkoxides such as sodium methoxide and potassium t-butoxide; Organic metals such as butyllithium and ethyl magnesium bromide; It can select from metal amides, such as lithium diisopropylamide, and can use individually or in mixture of 2 or more types. It is preferable that the usage-amount of a base shall be 0.2-10 mol, especially 0.5-2.0 mol with respect to 1 mol of corresponding carboxylic acids. If the amount is less than 0.2 mole, a large amount of carboxylic acid becomes useless, which may be disadvantageous in terms of cost. If the amount is more than 10 mole, the yield may be greatly reduced due to the increase in side reactions. The reaction time is preferably in terms of yield in that the reaction is completed by gas chromatography (GC) or silica gel thin layer chromatography (TLC) to complete the reaction, but it is usually about 0.5 to 24 hours. The sulfonium salt (1a) having a polymerizable anion can be obtained from the reaction mixture by ordinary aqueous work-up, and can be purified according to conventional methods such as distillation, chromatography, and recrystallization as necessary.

본 발명의 고분자 화합물 중에 포함되는 상기 화학식 1로 표시되는 반복 단위는 B1이 불소 치환되어 있지 않은 술폰산의 술포늄염 구조를 갖고 있으며, 락톤 구조를 갖고 있다. 그 때문에, 화학 증폭 레지스트 재료의 베이스 수지로서 사용한 경우, 산발생제로부터 발생한 강산의 이동, 확산을 적절하게 제어하는 것이 가능하고, 레지스트막의 높은 기판 밀착성을 부여하는 것을 기대할 수 있다.The repeating unit represented by the formula (1) contained in the polymer compound of the present invention has a sulfonium salt structure of sulfonic acid in which B 1 is not substituted with fluorine, and has a lactone structure. Therefore, when used as the base resin of the chemically amplified resist material, it is possible to appropriately control the movement and diffusion of the strong acid generated from the acid generator, and it can be expected to provide high substrate adhesion of the resist film.

본 발명의 고분자 화합물에는, 상기 화학식 1로 표시되는 반복 단위에 추가로 산불안정기를 갖는 반복 단위를 공존시킬 수 있다. 이 산불안정기를 갖는 반복 단위로서는, 하기 화학식 (2A)로 표시되는 산불안정기를 갖는 반복 단위를 들 수 있다.In the polymer compound of the present invention, a repeating unit having an acid labile group can coexist in addition to the repeating unit represented by the formula (1). As a repeating unit which has this acid labile group, the repeating unit which has an acid labile group represented by following General formula (2A) is mentioned.

Figure pat00016
Figure pat00016

(식 중, R1은 상기와 동일하고, XA는 산불안정기를 나타냄)(Wherein R 1 is the same as above and XA represents an acid labile group)

이하, 산불안정 단위에 대하여 설명한다. 상기 화학식 (2A)로 표시되는 반복 단위를 더 함유하는 고분자 화합물은, 산의 작용으로 분해되어 카르복실산을 발생하고, 알칼리 가용성이 되는 고분자 화합물이 된다. 산불안정기 XA로서는, 하기 화학식으로 표시되는 것을 사용할 수 있다.Hereinafter, the acid labile unit will be described. The high molecular compound which further contains the repeating unit represented by the said General formula (2A) decomposes by the action of an acid, produces | generates a carboxylic acid, and becomes a high molecular compound which becomes alkali-soluble. As the acid labile group XA, one represented by the following formula can be used.

Figure pat00017
Figure pat00017

상기 화학식 중, 파선은 결합손을 나타낸다. RL01, RL02는 수소 원자 또는 탄소수 1 내지 18, 바람직하게는 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기를 나타낸다. 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, 시클로펜틸기, 시클로헥실기, 2-에틸헥실기, n-옥틸기, 아다만틸기 등을 예시할 수 있다. RL03은 탄소수 1 내지 18, 바람직하게는 탄소수 1 내지 10의 산소 원자 등의 헤테로 원자를 가질 수도 있는 1가의 탄화수소기를 나타내고, 직쇄상, 분지상 또는 환상의 알킬기, 이들 수소 원자의 일부가 수산기, 알콕시기, 옥소기, 아미노기, 알킬아미노기 등으로 치환된 것을 들 수 있다. 구체적으로 직쇄상, 분지상 또는 환상의 알킬기로서는 상기 RL01, RL02와 동일한 것을 예시할 수 있고, 치환 알킬기로서는 하기의 기 등을 예시할 수 있다.
In the formula, the dashed line represents a bond. R L01 and R L02 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms. Specifically, methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, cyclopentyl group, cyclohexyl group, 2-ethylhexyl group, n-octyl group, and Adamman Til group etc. can be illustrated. R L03 represents a monovalent hydrocarbon group which may have a hetero atom such as an oxygen atom having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, and a linear, branched or cyclic alkyl group, a part of these hydrogen atoms being a hydroxyl group, The thing substituted by the alkoxy group, oxo group, amino group, alkylamino group, etc. are mentioned. Specifically, as a linear, branched or cyclic alkyl group, the same thing as said RL01 , RL02 can be illustrated, and the following group etc. can be illustrated as a substituted alkyl group.

Figure pat00018
Figure pat00018

(식 중, 파선은 결합손을 나타냄)(Indicated by broken line in formula)

RL01과 RL02, RL01과 RL03, RL02와 RL03은, 서로 결합하여 이들이 결합하는 탄소 원자나 산소 원자와 함께 환을 형성할 수도 있고, 환을 형성하는 경우에는 환의 형성에 관여하는 RL01과 RL02, RL01과 RL03 또는 RL02와 RL03은, 각각 탄소수 1 내지 18, 바람직하게는 탄소수 1 내지 10의 직쇄상 또는 분지상의 알킬렌기를 나타낸다.R L01 and R L02 , R L01 and R L03 , R L02 and R L03 may be bonded to each other to form a ring together with a carbon atom or an oxygen atom to which they are bonded, and in the case of forming a ring, participate in ring formation. R L01 and R L02 , R L01 and R L03 or R L02 and R L03 each represent a linear or branched alkylene group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms.

RL04, RL05, RL06은 각각 독립적으로 탄소수 1 내지 15의 직쇄상, 분지상 또는 환상의 알킬기를 나타낸다. 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, 시클로펜틸기, 시클로헥실기, 2-에틸헥실기, n-옥틸기, 1-아다만틸기, 2-아다만틸기 등을 예시할 수 있다.R L04 , R L05 and R L06 each independently represent a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms. Specifically, methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, cyclopentyl group, cyclohexyl group, 2-ethylhexyl group, n-octyl group, 1- Adamantyl group, 2-adamantyl group, etc. can be illustrated.

RL07은, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타낸다. 상기 치환될 수도 있는 알킬기로서는, 구체적으로 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, 시클로펜틸기, 시클로헥실기, 비시클로[2.2.1]헵틸기 등의 직쇄상, 분지상 또는 환상의 알킬기, 이들 수소 원자의 일부가 수산기, 알콕시기, 카르복실기, 알콕시카르보닐기, 옥소기, 아미노기, 알킬아미노기, 시아노기, 머캅토기, 알킬티오기, 술포기 등으로 치환된 기, 또는 이들 메틸렌기의 일부가 산소 원자 또는 황 원자로 치환된 기 등을 예시할 수 있다. 상기 치환될 수도 있는 아릴기로서는, 구체적으로 페닐기, 메틸페닐기, 나프틸기, 안트릴기, 페난트릴기, 피레닐기 등을 예시할 수 있다. 화학식 (L3)에서 m은 0 또는 1, n은 0, 1, 2, 3 중 어느 하나이고, 2m+n=2 또는 3을 만족하는 수이다.R L07 represents a linear, branched or cyclic alkyl group which may be substituted with 1 to 10 carbon atoms, or an aryl group which may be substituted with 6 to 20 carbon atoms. Specific examples of the alkyl group which may be substituted include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group and n-hexyl group Linear, branched or cyclic alkyl groups such as cyclopentyl group, cyclohexyl group and bicyclo [2.2.1] heptyl group, and some of these hydrogen atoms are hydroxyl group, alkoxy group, carboxyl group, alkoxycarbonyl group, oxo group, amino group The group substituted with the alkylamino group, the cyano group, the mercapto group, the alkylthio group, the sulfo group, etc., or the group by which some of these methylene groups were substituted by the oxygen atom or the sulfur atom, etc. can be illustrated. Specific examples of the aryl group which may be substituted include phenyl group, methylphenyl group, naphthyl group, anthryl group, phenanthryl group, pyrenyl group and the like. In the formula (L3), m is 0 or 1, n is any one of 0, 1, 2, 3, and is a number that satisfies 2m + n = 2 or 3.

RL08은, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타낸다. 구체적으로는, RL07과 동일한 것 등을 예시할 수 있다. RL09 내지 RL18은 각각 독립적으로 수소 원자 또는 탄소수 1 내지 15의 1가의 탄화수소기를 나타낸다. 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, n-옥틸기, n-노닐기, n-데실기, 시클로펜틸기, 시클로헥실기, 시클로펜틸메틸기, 시클로펜틸에틸기, 시클로펜틸부틸기, 시클로헥실메틸기, 시클로헥실에틸기, 시클로헥실부틸기 등의 직쇄상, 분지상 또는 환상의 알킬기, 이들 수소 원자의 일부가 수산기, 알콕시기, 카르복실기, 알콕시카르보닐기, 옥소기, 아미노기, 알킬아미노기, 시아노기, 머캅토기, 알킬티오기, 술포기 등으로 치환된 것 등을 예시할 수 있다. RL09와 RL10, RL09와 RL11, RL09와 RL12, RL10과 RL12, RL11과 RL12, RL13과 RL14, RL15와 RL16 또는 RL16과 RL17은, 서로 결합하여 환을 형성하고 있을 수도 있고, 이 경우 환의 형성에 관여하는 RL09와 RL10, RL09와 RL11, RL09와 RL12, RL10과 RL12, RL11과 RL12, RL13과 RL14, RL15와 RL16 또는 RL16과 RL17은, 탄소수 1 내지 15의 2가의 탄화수소기를 나타내고, 구체적으로는 상기 1가의 탄화수소기에서 예시한 것으로부터 수소 원자를 1개 제외한 것 등을 예시할 수 있다. 또한, RL09와 RL11, RL11과 RL17 또는 RL15와 RL17은 인접하는 탄소에 결합하는 것끼리 아무것도 통하지 않고 결합하여, 이중 결합을 형성할 수도 있다.R L08 represents a linear, branched or cyclic alkyl group which may be substituted with 1 to 10 carbon atoms, or an aryl group which may be substituted with 6 to 20 carbon atoms. Specifically, the same thing as R L07 can be exemplified. R L09 to R L18 each independently represent a hydrogen atom or a monovalent hydrocarbon group having 1 to 15 carbon atoms. Specifically, methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, n-octyl group, n Linear, branched or such as nonyl, n-decyl, cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl or cyclohexylbutyl groups A cyclic alkyl group, and some of these hydrogen atoms are substituted by hydroxyl group, alkoxy group, carboxyl group, alkoxycarbonyl group, oxo group, amino group, alkylamino group, cyano group, mercapto group, alkylthio group, sulfo group, etc. can be illustrated. have. R L09 and R L10 , R L09 and R L11 , R L09 and R L12 , R L10 and R L12 , R L11 and R L12 , R L13 and R L14 , R L15 and R L16 or R L16 and R L17 May bind to form a ring, in which case R L09 and R L10 , R L09 and R L11 , R L09 and R L12 , R L10 and R L12 , R L11 and R L12 , R L13 and R L14 , R L15 and R L16 or R L16 and R L17 represent a divalent hydrocarbon group having 1 to 15 carbon atoms, and specifically exemplify one having a hydrogen atom removed from those exemplified in the monovalent hydrocarbon group. can do. In addition, R L09 and R L11 , R L11 and R L17, or R L15 and R L17 may be bonded to adjacent carbons without passing through each other to form a double bond.

RL19는, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 구체적으로는 RL07과 동일한 것 등을 예시할 수 있다.R L19 represents a linear, branched or cyclic alkyl group which may be substituted with 1 to 10 carbon atoms, or an aryl group which may be substituted with 6 to 20 carbon atoms, and specifically, the same as those of R L07 may be exemplified. have.

RL20은, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 구체적으로는 RL07과 동일한 기 등을 예시할 수 있다.R L20 represents a linear, branched or cyclic alkyl group which may be substituted with 1 to 10 carbon atoms, or an aryl group which may be substituted with 6 to 20 carbon atoms, and specifically, the same group as R L07 may be exemplified. have.

X는, 이것이 결합하는 탄소 원자와 함께, 이중 결합을 포함할 수도 있는 치환 또는 비치환된 시클로펜탄환, 시클로헥산환 또는 노르보르난환을 형성하는 2가의 기를 나타낸다. RL21, RL22는 각각 독립적으로 수소 원자, 또는 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타낸다. RL21과 RL22는, 서로 결합하여 이들이 결합하는 탄소 원자와 함께 환을 형성할 수도 있고, 이 경우 치환 또는 비치환된 시클로펜탄환, 또는 시클로헥산환을 형성하는 2가의 기를 나타낸다. p는 1 또는 2를 나타낸다.X represents a bivalent group which, together with the carbon atom to which it is bonded, forms a substituted or unsubstituted cyclopentane ring, cyclohexane ring or norbornane ring which may include a double bond. R L21 and R L22 each independently represent a hydrogen atom or a linear, branched or cyclic monovalent hydrocarbon group having 1 to 10 carbon atoms. R L21 and R L22 may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and in this case, represents a divalent group that forms a substituted or unsubstituted cyclopentane ring or cyclohexane ring. p represents 1 or 2.

RL23은, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 구체적으로는 RL07과 동일한 기 등을 예시할 수 있다.R L23 represents a linear, branched or cyclic alkyl group which may be substituted with 1 to 10 carbon atoms, or an aryl group which may be substituted with 6 to 20 carbon atoms, and specifically, the same groups as R L07 may be exemplified. have.

Y는, 이것이 결합하는 탄소 원자와 함께 치환 또는 비치환된 시클로펜탄환, 시클로헥산환 또는 노르보르난환을 형성하는 2가의 기를 나타낸다. RL24, RL25는, 각각 독립적으로 수소 원자 또는 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타낸다. RL24와 RL25는 서로 결합하여 이들이 결합하는 탄소 원자와 함께 환을 형성할 수도 있고, 이 경우 치환 또는 비치환된 시클로펜탄환 또는 시클로헥산환을 형성하는 2가의 기를 나타낸다. q는 1 또는 2를 나타낸다.Y represents the bivalent group which forms the substituted or unsubstituted cyclopentane ring, cyclohexane ring, or norbornane ring with the carbon atom to which it couple | bonds. R L24 and R L25 each independently represent a hydrogen atom or a linear, branched or cyclic monovalent hydrocarbon group having 1 to 10 carbon atoms. R L24 and R L25 may be bonded to each other to form a ring together with the carbon atom to which they are bonded, in which case a divalent group is used to form a substituted or unsubstituted cyclopentane ring or cyclohexane ring. q represents 1 or 2.

RL26은, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 구체적으로는 RL07과 동일한 기 등을 예시할 수 있다.R L26 represents a linear, branched or cyclic alkyl group which may be substituted with 1 to 10 carbon atoms, or an aryl group which may be substituted with 6 to 20 carbon atoms, and specifically, the same groups as R L07 may be exemplified. have.

Z는, 이것이 결합하는 탄소 원자와 함께 치환 또는 비치환된 시클로펜탄환, 시클로헥산환 또는 노르보르난환을 형성하는 2가의 기를 나타낸다. RL27, RL28은, 각각 독립적으로 수소 원자, 또는 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타낸다. RL27과 RL28은, 서로 결합하여 이들이 결합하는 탄소 원자와 함께 환을 형성할 수도 있고, 이 경우 치환 또는 비치환된 시클로펜탄환 또는 시클로헥산환을 형성하는 2가의 기를 나타낸다.Z represents a bivalent group which forms the substituted or unsubstituted cyclopentane ring, cyclohexane ring, or norbornane ring with the carbon atom to which it couple | bonds. R L27 and R L28 each independently represent a hydrogen atom or a linear, branched or cyclic monovalent hydrocarbon group having 1 to 10 carbon atoms. R <L27> and R <L28> may combine with each other, and may form a ring with the carbon atom to which they couple | bond, In this case, they represent the bivalent group which forms a substituted or unsubstituted cyclopentane ring or a cyclohexane ring.

상기 화학식 (L1)로 표시되는 산불안정기 중 직쇄상 또는 분지상의 것으로서는, 구체적으로는 하기의 기 등을 예시할 수 있다.Specific examples of the linear or branched group among the acid labile groups represented by the general formula (L1) include the following groups.

Figure pat00019
Figure pat00019

(식 중, 파선은 결합손을 나타냄)(Indicated by broken line in formula)

상기 화학식 (L1)로 표시되는 산불안정기 중 환상의 것으로서는, 구체적으로는 테트라히드로푸란-2-일기, 2-메틸테트라히드로푸란-2-일기, 테트라히드로피란-2-일기, 2-메틸테트라히드로피란-2-일기 등을 예시할 수 있다.As an annular thing among the acid labile groups represented by the said general formula (L1), specifically, the tetrahydrofuran-2-yl group, 2-methyl tetrahydrofuran-2-yl group, tetrahydropyran-2-yl group, 2-methyl tetra Hydropyran-2-yl group etc. can be illustrated.

상기 화학식 (L2)의 산불안정기로서는, 구체적으로 tert-부틸기, tert-아밀기 및 하기의 기 등을 예시할 수 있다.As an acid labile group of the said general formula (L2), a tert- butyl group, a tert-amyl group, the following group, etc. can be illustrated specifically ,.

Figure pat00020
Figure pat00020

(식 중, 파선은 결합손을 나타냄)(Indicated by broken line in formula)

상기 화학식 (L3)의 산불안정기로서는, 구체적으로 1-메틸시클로펜틸기, 1-에틸시클로펜틸기, 1-n-프로필시클로펜틸기, 1-이소프로필시클로펜틸기, 1-n-부틸시클로펜틸기, 1-sec-부틸시클로펜틸기, 1-시클로헥실시클로펜틸기, 1-(4-메톡시-n-부틸)시클로펜틸기, 1-(비시클로[2.2.1]헵탄-2-일)시클로펜틸기, 1-(7-옥사비시클로[2.2.1]헵탄-2-일)시클로펜틸기, 1-메틸시클로헥실기, 1-에틸시클로헥실기, 3-메틸-1-시클로펜텐-3-일기, 3-에틸-1-시클로펜텐-3-일기, 3-메틸-1-시클로헥센-3-일기, 3-에틸-1-시클로헥센-3-일기 등을 예시할 수 있다.As an acid labile group of the said general formula (L3), 1-methylcyclopentyl group, 1-ethylcyclopentyl group, 1-n-propylcyclopentyl group, 1-isopropylcyclopentyl group, 1-n-butylcyclophene specifically, Tyl group, 1-sec-butylcyclopentyl group, 1-cyclohexylcyclopentyl group, 1- (4-methoxy-n-butyl) cyclopentyl group, 1- (bicyclo [2.2.1] heptan-2- 1) cyclopentyl group, 1- (7-oxabicyclo [2.2.1] heptan-2-yl) cyclopentyl group, 1-methylcyclohexyl group, 1-ethylcyclohexyl group, 3-methyl-1-cyclo Penten-3-yl group, 3-ethyl-1-cyclopenten-3-yl group, 3-methyl-1-cyclohexen-3-yl group, 3-ethyl-1-cyclohexen-3-yl group, etc. can be illustrated. .

상기 화학식 (L4)의 산불안정기로서는, 하기 화학식 (L4-1) 내지 (L4-4)로 표시되는 기가 특히 바람직하다.As the acid labile group of the general formula (L4), groups represented by the following general formulas (L4-1) to (L4-4) are particularly preferable.

Figure pat00021
Figure pat00021

상기 화학식 (L4-1) 내지 (L4-4) 중, 파선은 결합 위치 및 결합 방향을 나타낸다. RL41은, 각각 독립적으로 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, 시클로펜틸기, 시클로헥실기 등을 예시할 수 있다.In the formulas (L4-1) to (L4-4), the broken line indicates the bonding position and the bonding direction. R L41 each independently represents a linear, branched or cyclic alkyl group which may be substituted with 1 to 10 carbon atoms, or an aryl group which may be substituted with 6 to 20 carbon atoms, and specifically, a methyl group, an ethyl group, a propyl group, Isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group, etc. can be illustrated.

상기 화학식 (L4-1) 내지 (L4-4)에는, 에난티오 이성체(enantiomer)나 디아스테레오 이성체(diastereomer)가 존재할 수 있지만, 상기 화학식 (L4-1) 내지 (L4-4)는, 이들 입체 이성체 전부를 대표하여 나타낸다. 이들 입체 이성체는 단독으로 사용할 수도 있고, 혼합물로서 사용할 수도 있다.In the above formulas (L4-1) to (L4-4), enantiomers and diastereomers may be present, but the above formulas (L4-1) to (L4-4) are three-dimensional. Representatively all of the isomers are shown. These stereoisomers may be used alone or as a mixture.

예를 들면, 상기 화학식 (L4-3)은 하기 화학식 (L4-3-1), (L4-3-2)로 표시되는 기로부터 선택되는 1종 또는 2종의 혼합물을 대표하여 나타내는 것으로 한다.For example, the said general formula (L4-3) shall represent the 1 type or mixture of 2 types chosen from group represented by the following general formula (L4-3-1), (L4-3-2).

Figure pat00022
Figure pat00022

(식 중, RL41은 상기와 같음) Wherein R L41 is as defined above.

또한, 상기 화학식 (L4-4)는 하기 화학식 (L4-4-1) 내지 (L4-4-4)로 표시되는 기로부터 선택되는 1종 또는 2종 이상의 혼합물을 대표하여 나타내는 것으로 한다.In addition, the said general formula (L4-4) shall represent the 1 type, or 2 or more types of mixtures chosen from the group represented by the following general formula (L4-4-1)-(L4-4-4).

Figure pat00023
Figure pat00023

(식 중, RL41은 상기와 같음) Wherein R L41 is as defined above.

상기 화학식 (L4-3-1), (L4-3-2) 및 화학식 (L4-4-1) 내지 (L4-4-4)는, 이들의 에난티오 이성체 및 에난티오 이성체 혼합물도 대표하여 나타내는 것으로 한다.The above general formulas (L4-3-1), (L4-3-2) and (L4-4-1) to (L4-4-4) also represent a mixture of enantio and enantio isomers thereof. Shall be.

또한, 화학식 (L4-1) 내지 (L4-4), (L4-3-1), (L4-3-2) 및 화학식 (L4-4-1) 내지 (L4-4-4)의 결합 방향이 각각 비시클로[2.2.1]헵탄환에 대하여 엑소측이면, 산 촉매 탈리 반응에서의 고반응성이 실현된다(일본 특허 공개 제2000-336121호 공보참조). 이들 비시클로[2.2.1]헵탄 골격을 갖는 3급 엑소-알킬기를 치환기로 하는 단량체의 제조에 있어서, 하기 화학식 (L4-1-엔도) 내지 (L4-4-엔도)로 표시되는 엔도-알킬기로 치환된 단량체를 포함하는 경우가 있지만, 양호한 반응성의 실현을 위해서는 엑소 비율이 50 % 이상인 것이 바람직하고, 엑소 비율이 80 % 이상인 것이 더욱 바람직하다.Also, the bonding directions of the formulas (L4-1) to (L4-4), (L4-3-1), (L4-3-2) and (L4-4-1) to (L4-4-4) High reactivity in the acid catalyzed desorption reaction is realized in the exo side with respect to each of the bicyclo [2.2.1] heptane rings (see Japanese Patent Laid-Open No. 2000-336121). In the preparation of monomers having tertiary exo-alkyl groups having these bicyclo [2.2.1] heptane skeletons as substituents, endo-alkyl groups represented by the following formulas (L4-1-endo) to (L4-4-endo) Although it may contain the monomer substituted by, in order to implement | achieve favorable reactivity, it is preferable that an exo ratio is 50% or more, and it is more preferable that an exo ratio is 80% or more.

Figure pat00024
Figure pat00024

(식 중, RL41은 상기와 같음) Wherein R L41 is as defined above.

상기 화학식 (L4)의 산불안정기로서는, 구체적으로 하기의 기 등을 예시할 수 있다.As an acid labile group of the said general formula (L4), the following group etc. can be illustrated specifically ,.

Figure pat00025
Figure pat00025

(식 중, 파선은 결합손을 나타냄)(Indicated by broken line in formula)

상기 화학식 (L5)의 산불안정기로서는, 구체적으로 하기의 기 등을 예시할 수 있다.As an acid labile group of the said general formula (L5), the following group etc. can be illustrated specifically ,.

Figure pat00026
Figure pat00026

(식 중, 파선은 결합손을 나타냄)(Indicated by broken line in formula)

상기 화학식 (L6)의 산불안정기로서는, 구체적으로 하기의 기 등을 예시할 수 있다.As an acid labile group of the said general formula (L6), the following group etc. can be illustrated specifically ,.

Figure pat00027
Figure pat00027

(식 중, 파선은 결합손을 나타냄)(Indicated by broken line in formula)

상기 화학식 (L7)의 산불안정기로서는, 구체적으로 하기의 기 등을 예시할 수 있다.As an acid labile group of the said general formula (L7), the following group etc. can be illustrated specifically ,.

Figure pat00028
Figure pat00028

(식 중, 파선은 결합손을 나타냄)(Indicated by broken line in formula)

상기 화학식 (L8)의 산불안정기로서는, 구체적으로 하기의 기 등을 예시할 수 있다.As an acid labile group of the said general formula (L8), the following group etc. can be illustrated specifically ,.

Figure pat00029
Figure pat00029

(식 중, 파선은 결합손을 나타냄)(Indicated by broken line in formula)

상기 화학식 (2A)로 표시되는 반복 단위로서, 구체적으로는 하기의 것을 예시할 수 있지만 이들로 한정되지 않는다.Although the following can be illustrated specifically as a repeating unit represented by the said General formula (2A), It is not limited to these.

Figure pat00030
Figure pat00030

Figure pat00031
Figure pat00031

Figure pat00032
Figure pat00032

Figure pat00033
Figure pat00033

Figure pat00034
Figure pat00034

Figure pat00035
Figure pat00035

Figure pat00036
Figure pat00036

본 발명의 상기 화학식 1로 표시되는 반복 단위는, 상기 화학식 (2A)로 표시되는 반복 단위와 공존하는 경우, 산불안정 단위의 선택에 의해 산의 이동, 확산 제어의 기능뿐만 아니라 그 자체가 산을 발생하는 단위로서 기능하는 것도 가능하다.When the repeating unit represented by the general formula (1) of the present invention coexists with the repeating unit represented by the general formula (2A), not only functions of acid movement and diffusion control but also acid itself are selected by the acid labile unit. It is also possible to function as a generating unit.

본 발명의 고분자 화합물에는, 상기 화학식 1, (2A)로 표시되는 반복 단위에 추가로 하기 화학식 (2B) 내지 (2E)로 표시되는 반복 단위를 공존시킬 수 있다.In the polymer compound of the present invention, the repeating units represented by the following formulas (2B) to (2E) can coexist in addition to the repeating units represented by the formulas (1) and (2A).

Figure pat00037
Figure pat00037

(식 중, R1은 상기와 동일하고, XB, XC는 각각 독립적으로 단결합 또는 탄소수 1 내지 4의 직쇄상 또는 분지상의 2가의 탄화수소기를 나타내고, YA는 락톤 구조를 갖는 치환기를 나타내고, ZA는 수소 원자, 또는 산소 원자를 가질 수도 있는 탄소수 1 내지 15의 플루오로알킬기 또는 탄소수 1 내지 15의 플루오로알코올 함유 치환기를 나타내고, k1A는 1 내지 3의 정수를 나타내고, R5, R6 및 R7은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상 또는 분지상의 알킬기, 알케닐기 또는 옥소알킬기, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 또는 아릴옥소알킬기를 나타내거나, 또는 R5, R6 및 R7 중 어느 2개 이상이 서로 결합하여 식 중의 황 원자와 함께 환을 형성할 수도 있음)(In formula, R <1> is the same as the above, XB and XC respectively independently represent a single bond or a C1-C4 linear or branched bivalent hydrocarbon group, YA represents a substituent which has a lactone structure, ZA Represents a hydrogen atom or a fluoroalkyl group having 1 to 15 carbon atoms or a fluoroalcohol containing substituent having 1 to 15 carbon atoms, k 1A represents an integer of 1 to 3, R 5 , R 6 and R 7 is each independently a substituted or unsubstituted linear or branched alkyl group having 1 to 10 carbon atoms, an alkenyl group or an oxoalkyl group, or a substituted or unsubstituted aryl group having 6 to 18 carbon atoms, an aralkyl group or an aryloxoalkyl group. Or any two or more of R 5 , R 6 and R 7 may combine with each other to form a ring together with a sulfur atom in the formula)

화학식 (2B)로 표시되는 반복 단위로서, 구체적으로는 이하의 것을 들 수 있다.As a repeating unit represented by General formula (2B), the following are mentioned specifically ,.

Figure pat00038
Figure pat00038

화학식 (2C)로 표시되는 반복 단위로서, 구체적으로는 이하의 것을 들 수 있다.As a repeating unit represented by general formula (2C), the following are mentioned specifically ,.

Figure pat00039
Figure pat00039

Figure pat00040
Figure pat00040

Figure pat00041
Figure pat00041

화학식 (2D)로 표시되는 반복 단위로서, 구체적으로는 이하의 것을 들 수 있다.As a repeating unit represented by general formula (2D), the following are mentioned specifically ,.

Figure pat00042
Figure pat00042

Figure pat00043
Figure pat00043

화학식 (2E)로 표시되는 반복 단위로서, 구체적으로는 이하의 것을 들 수 있다.As a repeating unit represented by general formula (2E), the following are mentioned specifically ,.

Figure pat00044
Figure pat00044

(식 중, R1은 상기와 동일함)(Wherein R 1 is the same as above)

본 발명의 반복 단위 (1)에 추가로, 상기 화학식 (2A)로 표시되는 산불안정 단위나, 화학식 (2B) 내지 (2E)로 표시되는 반복 단위를 적절하게 조합한 고분자 화합물을 레지스트 베이스 수지로서 사용함으로써, 고해상이며 패턴 붕괴에 강한 화학 증폭 레지스트 재료를 얻는 것이 가능하다.In addition to the repeating unit (1) of this invention, the high molecular compound which suitably combined the acid labile unit represented by the said General formula (2A), or the repeating unit represented by General formula (2B)-(2E) as a resist base resin is used. By using it, it is possible to obtain a chemically amplified resist material which is high resolution and resistant to pattern collapse.

본 발명의 고분자 화합물을 합성하는 경우, 2,2'-아조비스이소부티로니트릴(이하, AIBN으로 약기) 등의 개시제를 사용하는 라디칼 중합, 알킬리튬 등을 사용하는 이온 중합(음이온 중합) 등의 일반적 중합 방법을 이용하는 것이 가능하고, 이들 중합은 그의 통상법에 따라 실시할 수 있다. 이 중, 본 발명의 고분자 화합물의 합성은, 라디칼 중합에 의해 제조를 행하는 것이 바람직하다. 이 경우, 중합 조건은 개시제의 종류와 첨가량, 온도, 압력, 농도, 용매, 첨가물 등에 의해 지배된다.When synthesizing the high molecular compound of the present invention, radical polymerization using an initiator such as 2,2'-azobisisobutyronitrile (hereinafter abbreviated as AIBN), ion polymerization (anion polymerization) using alkyl lithium, etc. It is possible to use the general polymerization method of, and these polymerization can be carried out according to the conventional method. Among these, it is preferable to manufacture the synthesis | combination of the high molecular compound of this invention by radical polymerization. In this case, the polymerization conditions are governed by the kind and amount of the initiator, temperature, pressure, concentration, solvent, additives and the like.

라디칼 중합 개시제로서는 특별히 한정되는 것은 아니지만, 예로서 AIBN, 2,2'-아조비스(4-메톡시-2,4-디메틸발레로니트릴), 2,2'-아조비스(2,4-디메틸발레로니트릴), 2,2'-아조비스(2,4,4-트리메틸펜탄), 2,2'-아조비스(이소부티르산)디메틸 등의 아조계 화합물, tert-부틸퍼옥시피발레이트, 라우로일퍼옥시드, 벤조일퍼옥시드, tert-부틸퍼옥시라우레이트 등의 과산화물계 화합물, 과황산칼륨과 같은 수용성 중합 개시제, 나아가서는 과황산칼륨이나 과산화수소 등의 과산화물과 아황산나트륨과 같은 환원제의 조합을 포함하는 산화 환원계 개시제 등이 예시된다. 중합 개시제의 사용량은 종류나 중합 조건 등에 따라 적절하게 변경 가능하지만, 통상은 중합시켜야 하는 단량체 전량에 대하여 0.001 내지 10 몰%, 특히 0.01 내지 6 몰%가 채용된다.Although it does not specifically limit as a radical polymerization initiator, For example, AIBN, 2,2'- azobis (4-methoxy-2, 4- dimethylvaleronitrile), 2,2'- azobis (2, 4- dimethyl) Azo compounds such as valeronitrile), 2,2'-azobis (2,4,4-trimethylpentane), 2,2'-azobis (isobutyric acid) dimethyl, tert-butylperoxy pivalate, lau Peroxide-based compounds such as loyl peroxide, benzoyl peroxide, tert-butylperoxylaurate, water-soluble polymerization initiators such as potassium persulfate, and combinations of peroxides such as potassium persulfate and hydrogen peroxide and reducing agents such as sodium sulfite Examples thereof include redox initiators. Although the usage-amount of a polymerization initiator can be changed suitably according to a kind, superposition | polymerization conditions, etc., 0.001-10 mol%, especially 0.01-6 mol% are employ | adopted with respect to the monomer total amount to superpose | polymerize normally.

본 발명의 고분자 화합물을 합성하는 경우, 분자량의 조정을 위해 도데실머캅탄이나 2-머캅토에탄올과 같은 공지된 연쇄 이동제를 병용할 수도 있다. 이 경우, 이들 연쇄 이동제의 첨가량은 중합시키는 단량체의 총 몰수에 대하여 0.01 내지 10 몰%인 것이 바람직하다.When synthesizing the polymer compound of the present invention, a known chain transfer agent such as dodecyl mercaptan or 2-mercaptoethanol may be used in combination for adjusting the molecular weight. In this case, it is preferable that the addition amount of these chain transfer agents is 0.01-10 mol% with respect to the total mole number of the monomer to superpose | polymerize.

본 발명의 고분자 화합물을 합성하는 경우, 화학식 1, (2A) 내지 (2E)로 표시되는 반복 단위에 대응하는 중합성 단량체를 혼합하고, 상술한 개시제나 연쇄 이동제를 첨가하여 중합을 행한다.When synthesize | combining the high molecular compound of this invention, the polymerizable monomer corresponding to the repeating unit represented by General formula (1) (2A)-(2E) is mixed, and superposition | polymerization is performed by adding the initiator and chain transfer agent mentioned above.

여기서, 본 발명의 고분자 화합물 중의 반복 단위 (1), 및 (2A) 내지 (2E)에 대하여,Here, with respect to the repeating units (1) and (2A) to (2E) in the polymer compound of the present invention,

화학식 1의 단위에 대응하는 단량체의 총 몰수를 U1,The total mole number of the monomer corresponding to the unit of formula 1 is represented by U1,

화학식 (2A) 내지 (2E)의 단위에 대응하는 단량체의 총 몰수를 각각 U2, U3, U4, U5, U6으로 하고, U1+U2+U3+U4+U5+U6=1(100 몰%)로 한 경우, 각 반복 단위의 도입 비율은The total moles of monomers corresponding to the units of the formulas (2A) to (2E) are U2, U3, U4, U5, U6, respectively, and U1 + U2 + U3 + U4 + U5 + U6 = 1 (100 mol%). In one case, the rate of introduction of each repeating unit

0<U1<1, 0<U2<0.9, 0≤U3≤0.3, 0≤U4<0.7, 0≤U5≤0.3, 0≤U6≤0.15, 0≤U2+U3+U4+U5+U6≤0.7인 것이 바람직하다.0 <U1 <1, 0 <U2 <0.9, 0≤U3≤0.3, 0≤U4 <0.7, 0≤U5≤0.3, 0≤U6≤0.15, 0≤U2 + U3 + U4 + U5 + U6≤0.7 It is preferable.

중합을 행하는 때에는, 필요에 따라 용매를 사용할 수도 있다. 중합 용매로서는 중합 반응을 저해하지 않는 것이 바람직하고, 대표적인 것으로서는 아세트산에틸, 아세트산 n-부틸, γ-부티로락톤 등의 에스테르류, 아세톤, 메틸에틸케톤, 메틸이소부틸케톤 등의 케톤류, 톨루엔, 크실렌, 시클로헥산 등의 지방족 또는 방향족 탄화수소류, 이소프로필알코올, 에틸렌글리콜모노메틸에테르 등의 알코올류, 디에틸에테르, 디옥산, 테트라히드로푸란 등의 에테르계 용제를 사용할 수 있다. 이들 용제는 단독으로 사용할 수도 있고, 2종 이상을 혼합하여 사용할 수도 있다. 중합 용매의 사용량은, 목표가 되는 중합도(분자량), 개시제의 첨가량, 중합 온도 등의 중합 조건에 따라 적절하게 변경 가능하고, 통상 중합시키는 단량체의 농도가 0.1 내지 95 질량%, 특히 5 내지 90 질량%가 되도록 용매를 첨가한다.When superposing | polymerizing, a solvent can also be used as needed. The polymerization solvent is preferably one which does not inhibit the polymerization reaction, and typical examples thereof include esters such as ethyl acetate, n-butyl acetate and γ-butyrolactone, ketones such as acetone, methyl ethyl ketone and methyl isobutyl ketone, toluene, Aliphatic or aromatic hydrocarbons such as xylene and cyclohexane, alcohols such as isopropyl alcohol and ethylene glycol monomethyl ether, ether solvents such as diethyl ether, dioxane and tetrahydrofuran can be used. These solvents may be used alone or in combination of two or more thereof. The usage-amount of a polymerization solvent can be suitably changed with polymerization conditions, such as target polymerization degree (molecular weight), the addition amount of an initiator, polymerization temperature, and the density | concentration of the monomer to superpose | polymerize normally is 0.1-95 mass%, especially 5-90 mass% The solvent is added so that

중합 반응의 반응 온도는 중합 개시제의 종류 또는 용매의 비점에 따라 적절하게 변경되지만, 통상은 20 내지 200 ℃가 바람직하고, 특히 50 내지 140 ℃가 바람직하다. 이러한 중합 반응에 사용하는 반응 용기는 특별히 한정되지 않는다.Although the reaction temperature of a polymerization reaction changes suitably according to the kind of polymerization initiator or the boiling point of a solvent, Usually, 20-200 degreeC is preferable and 50-140 degreeC is especially preferable. The reaction container used for such a polymerization reaction is not specifically limited.

이와 같이 하여 얻어진 중합체의 용액 또는 분산액으로부터 매질인 유기 용매 또는 물을 제거하는 방법으로서는, 공지된 방법을 모두 이용할 수 있지만, 예를 들면 재침전 여과 또는 감압하에서의 가열 유출 등의 방법이 있다.As a method of removing the organic solvent or water which is a medium from the solution or dispersion of the polymer thus obtained, any known method can be used, but there are methods such as reprecipitation filtration or heat extraction under reduced pressure.

본 발명에서는, (A) 상기 본 발명의 고분자 화합물, (B) 유기 용제, (C) 광산발생제 및 (D) 염기성 화합물을 함유하는 것임을 특징으로 하는 화학 증폭 레지스트 재료를 제공한다.This invention provides the chemically amplified resist material characterized by containing (A) the high molecular compound of this invention, (B) organic solvent, (C) photoacid generator, and (D) basic compound.

본 발명에서 사용되는 (B) 유기 용제에 대해서는, 일본 특허 공개 제2009-269953호 공보 등의 기재에 상세하다. (B) 유기 용제 중에서도 레지스트 성분 중의 산발생제의 용해성이 가장 우수한 디에틸렌글리콜디메틸에테르, 1-에톡시-2-프로판올, 프로필렌글리콜모노메틸에테르아세테이트, 시클로헥사논, 4-부티로락톤 및 그의 혼합 용제가 바람직하게 사용된다.About the (B) organic solvent used by this invention, it is detailed in description of Unexamined-Japanese-Patent No. 2009-269953. (B) diethylene glycol dimethyl ether, 1-ethoxy-2-propanol, propylene glycol monomethyl ether acetate, cyclohexanone, 4-butyrolactone and the like, which have the highest solubility of the acid generator in the resist component among the organic solvents; Mixed solvents are preferably used.

유기 용제의 사용량은, 베이스 수지 100 질량부에 대하여 200 내지 5,000 질량부, 특히 400 내지 3,000 질량부가 바람직하다.As for the usage-amount of the organic solvent, 200-5,000 mass parts, especially 400-3,000 mass parts is preferable with respect to 100 mass parts of base resins.

화학 증폭 레지스트 재료로서 기능시키기 위해, 고에너지선의 노광에 의해 산을 발생하는 화합물((C) 광산발생제)를 포함할 수도 있다. (C) 광산발생제의 성분으로서는, 고에너지선 조사에 의해 산을 발생하는 화합물이면 어떠한 것도 상관없지만, 바람직한 광산발생제로서는 술포늄염, 요오도늄염, 술포닐디아조메탄, N-술포닐옥시이미드, 옥심-O-술포네이트형 산발생제 등을 들 수 있으며, 그의 구체예로서는 일본 특허 공개 제2010-002599호 공보 (0108) 내지 (0116) 단락에 기재되어 있다.In order to function as a chemically amplified resist material, the compound ((C) photoacid generator) which generate | occur | produces an acid by exposure of a high energy ray may be included. As a component of the (C) photoacid generator, any compound may be used as long as it is a compound that generates an acid by irradiation with high energy ray, but preferred photoacid generators include sulfonium salt, iodonium salt, sulfonyldiazomethane, and N-sulfonyloxy. Mead, an oxime-O-sulfonate type acid generator, etc. are mentioned, As an example, it is described in Unexamined-Japanese-Patent No. 2010-002599 (0108)-(0116) paragraph.

광산발생제로서는, 특히 하기 화학식 (C)-1로 표시되는 것이 바람직하게 사용된다. Especially as a photo-acid generator, what is represented by following General formula (C) -1 is used preferably.

Figure pat00045
Figure pat00045

(식 중, R405, R406, R407은 각각 독립적으로 수소 원자, 또는 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 20의 직쇄상, 분지상 또는 환상의 1가의 탄화수소기, 특히 알킬기 또는 알콕시기를 나타내고, R408은 헤테로 원자를 포함할 수도 있는 탄소수 7 내지 30의 직쇄상, 분지상 또는 환상의 1가의 탄화수소기를 나타냄)(Wherein R 405 , R 406 , R 407 each independently represents a hydrogen atom or a linear, branched or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms, which may include a hetero atom, in particular an alkyl group or an alkoxy group) R 408 represents a straight chain, branched or cyclic monovalent hydrocarbon group having 7 to 30 carbon atoms which may contain a hetero atom)

본 발명의 화학 증폭 레지스트 재료에 있어서의 광산발생제의 첨가량은, 본 발명의 효과를 방해하지 않는 범위이면 어떠한 것도 상관없지만, 화학 증폭 레지스트 재료 중의 베이스 수지 100 질량부에 대하여 0 내지 40 질량부, 특히 0.1 내지 40 질량부, 나아가서는 0.1 내지 20 질량부인 것이 바람직하다. 광산발생제의 비율이 이러한 범위 내이면, 해상성의 열화나, 현상/레지스트 박리시의 이물질의 문제가 일어날 우려가 없기 때문에 바람직하다. 광산발생제는 단독으로도, 2종 이상을 혼합하여 사용할 수도 있다. 또한, 노광 파장에서의 투과율이 낮은 광산발생제를 사용하여, 그의 첨가량으로 레지스트막 중의 투과율을 제어할 수도 있다.The addition amount of the photoacid generator in the chemically amplified resist material of the present invention may be any amount as long as it does not interfere with the effects of the present invention, but may be 0 to 40 parts by mass relative to 100 parts by mass of the base resin in the chemically amplified resist material. In particular, it is preferable that it is 0.1-40 mass parts, Furthermore, it is 0.1-20 mass parts. If the ratio of the photo-acid generator is within such a range, it is preferable because there is no fear of deterioration of resolution and foreign matters at the time of development / resist release. The photoacid generators may be used alone or in combination of two or more thereof. Moreover, the transmittance | permeability in a resist film can also be controlled with the addition amount using the photoacid generator with low transmittance | permeability in an exposure wavelength.

또한, 본 발명의 화학 증폭 레지스트 재료에는 (D) 염기성 화합물을 첨가할 수도 있다. 이러한 (D) 염기성 화합물로서는, 1급, 2급, 3급의 지방족 아민류, 혼성 아민류, 방향족 아민류, 복소환 아민류, 카르복시기를 갖는 질소 함유 화합물, 술포닐기를 갖는 질소 함유 화합물, 수산기를 갖는 질소 함유 화합물, 히드록시페닐기를 갖는 질소 함유 화합물, 알코올성 질소 함유 화합물, 아미드류, 이미드류, 카르바메이트류, 암모늄염류 등을 들 수 있으며, 그의 구체예로서는 일본 특허 공개 제2009-269953호 공보에 기재되어 있다.In addition, the (D) basic compound may be added to the chemically amplified resist material of the present invention. Examples of the basic compound (D) include primary, secondary and tertiary aliphatic amines, hybrid amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxyl group, nitrogen-containing compounds having a sulfonyl group, and nitrogen-containing compounds having a hydroxyl group. Compounds, nitrogen-containing compounds having hydroxyphenyl groups, alcoholic nitrogen-containing compounds, amides, imides, carbamates, ammonium salts and the like, and the like, and specific examples thereof are described in Japanese Patent Laid-Open No. 2009-269953. have.

이 경우, 염기성 화합물은 1종을 단독으로 또는 2종 이상을 조합하여 사용할 수 있으며, 그의 배합량은 본 발명의 상기 화학식 1로 표시되는 반복 단위의 효과를 방해하지 않는 범위이면 어떠한 것도 상관없지만, 베이스 수지 100 질량부에 대하여 0.001 내지 12 질량부, 특히 0.01 내지 8 질량부가 바람직하다.In this case, a basic compound can be used individually by 1 type or in combination of 2 or more types, and the compounding quantity does not matter as long as it is a range which does not prevent the effect of the repeating unit represented by the said General formula (1) of this invention, 0.001-12 mass parts is preferable with respect to 100 mass parts of resin, Especially 0.01-8 mass parts is preferable.

본 발명의 화학 증폭 레지스트 재료는, 유기산 유도체 및/또는 불소 치환 알코올, 중량 평균 분자량 3,000 이하의 용해 저지제, 계면활성제 중 어느 1개 이상을 더 함유할 수 있다.The chemically amplified resist material of the present invention may further contain any one or more of an organic acid derivative and / or a fluorine-substituted alcohol, a weight average molecular weight of 3,000 or less dissolution inhibitor, and a surfactant.

유기산 유도체 및/또는 불소 치환 알코올, 중량 평균 분자량 3,000 이하의 화합물(용해 저지제)의 첨가는 임의이지만, 일본 특허 공개 제2009-269953호 공보에 기재된 화합물을 참조할 수 있다.The addition of an organic acid derivative and / or a fluorine-substituted alcohol and a compound having a weight average molecular weight of 3,000 or less (dissolution inhibiting agent) is optional, but the compounds described in JP2009-269953A can be referred to.

계면활성제에 대해서는, 일본 특허 공개 제2009-269953호 공보에 기재된 (E) 정의 성분을 참조할 수 있다. 또한, 일본 특허 공개 제2008-122932호 공보, 일본 특허 공개 제2010-134012호 공보, 일본 특허 공개 제2010-107695호 공보, 일본 특허 공개 제2009-276363호 공보, 2009-192784호 공보, 2009-191151호 공보, 일본 특허 공개 제2009-98638호 공보도 참조할 수 있으며, 통상의 계면활성제 및 알칼리 가용형 계면활성제를 사용할 수 있다.About surfactant, the (E) definition component described in Unexamined-Japanese-Patent No. 2009-269953 can be referred. In addition, Japanese Patent Laid-Open No. 2008-122932, Japanese Patent Laid-Open No. 2010-134012, Japanese Patent Laid-Open No. 2010-107695, Japanese Patent Laid-Open No. 2009-276363, 2009-192784, 2009- 191151 and Unexamined-Japanese-Patent No. 2009-98638 can also be referred to, and can use a conventional surfactant and alkali-soluble surfactant.

상기 계면활성제의 첨가량은, 레지스트 재료의 베이스 수지 100 질량부에 대하여 0.001 내지 20 질량부, 바람직하게는 0.01 내지 10 질량부의 범위이다. 이들은 일본 특허 공개 제2007-297590호 공보에 상세하다.The addition amount of the said surfactant is 0.001-20 mass parts with respect to 100 mass parts of base resin of a resist material, Preferably it is the range of 0.01-10 mass parts. These are detailed in Unexamined-Japanese-Patent No. 2007-297590.

이어서, 본 발명에서는, 상술한 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법을 제공한다.Next, the present invention includes a step of applying the above-described chemically amplified resist material on a substrate, a step of exposing with a high energy ray through a photomask after heat treatment, and a step of developing using a developer. A pattern forming method is provided.

또한, 본 발명에서는, 상술한 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 물에 불용이며 알칼리 현상액에 가용인 보호막을 도포하는 공정과, 상기 기판과 투영 렌즈 사이에 물을 삽입하여 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법을 제공한다.Further, in the present invention, a process of applying the above-described chemically amplified resist material on a substrate, a process of applying a protective film insoluble in water and soluble in an alkaline developer after heat treatment, and inserting water between the substrate and the projection lens Thereby provides a pattern forming method comprising the step of exposing to a high energy ray through a photomask, and the step of developing using a developer.

상세히 설명하면, 본 발명의 레지스트 재료를 사용하여 패턴을 형성하기 위해서는 공지된 리소그래피 기술을 채용하여 행할 수 있으며, 예를 들면 집적 회로 제조용의 기판(Si, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, 유기 반사 방지막 등), 또는 마스크 회로 제조용의 기판(Cr, CrO, CrON, MoSi 등)에 스핀 코팅 등의 방법으로 막 두께가 0.05 내지 2.0 ㎛가 되도록 도포하고, 이것을 핫 플레이트 위에서 60 내지 150 ℃, 1 내지 10분간, 바람직하게는 80 내지 140 ℃, 1 내지 5분간 프리 베이킹한다. 이어서 목적으로 하는 패턴을 형성하기 위한 마스크를 상기한 레지스트막 위에 꽂고, 원자외선, 엑시머 레이저, X선, 전자선 등의 고에너지선을 노광량 1 내지 200 mJ/cm2, 바람직하게는 10 내지 100 mJ/cm2가 되도록 조사한다. 또는, 패턴 형성을 위한 마스크를 통하지 않고 전자선을 직접 묘화한다. 이어서, 핫 플레이트 상에서 60 내지 150 ℃, 1 내지 5분간, 바람직하게는 80 내지 140 ℃, 1 내지 3분간 노광한 후 소성(Post Expoure Bake; PEB)한다. 또한, 0.1 내지 5 질량%, 바람직하게는 2 내지 3 질량%의 테트라메틸암모늄히드록시드(TMAH) 등의 알칼리 수용액의 현상액을 사용하여, 0.1 내지 3분간, 바람직하게는 0.5 내지 2분간 침지(dip)법, 퍼들(puddle)법, 스프레이(spray)법 등의 통상법에 의해 현상하여, 기판 상에 목적으로 하는 패턴이 형성된다.In detail, in order to form a pattern using the resist material of the present invention, a well-known lithography technique may be employed, and for example, a substrate for manufacturing an integrated circuit (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, organic anti-reflective film, etc.) or a substrate for mask circuit manufacturing (Cr, CrO, CrON, MoSi, etc.) by coating such as spin coating to a film thickness of 0.05 to 2.0 ㎛, 60 on a hot plate Prebaking is carried out at -150 ° C for 1 to 10 minutes, preferably at 80 to 140 ° C for 1 to 5 minutes. Subsequently, a mask for forming a target pattern is inserted on the resist film, and high energy rays such as far ultraviolet rays, excimer lasers, X-rays, and electron beams are exposed at an exposure dose of 1 to 200 mJ / cm 2 , preferably 10 to 100 mJ. Investigate to be / cm 2 . Or the electron beam is drawn directly, without going through the mask for pattern formation. Subsequently, it is exposed to a hot plate at 60 to 150 ° C. for 1 to 5 minutes, preferably at 80 to 140 ° C. for 1 to 3 minutes, and then fired (Post Expoure Bake (PEB)). Further, 0.1 to 5% by mass, preferably 2 to 3% by mass of an aqueous solution of an alkali aqueous solution such as tetramethylammonium hydroxide (TMAH) is used for 0.1 to 3 minutes, preferably 0.5 to 2 minutes It develops by conventional methods, such as the dip method, the puddle method, and the spray method, and the target pattern is formed on a board | substrate.

또한, 유기 용제의 현상액을 사용하여 0.1 내지 3분간, 바람직하게는 0.5 내지 2분간 침지(dip)법, 퍼들(puddle)법, 스프레이(spray)법 등의 통상법에 의해 현상함으로써 미노광 부분이 용해되는 네가티브 패턴을 형성하는 것도 가능하다. 이때의 현상액으로서는, 2-옥타논, 2-노나논, 2-헵타논, 3-헵타논, 4-헵타논, 2-헥사논, 3-헥사논, 디이소부틸케톤, 메틸시클로헥사논, 아세토페논, 메틸아세토페논의 케톤류, 아세트산프로필, 아세트산부틸, 아세트산이소부틸, 아세트산아밀, 아세트산부테닐, 아세트산이소아밀, 아세트산페닐, 포름산프로필, 포름산부틸, 포름산이소부틸, 포름산아밀, 포름산이소아밀, 발레르산메틸, 펜텐산메틸, 크로톤산메틸, 크로톤산에틸의 에스테르류, 벤조산메틸, 벤조산에틸, 아세트산페닐, 아세트산벤질, 페닐아세트산메틸, 포름산벤질, 포름산페닐에틸, 3-페닐프로피온산메틸, 프로피온산벤질, 페닐아세트산에틸, 아세트산 2-페닐에틸의 방향족 에스테르류를 바람직하게 사용할 수 있다.In addition, the unexposed portion is dissolved by developing using a developing solution of an organic solvent for 0.1 to 3 minutes, preferably 0.5 to 2 minutes by conventional methods such as the dip method, the puddle method, and the spray method. It is also possible to form negative patterns that become. As a developing solution at this time, 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, Ketones of acetophenone and methyl acetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, phenyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, and isoformate Mill, methyl valeric acid, methyl pentenate, methyl crotonate, ester of ethyl crotonate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenyl acetate, benzyl formate, phenyl ethyl formate, methyl 3-phenylpropionate, Aromatic esters of benzyl propionate, ethyl phenyl acetate and 2-phenylethyl acetate can be preferably used.

또한, 본 발명의 레지스트 재료는, 다양한 수축 방법에 의해 현상 후의 패턴 치수를 축소시킬 수 있다. 예를 들면, 서멀 플로우, RELACS, SAFIRE, WASOOM 등 기지된 방법에 의해 홀 크기를 수축할 수 있다. 특히 중합체 Tg가 낮은 수소화 ROMP 중합체(시클로올레핀 개환 복분해 중합체 수소 첨가물) 등을 블렌드한 경우, 서멀 플로우에 의해 홀 크기를 효과적으로 축소시킬 수 있다.In addition, the resist material of the present invention can reduce the pattern size after development by various shrinking methods. For example, the hole size can be shrunk by known methods such as thermal flow, RELACS, SAFIRE, WASOOM. In particular, when the hydrogenated ROMP polymer (cycloolefin ring-opening metathesis polymer hydrogenated substance) or the like having a low polymer Tg is blended, the hole size can be effectively reduced by the thermal flow.

또한, 본 발명의 화학 증폭 레지스트 재료는, 특히 고에너지선 중에서도 250 내지 190 nm의 원자외선 또는 엑시머 레이저, X선 및 전자선에 의한 미세 패터닝에 최적이다. 또한, 상기 범위 내이면, 목적으로 하는 패턴을 얻을 수 있기 때문에 바람직하다.In addition, the chemically amplified resist material of the present invention is particularly suitable for fine patterning by 250-190 nm deep ultraviolet rays or excimer lasers, X-rays and electron beams, even among high energy rays. Moreover, since the target pattern can be obtained as it is in the said range, it is preferable.

또한, 노광은 통상의 노광법 이외에 경우에 따라 액침(Immersion)법을 이용하는 것도 가능하다. 액침 리소그래피는, 프리 베이킹 후의 레지스트막과 투영 렌즈 사이(기판과 투영 렌즈 사이)에 액침 매체(바람직하게는 물)를 삽입하여 노광한다. ArF 액침 리소그래피에서는, 액침 매체로서 주로 순수가 사용된다. NA가 1.0 이상인 투영 렌즈와 조합하는 것은 ArF 리소그래피를 65 nm 노드 이후까지 연명시키기 위한 중요한 기술이며, 개발이 가속되고 있다. 이 경우에는 물에 불용인 보호막을 사용하는 것도 가능하다.In addition, exposure can also use an immersion method in addition to a normal exposure method. In immersion lithography, an immersion medium (preferably water) is inserted between the resist film and the projection lens (preferably between the substrate and the projection lens) after prebaking and exposed. In ArF immersion lithography, pure water is mainly used as the immersion medium. Combination with projection lenses with NA greater than 1.0 is an important technique for extending ArF lithography to 65 nm nodes and beyond, and development is accelerating. In this case, it is also possible to use a protective film insoluble in water.

상술한 물에 불용인 보호막은 레지스트막으로부터의 용출물을 방지하고, 막 표면의 활수성을 높이기 위해 사용되며, 크게 나누어 2 종류가 있다. 1 종류는 레지스트막을 용해하지 않는 유기 용제에 의해 알칼리 현상 전에 박리가 필요한 유기 용제 박리형과, 다른 1 종류는 알칼리 현상액에 가용이며 레지스트막 가용부의 제거와 함께 보호막을 제거하는 알칼리 가용형이다.The above-mentioned protective film insoluble in water is used to prevent the eluate from the resist film and to increase the water slidability of the film surface. One type is the organic solvent peeling type which requires peeling before alkali development by the organic solvent which does not melt a resist film, and the other type is an alkali soluble type which is soluble in an alkaline developing solution and removes a protective film with removal of a resist film soluble part.

후자는 특히 물에 불용이며 알칼리 현상액에 용해하는 1,1,1,3,3,3-헥사플루오로-2-프로판올 잔기를 갖는 고분자 화합물을 베이스로 하고, 탄소수 4 이상의 알코올계 용제, 탄소수 8 내지 12의 에테르계 용제 및 이들의 혼합 용매에 용해시킨 재료가 바람직하다.The latter is based on a high molecular compound having a 1,1,1,3,3,3-hexafluoro-2-propanol moiety, which is particularly insoluble in water and soluble in an alkaline developer, and is an alcoholic solvent having 4 or more carbon atoms, 8 carbon atoms. Preferred are materials dissolved in an ether solvent of 12 to 12 and a mixed solvent thereof.

상술한 물에 불용이며 알칼리 현상액에 가용인 계면활성제를 탄소수 4 이상의 알코올계 용제, 탄소수 8 내지 12의 에테르계 용제 또는 이들의 혼합 용매에 용해시킨 재료로 할 수도 있다.It can also be set as the material which melt | dissolved the surfactant insoluble in water mentioned above and soluble in alkaline developing solution in the C4 or more alcohol solvent, the C8-C12 ether solvent, or these mixed solvents.

또한, 패턴 형성 방법의 수단으로서, 포토레지스트막 형성 후에 순수 린스(포스트소크)를 행함으로써 막 표면으로부터의 산발생제 등의 추출, 또는 파티클의 세정 제거를 행할 수도 있고, 노광 후에 막 위에 남은 물을 제거하기 위한 린스(포스트소크)를 행할 수도 있다.In addition, as a means of the pattern formation method, pure water rinsing (postsoaking) may be performed after the photoresist film is formed to extract an acid generator or the like from the surface of the film, or to wash away the particles. Rinsing (postsoaking) may be performed to remove this.

[실시예][Example]

이하, 합성예, 비교 합성예, 실시예 및 비교예를 나타내어 본 발명을 구체적으로 설명하지만, 본 발명은 하기 실시예로 제한되는 것은 아니다. 또한, 하기 예 중, Me는 메틸기를 나타낸다.Hereinafter, although a synthesis example, a comparative synthesis example, an Example, and a comparative example are shown and this invention is demonstrated concretely, this invention is not limited to a following example. In addition, Me represents a methyl group in the following example.

(합성예 1) 중합체-1의 합성Synthesis Example 1 Synthesis of Polymer-1

질소 분위기로 한 플라스크에 4.94 g의 트리페닐술포늄=2-(6-메타크릴로일옥시-2-옥소헥사히드로-3,5-메타노-2H-시클로펜타[b]푸란-7-카르보닐옥시)에탄술포네이트, 19.0 g의 메타크릴산=1-이소프로필시클로펜틸, 6.61 g의 메타크릴산=2-옥소테트라히드로푸란-3-일, 12.09 g의 메타크릴산=5-옥소4,8-디옥사트리시클로[4.2.1.03,7]논-2-일, 2.20 g의 V-601(와코 쥰야꾸 제조), 2-머캅토에탄올 0.45 g을 68 g의 GBL(감마부티로락톤)에 용해하여, 단량체-중합 개시제 용액을 제조하였다. 질소 분위기로 한 별도의 플라스크에 24 g의 GBL을 취하고, 교반하면서 80 ℃까지 가열한 후, 상기 단량체 용액을 4시간에 걸쳐서 적하하였다. 적하 종료 후, 중합액의 온도를 80 ℃로 유지한 채로 2시간 동안 교반을 계속하고, 이어서 실온까지 냉각하였다. 얻어진 중합액을 격하게 교반한 640 g의 물-메탄올 용액(중량비 3:7)에 적하하고, 석출된 공중합체를 여과 분별하였다. 공중합체를 240 g의 물-메탄올 용액(중량비 3:7)로 2회 세정한 후, 50 ℃에서 20시간 동안 진공 건조하여 30.3 g의 백색 분말상의 공중합체를 얻었다. 공중합체를 13C-NMR로 분석한 바, 공중합 조성비는 상기한 단량체 순으로 2/50/20/28 몰%였다.4.94 g of triphenylsulfonium = 2- (6-methacryloyloxy-2-oxohexahydro-3,5-methano-2H-cyclopenta [b] furan-7-car in a flask with nitrogen atmosphere Bonyloxy) ethanesulfonate, 19.0 g methacrylic acid = 1-isopropylcyclopentyl, 6.61 g methacrylic acid = 2-oxotetrahydrofuran-3-yl, 12.09 g methacrylic acid = 5-oxo4 0.45 g of, 8-dioxatricyclo [4.2.1.0 3,7 ] non-2-yl, 2.20 g of V-601 (manufactured by Wako Pure Chemical), 2-mercaptoethanol and 68 g of GBL (gammabutyro) Lactone) to prepare a monomer-polymerization initiator solution. 24 g of GBL was taken to another flask made into nitrogen atmosphere, heated to 80 degreeC, stirring, and the said monomer solution was dripped over 4 hours. After completion of dropping, stirring was continued for 2 hours while maintaining the temperature of the polymerization liquid at 80 ° C, and then cooled to room temperature. The obtained polymer liquid was dripped at 640 g of water-methanol solutions (weight ratio 3: 7) stirred vigorously, and the precipitated copolymer was separated by filtration. The copolymer was washed twice with 240 g of water-methanol solution (weight ratio 3: 7), and then vacuum dried at 50 ° C. for 20 hours to obtain 30.3 g of a white powdery copolymer. When the copolymer was analyzed by 13 C-NMR, the copolymer composition ratio was 2/50/20/28 mol% in the order of the monomers described above.

Figure pat00046
Figure pat00046

(합성예 2 내지 7, 비교 합성예 1 내지 4) 중합체-2 내지 중합체-7, 중합체 A 내지 D의 합성(Synthesis Examples 2 to 7, Comparative Synthesis Examples 1 to 4) Synthesis of Polymer-2 to Polymer-7 and Polymers A to D

각 단량체의 종류, 배합비를 변경한 것 이외에는, 상기 합성예 1과 동일한 절차에 의해 하기에 나타낸 고분자 화합물을 제조하였다.Except having changed the kind and compounding ratio of each monomer, the high molecular compound shown below was manufactured by the same procedure as the said synthesis example 1.

Figure pat00047
Figure pat00047

Figure pat00048
Figure pat00048

Figure pat00049
Figure pat00049

화학 증폭 레지스트 재료의 제조(실시예 1-1 내지 1-7, 비교예 1-1 내지 1-4) Preparation of Chemically Amplified Resist Materials (Examples 1-1 to 1-7, Comparative Examples 1-1 to 1-4)

상기 합성예에서 나타낸 고분자 화합물을 사용하고, 하기 광산발생제, 켄처, 알칼리 가용형 계면활성제 (SF-1)을 하기 표 1에 나타낸 조성으로 하기 계면활성제 A(옴노바사 제조) 0.01 질량%를 포함하는 용매 중에 용해하여 레지스트 재료를 조합하고, 레지스트 재료를 0.2 ㎛의 테플론(등록 상표)제 필터로 여과함으로써, 레지스트 용액 (R-01 내지 R11)을 각각 제조하였다.0.01 mass% of the following surfactant A (manufactured by Ohm Nova) was prepared using the polymer compound shown in the above Synthesis Example and having the following photoacid generator, quencher and alkali-soluble surfactant (SF-1) as shown in Table 1 below. The resist solutions (R-01 to R11) were each prepared by dissolving in a containing solvent to combine resist materials and filtering the resist material with a 0.2 micrometer Teflon (trademark) filter.

또한, 표 1에 있어서, 상기 합성예에서 나타낸 고분자 화합물과 함께 레지스트 재료로서 사용한 광산발생제, 켄처, 용제, 알칼리 가용형 계면활성제 (SF-1)은 하기와 같다.In Table 1, the photoacid generator, the quencher, the solvent, and the alkali-soluble surfactant (SF-1) used as the resist material together with the polymer compound shown in the above synthesis example are as follows.

P-1 내지 P-7: 상기 중합체-1 내지 중합체-7P-1 to P-7: polymer-1 to polymer-7

P-A 내지 P-D: 상기 중합체-A 내지 중합체-DP-A to P-D: the above polymer-A to polymer-D

PAG-1: 4-t-부틸페닐디페닐술포늄=2-(아다만탄-1-카르보닐옥시)-1,1,3,3,3-펜타플루오로프로판-1-술포네이트PAG-1: 4-t-butylphenyldiphenylsulfonium = 2- (adamantane-1-carbonyloxy) -1,1,3,3,3-pentafluoropropane-1-sulfonate

PAG-2: N-노나플루오로부탄술포닐옥시-1,8-나프탈렌디카르복시이미드PAG-2: N-nonafluorobutanesulfonyloxy-1,8-naphthalenedicarboxyimide

Q-1: 1-벤질옥시카르보닐-2-페닐벤즈이미다졸Q-1: 1-benzyloxycarbonyl-2-phenylbenzimidazole

Q-2: 트리페닐술포늄=10-캄포술포네이트Q-2: triphenylsulfonium = 10-camphorsulfonate

PGMEA: 프로필렌글리콜모노메틸에테르아세테이트PGMEA: propylene glycol monomethyl ether acetate

GBL: γ-부티로락톤GBL:? -Butyrolactone

알칼리 가용형 계면활성제 (SF-1): 하기 화학식(일본 특허 공개 제2008-122932호 공보에 기재된 화합물)Alkali-soluble surfactant (SF-1): the following chemical formula (compound described in Japanese Patent Laid-Open No. 2008-122932)

Figure pat00050
Figure pat00050

계면활성제 A:Surfactant A:

3-메틸-3-(2,2,2-트리플루오로에톡시메틸)옥세탄ㆍ테트라히드로푸란ㆍ2,2-디메틸-1,3-프로판디올 공중합물(옴노바사 제조)(하기 화학식)3-methyl-3- (2,2,2-trifluoroethoxymethyl) oxetane, tetrahydrofuran, 2,2-dimethyl-1,3-propanediol copolymer (manufactured by Ohmova) )

Figure pat00051
Figure pat00051

Figure pat00052
Figure pat00052

ArFArF 노광  Exposure 패터닝Patterning 평가( evaluation( 실시예Example 2-1 내지  2-1 to 실시예Example 2-7,  2-7, 비교예Comparative example 2-1 내지  2-1 to 비교예Comparative example 2-4) 2-4)

실리콘 기판 상에 반사 방지막 용액(닛산 가가꾸 고교(주) 제조, ARC-29A)을 도포하고, 200 ℃에서 60초간 베이킹하여 제작한 반사 방지막(100 nm 막 두께) 기판 상에 레지스트 용액을 스핀 코팅하고, 핫 플레이트를 사용하여 100 ℃에서 60초간 베이킹하여, 120 nm 막 두께의 레지스트막을 제작하였다. 이것을 ArF 엑시머 레이저 스캐너((주)니콘 제조, NSR-S610C, NA=1.30, 이중극, Cr 마스크)를 사용하여 액침 노광하고, 80 ℃에서 60초간 베이킹(PEB)을 실시하여, 2.38 질량%의 테트라메틸암모늄히드록시드의 수용액으로 60초간 현상을 행하였다.Spin coating a resist solution on an antireflection film (100 nm film thickness) substrate prepared by applying an antireflection film solution (Nissan Chemical Industries, Ltd., ARC-29A) on a silicon substrate and baking at 200 ° C. for 60 seconds. Then, it baked for 60 second at 100 degreeC using the hotplate, and the resist film of 120 nm film thickness was produced. This was immersed and exposed to light using an ArF excimer laser scanner (Nikon Corporation, NSR-S610C, NA = 1.30, bipolar, Cr mask), and baked (PEB) at 80 ° C. for 60 seconds to give 2.38 mass% of tetra The development was performed for 60 seconds with an aqueous solution of methylammonium hydroxide.

레지스트 형상의 평가는, 40 nm의 그룹의 라인 앤드 스페이스를 1:1로 해상하는 노광량을 최적 노광량(Eop, mJ/cm2)으로 하였다. 상기 최적 노광량에서의 패턴 형상 및 러프니스(LWR)를 전자 현미경으로 관찰하여 평가하였다.Evaluation of the resist shape made the exposure amount which resolves the line and space of a 40 nm group 1: 1 to the optimal exposure amount (Eop, mJ / cm <2> ). The pattern shape and roughness (LWR) at the said optimal exposure amount were observed and evaluated by the electron microscope.

패턴 형상의 평가 기준은 이하의 것으로 하였다.The evaluation criteria of the pattern shape were as follows.

직사각형: 라인 측벽이 수직이며, 바텀(기판 부근)으로부터 톱까지 치수 변화가 적고 양호.Rectangular: Line sidewalls are vertical, with small dimensional changes from bottom (near substrate) to top and good.

테이퍼: 바텀으로부터 톱에 걸쳐서 라인 치수가 작아지는 형상.Taper: A shape in which the line dimension decreases from the bottom to the top.

레지스트 성분의 액침수로의 용출량의 평가는, 우선 상기 방법으로 레지스트막을 형성한 웨이퍼 상에 내경 10 cm의 진원 형상의 테플론(등록 상표) 링을 놓고, 그 중에 10 ml의 순수를 주의 깊게 부어 실온에서 60초간 레지스트막과 순수를 접촉시켰다. 그 후, 순수를 회수하고, 순수 중의 술포늄염의 음이온 성분 농도(mol/cm2ㆍ초)를 LC-MS 분석 장치(애질런트ㆍ테크놀로지(주) 제조)로 측정하였다.Evaluation of the amount of the resist component dissolved into the immersion water was first placed on a 10 cm inner diameter Teflon (registered trademark) ring on the wafer on which the resist film was formed by the above method, and carefully poured 10 ml of pure water thereinto room temperature. The resist film was contacted with pure water for 60 seconds at. Then, pure water was collect | recovered and the anion component concentration (mol / cm <2> second) of the sulfonium salt in pure water was measured by the LC-MS analyzer (made by Agilent Technologies).

레지스트 패턴의 기판으로의 밀착성에 대해서는, 전자 현미경으로 상공 관찰을 행하여 박리 결함의 유무를 평가하였다.About the adhesiveness to the board | substrate of a resist pattern, it observed with the electron microscope and evaluated the presence or absence of a peeling defect.

각 레지스트 재료의 평가 결과를 표 2에 나타낸다.Table 2 shows the evaluation results of each resist material.

Figure pat00053
Figure pat00053

표 2의 결과로부터, 본 발명의 특정 구조의 술포늄염을 반복 단위 중에 갖는 고분자 화합물을 레지스트 재료의 베이스 수지로서 사용하면, 패턴의 직사각형성이 우수하고, 술포늄염의 음이온 성분의 용출이 낮고, LWR가 작고, 패턴의 기판으로의 밀착성도 양호하다는 것이 확인되었다. 이상의 점으로부터, 본 발명의 레지스트 재료는 액침 리소그래피 재료로서 바람직하다.From the results in Table 2, when the polymer compound having the sulfonium salt of the specific structure of the present invention in the repeating unit is used as the base resin of the resist material, the rectangularity of the pattern is excellent, the elution of the anion component of the sulfonium salt is low, and the LWR Was small and it was confirmed that the adhesiveness to the board | substrate of a pattern was also favorable. In view of the above, the resist material of the present invention is preferable as an immersion lithography material.

또한, 본 발명은 상기 실시 형태로 한정되는 것은 아니다. 상기 실시 형태는 예시이며, 본 발명의 특허청구범위에 기재된 기술적 사상과 실질적으로 동일한 구성을 갖고, 동일한 작용 효과를 발휘하는 것은, 어떠한 것이어도 본 발명의 기술적 범위에 함유된다.The present invention is not limited to the above embodiments. The said embodiment is an illustration, Any thing which has a structure substantially the same as the technical idea described in the claim of this invention, and exhibits the same effect is contained in the technical scope of this invention.

Claims (5)

하기 화학식 1로 표시되는 반복 단위를 함유하는 고분자 화합물.
<화학식 1>
Figure pat00054

(식 중, R1은 수소 원자, 불소 원자, 메틸기 및 트리플루오로메틸기 중 어느 하나를 나타내고, R2, R3 및 R4는 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기, 알케닐기 및 옥소알킬기 중 어느 하나, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 및 아릴옥소알킬기 중 어느 하나를 나타내거나, 또는 R2, R3 및 R4 중 어느 2개 이상이 서로 결합하여 식 중의 황 원자와 함께 환을 형성할 수도 있고, X1은 O 또는 CH2를 나타내고, A1은 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 2가 탄화수소기를 나타내고, B1은 불소를 제외한 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 10의 알킬렌기 또는 탄소수 6 내지 18의 아릴렌기를 나타내고, k1은 0 또는 1의 정수를 나타냄)
A polymer compound containing a repeating unit represented by the following formula (1).
&Lt; Formula 1 >
Figure pat00054

(Wherein R 1 represents any one of a hydrogen atom, a fluorine atom, a methyl group and a trifluoromethyl group, and R 2 , R 3 and R 4 each independently represent a substituted or unsubstituted straight chain having 1 to 10 carbon atoms, Any of branched or cyclic alkyl, alkenyl and oxoalkyl groups, or a substituted or unsubstituted aryl group having 6 to 18 carbon atoms, an aralkyl group and an aryloxoalkyl group, or R 2 , R 3 and Any two or more of R 4 may be bonded to each other to form a ring together with a sulfur atom in the formula, X 1 represents O or CH 2 , and A 1 is linear, branched or cyclic having 1 to 10 carbon atoms. Represents a divalent hydrocarbon group, B 1 represents an alkylene group having 1 to 10 carbon atoms or an arylene group having 6 to 18 carbon atoms, which may include a hetero atom other than fluorine, and k 1 represents an integer of 0 or 1)
제1항에 있어서, 상기 화학식 1로 표시되는 반복 단위에 추가로, 산불안정기를 갖는 반복 단위를 함유하는 것임을 특징으로 하는 고분자 화합물.The polymer compound according to claim 1, further comprising a repeating unit having an acid labile group in addition to the repeating unit represented by the formula (1). (A) 제1항 또는 제2항에 기재된 고분자 화합물, (B) 유기 용제, (C) 광산발생제 및 (D) 염기성 화합물을 함유하는 것임을 특징으로 하는 화학 증폭 레지스트 재료.The chemically amplified resist material containing (A) the high molecular compound of Claim 1 or 2, (B) organic solvent, (C) photoacid generator, and (D) basic compound. 제3항에 기재된 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법.A step of applying the chemically amplified resist material according to claim 3 on a substrate, a step of exposing with a high energy ray through a photomask after heat treatment, and a step of developing using a developer. Way. 제3항에 기재된 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 물에 불용이며 알칼리 현상액에 가용인 보호막을 도포하는 공정과, 상기 기판과 투영 렌즈 사이에 물을 삽입하여 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법.A process of applying the chemically amplified resist material according to claim 3 on a substrate, a process of applying a protective film insoluble in water and soluble in an alkaline developer after heat treatment, and inserting water between the substrate and the projection lens to insert a photomask. And a step of developing using a developing solution and exposing with high energy rays.
KR1020120056565A 2011-05-30 2012-05-29 Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition KR101782172B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020120056565A KR101782172B1 (en) 2011-05-30 2012-05-29 Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011120457A JP5491450B2 (en) 2011-05-30 2011-05-30 A polymer compound, a chemically amplified resist material, and a pattern forming method using the chemically amplified resist material.
JPJP-P-2011-120457 2011-05-30
KR1020120056565A KR101782172B1 (en) 2011-05-30 2012-05-29 Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition

Publications (2)

Publication Number Publication Date
KR20120134046A true KR20120134046A (en) 2012-12-11
KR101782172B1 KR101782172B1 (en) 2017-09-26

Family

ID=47517330

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120056565A KR101782172B1 (en) 2011-05-30 2012-05-29 Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition

Country Status (1)

Country Link
KR (1) KR101782172B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200110439A (en) * 2018-02-28 2020-09-23 후지필름 가부시키가이샤 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, electronic device manufacturing method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4998746B2 (en) 2008-04-24 2012-08-15 信越化学工業株式会社 Polymer compound containing sulfonium salt, resist material, and pattern forming method
TWI400226B (en) 2008-10-17 2013-07-01 Shinetsu Chemical Co Polymerizable anion-containing sulfonium salt and polymer, resist composition, and patterning process
JP5216032B2 (en) 2010-02-02 2013-06-19 信越化学工業株式会社 Novel sulfonium salt, polymer compound, method for producing polymer compound, resist material and pattern forming method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200110439A (en) * 2018-02-28 2020-09-23 후지필름 가부시키가이샤 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, electronic device manufacturing method

Also Published As

Publication number Publication date
KR101782172B1 (en) 2017-09-26

Similar Documents

Publication Publication Date Title
JP5491450B2 (en) A polymer compound, a chemically amplified resist material, and a pattern forming method using the chemically amplified resist material.
JP6651965B2 (en) Monomer, polymer compound, resist composition and pattern forming method
JP6583136B2 (en) Novel sulfonium compound and method for producing the same, resist composition, and pattern forming method
JP6237428B2 (en) Pattern formation method
TWI564282B (en) Photo acid generator, chemically amplified resist composition, and patterning process
JP5768640B2 (en) Sulfonium salt, resist material and pattern forming method
JP5783137B2 (en) Sulfonium salt, polymer compound, resist material, and pattern forming method
TWI637939B (en) Sulfonium compound, resist composition, and patterning process
KR102087517B1 (en) Sulfonium compound, resist composition, and patterning process
JP7172975B2 (en) Novel Onium Salt, Chemically Amplified Resist Composition, and Pattern Forming Method
KR20130098216A (en) Polymer, making method, resist composition, and patterning process
JP6020347B2 (en) Polymer compound, resist material, and pattern forming method
JP5790631B2 (en) Sulfonium salt and polymer compound, resist material, pattern forming method, and method for producing the polymer compound
JP7111047B2 (en) Sulfonium compound, chemically amplified resist composition, and pattern forming method
JP7056524B2 (en) New salt compounds, chemically amplified resist compositions, and pattern forming methods
JP2014133725A (en) Sulfonium salt, resist material and patterning process
TWI820355B (en) Resist composition and patterning process
KR101782172B1 (en) Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition
KR102665143B1 (en) Resist composition and pattern forming process
KR20220068929A (en) Resist composition and pattern forming process
JP2023046675A (en) Amine compound, chemically amplified resist composition, and pattern forming method
KR20240067030A (en) Amine compound, chemically amplified resist composition and patterning process
JP2023046701A (en) Chemically amplified resist composition and pattern forming method

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant