KR101782172B1 - Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition - Google Patents

Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition Download PDF

Info

Publication number
KR101782172B1
KR101782172B1 KR1020120056565A KR20120056565A KR101782172B1 KR 101782172 B1 KR101782172 B1 KR 101782172B1 KR 1020120056565 A KR1020120056565 A KR 1020120056565A KR 20120056565 A KR20120056565 A KR 20120056565A KR 101782172 B1 KR101782172 B1 KR 101782172B1
Authority
KR
South Korea
Prior art keywords
group
acid
formula
carbon atoms
substituted
Prior art date
Application number
KR1020120056565A
Other languages
Korean (ko)
Other versions
KR20120134046A (en
Inventor
마사요시 사게하시
요우이찌 오사와
고지 하세가와
도모히로 고바야시
Original Assignee
신에쓰 가가꾸 고교 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2011120457A external-priority patent/JP5491450B2/en
Application filed by 신에쓰 가가꾸 고교 가부시끼가이샤 filed Critical 신에쓰 가가꾸 고교 가부시끼가이샤
Priority to KR1020120056565A priority Critical patent/KR101782172B1/en
Publication of KR20120134046A publication Critical patent/KR20120134046A/en
Application granted granted Critical
Publication of KR101782172B1 publication Critical patent/KR101782172B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • C08F220/382Esters containing sulfur and containing oxygen, e.g. 2-sulfoethyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2/00Processes of polymerisation
    • C08F2/44Polymerisation in the presence of compounding ingredients, e.g. plasticisers, dyestuffs, fillers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/111Polymer of unsaturated acid or ester

Abstract

본 발명은 ArF 엑시머 레이저광, EUV 등의 고에너지선을 광원으로 한 포토리소그래피에 있어서, 해상성이 우수하고, 특히 패턴 형상의 직사각형성이 우수하고, 나아가서는 기판으로의 밀착성이 우수한 패턴을 형성할 수 있는 레지스트 재료를 얻을 수 있는 고분자 화합물, 상기 고분자 화합물을 함유하는 화학 증폭 레지스트 재료 및 이 화학 증폭 레지스트 재료를 사용한 패턴 형성 방법을 제공한다.
본 발명은 하기 화학식 1로 표시되는 반복 단위를 함유하는 고분자 화합물을 제공한다.
<화학식 1>

Figure 112012042531248-pat00055
An object of the present invention is to provide a photolithography method using photolithography using a high-energy beam such as ArF excimer laser light or EUV as a light source, forming a pattern having excellent resolution, particularly excellent rectangularity of pattern shape, A chemically amplified resist material containing the polymer compound, and a pattern forming method using the chemically amplified resist material.
The present invention provides a polymer compound containing a repeating unit represented by the following formula (1).
&Lt; Formula 1 >
Figure 112012042531248-pat00055

Description

고분자 화합물, 화학 증폭 레지스트 재료, 이 화학 증폭 레지스트 재료를 사용한 패턴 형성 방법{POLYMER, CHEMICALLY AMPLIFIED RESIST COMPOSITION, AND PATTERNING PROCESS USING SAID CHEMICALLY AMPLIFIED RESIST COMPOSITION}TECHNICAL FIELD The present invention relates to a polymer compound, a chemically amplified resist material, and a pattern forming method using the chemically amplified resist material. BACKGROUND OF THE INVENTION 1. Field of the Invention [0002]

본 발명은, (1) 고분자 화합물, (2) 이 고분자 화합물을 함유하는 화학 증폭 레지스트 재료 및 (3) 이 화학 증폭 레지스트 재료를 사용한 패턴 형성 방법에 관한 것이다. 또한, 본 발명에서 고에너지선이란, 자외선, 원자외선, 전자선, EUV, X선, 엑시머 레이저, γ선, 싱크로트론 방사선을 포함하는 것이다.The present invention relates to (1) a polymer compound, (2) a chemically amplified resist material containing the polymer compound, and (3) a pattern forming method using the chemically amplified resist material. In the present invention, the high energy rays include ultraviolet rays, deep ultraviolet rays, electron rays, EUV, X rays, excimer lasers,? Rays, and synchrotron radiation.

최근, LSI의 고집적화와 고속도화에 따라 패턴룰의 미세화가 요구되고 있는 가운데, 차세대의 미세 가공 기술로서 원자외선 리소그래피 및 진공 자외선 리소그래피가 유망시되고 있다. 그 중에서도 ArF 엑시머 레이저광을 광원으로 한 포토리소그래피는 0.13 ㎛ 이하의 초미세 가공에 불가결한 기술이다.In recent years, miniaturization of pattern rules has been demanded in accordance with the high integration and the high speed of LSI, and as a next generation microfabrication technique, far ultraviolet lithography and vacuum ultraviolet lithography are promising. Among them, photolithography using ArF excimer laser light as a light source is an indispensable technique for ultrafine processing of 0.13 μm or less.

ArF 리소그래피는 130 nm 노드의 디바이스 제작으로부터 부분적으로 사용되기 시작하여, 90 nm 노드 디바이스로부터는 주된 리소그래피 기술이 되었다. 다음의 45 nm 노드의 리소그래피 기술로서, 당초 F2 레이저를 사용한 157 nm 리소그래피가 유망시되었지만, 여러 문제에 의한 개발 지연이 지적되었기 때문에, 투영 렌즈와 웨이퍼 사이에 물, 에틸렌글리콜, 글리세린 등의 공기보다 굴절률이 높은 액체를 삽입함으로써, 투영 렌즈의 개구수(NA)를 1.0 이상으로 설계할 수 있으며, 고해상도를 달성할 수 있는 ArF 액침 리소그래피가 급부상하여(예를 들면, 비특허문헌 1 참조), 실용 단계에 있다. 이 액침 리소그래피를 위해서는, 물에 용출되기 어려운 레지스트 재료가 요구된다.ArF lithography began to be used in part from device fabrication at 130 nm nodes, becoming the dominant lithography technique from 90 nm node devices. 157 nm lithography using the F 2 laser was promising as a lithography technique for the next 45 nm node. However, since development delay due to various problems has been pointed out, it is necessary to use water such as water, ethylene glycol, glycerin By inserting a liquid having a higher refractive index, the numerical aperture (NA) of the projection lens can be designed to be 1.0 or more, and ArF immersion lithography capable of achieving high resolution is rapidly emerging (see, for example, Non-Patent Document 1) It is in practical use. For this immersion lithography, a resist material which is difficult to elute into water is required.

ArF 리소그래피에서는, 정밀하면서도 고가의 광학계 재료의 열화를 방지하기 위해, 적은 노광량으로 충분한 해상성을 발휘할 수 있는 감도가 높은 레지스트 재료가 요구되고 있으며, 실현하는 방책으로서는, 그의 각 성분으로서 파장 193 nm에서 고투명인 것을 선택하는 것이 가장 일반적이다. 예를 들면 베이스 수지에 대해서는, 폴리아크릴산 및 그의 유도체, 노르보르넨-무수 말레산 교호 중합체, 폴리노르보르넨 및 개환 복분해 중합체, 개환 복분해 중합체 수소 첨가물 등이 제안되어 있으며, 수지 단체의 투명성을 높이는 점에서는 어느 정도의 성과를 얻었다.In ArF lithography, a resist material with high sensitivity that can exhibit sufficient resolution at a low exposure dose is required in order to prevent deterioration of a precise but expensive optical system material. As a measure to be realized, It is most common to choose something that is more transparent. For example, as the base resin, there have been proposed polyacrylic acid and derivatives thereof, norbornene-maleic anhydride copolymer, polynorbornene and ring-opening metathesis polymer, and hydrogenated ring-opening metathesis polymer, and the like. I got some results in terms of points.

또한, 고해상도를 달성하기 위해 광산발생제나 첨가제도 다양한 검토가 이루어져 있다. 예를 들면, 노광에 의해 발생한 산의 확산을 제어하기 위해, 아민 등의 염기성 화합물을 켄처로서 첨가함으로써 고해상이 얻어진다는 것이 일반적으로 알려져 있다. 그 이외에, 광산발생제를 2종 이상 혼합하여 사용하여, 한쪽 광산발생제가 소위 약산을 발생하는 오늄염인 경우, 산 확산 제어의 기능을 갖게 할 수도 있다(특허문헌 1, 특허문헌 2). 즉, 불소 치환된 술폰산과 같은 강산을 발생하는 오늄염과, 불소 치환되어 있지 않은 술폰산이나, 카르복실산과 같은 약산을 발생하는 오늄염을 혼합하여 사용한 경우, 고에너지선 조사에 의해 광산발생제로부터 발생한 강산이 미반응된 약산 음이온을 갖는 오늄염과 충돌하면 염 교환에 의해 약산을 방출하고, 강산 음이온을 갖는 오늄염을 발생시킨다. 이 과정에서 강산이 보다 촉매능이 낮은 약산으로 교환되기 때문에 외관상, 산이 실활하여 산 확산의 제어를 행할 수 있다. 여기서 강산을 발생하는 광산발생제가 오늄염인 경우에는 고에너지선 조사에 의해 발생한 강산을 약산으로 교환할 수는 있지만, 고에너지선 조사에 의해 발생한 약산은 미반응된 강산을 발생하는 오늄염과 충돌하여 염 교환을 행할 수는 없다. 이들은 오늄 양이온이 강산의 음이온과 보다 이온쌍을 형성하기 쉽다는 현상에 기인한다. 그러나, 약산을 발생하는 오늄염 자체가 레지스트 재료 중에서 이동성, 확산성을 갖기 때문에, 리소그래피 특성에 주는 영향이나 액침액 중으로의 용출 등, 고해상의 달성이라는 점에서 과제가 남는다.In addition, various photoacid generators and additives have been reviewed to achieve high resolution. For example, it is generally known that a high resolution is obtained by adding a basic compound such as an amine as a quencher in order to control diffusion of an acid generated by exposure. In addition, in the case where one of the photoacid generators is an onium salt generating a so-called weak acid, a function of acid diffusion control may be provided by mixing two or more kinds of photoacid generators (Patent Document 1, Patent Document 2). That is, when an onium salt generating a strong acid such as a fluorine-substituted sulfonic acid and a sulfonic acid which is not fluorine-substituted or an onium salt which generates a weak acid such as a carboxylic acid are mixed and used, When the generated strong acid collides with an onium salt having an unreacted weak acid anion, it releases a weak acid by salt exchange and generates an onium salt having a strong acid anion. In this process, since the strong acid is exchanged into the weak acid having a lower catalytic activity, the acid diffusion can be controlled by the inactive appearance of the acid. In the case where the photoacid generator generating a strong acid is an onium salt, the strong acid generated by the high energy irradiation can be replaced with the weak acid, but the weak acid generated by the high energy irradiation may collide with the onium salt generating the unreacted strong acid So that the salt exchange can not be performed. These are due to the phenomenon that the onium cation is more likely to form an ion pair with the anion of the strong acid. However, since the onium salt itself which generates a weak acid has mobility and diffusibility in the resist material, there remains a problem in terms of attaining high resolution such as influence on lithography characteristics and elution into an immersion liquid.

또한, 약산의 음이온이 수지 중에 결합한 수지 결합형의 오늄염이 개발되어 있다(특허문헌 3,특허문헌 4). 이에 따라 약산 오늄염의 이동, 확산을 제어하는 것이 가능해졌지만, 약산 음이온이 첨가된 레지스트에서 종종 관찰되는 레지스트 패턴의 기판으로부터의 박리 등의 결함의 극복에는 아직 과제가 남는다.A resin-bound onium salt in which an anion of a weak acid is bonded to a resin has been developed (Patent Document 3, Patent Document 4). This makes it possible to control the migration and diffusion of the weak acid onium salt. However, there still remains a problem to overcome defects such as peeling of the resist pattern, which is often observed in the resist to which the weak acid anion is added, from the substrate.

일본 특허 공개 제2010-155824호 공보Japanese Patent Application Laid-Open No. 2010-155824 일본 특허 공개 제2008-158339호 공보Japanese Patent Application Laid-Open No. 2008-158339 WO 2010119910WO 2010119910 일본 특허 공개 제2011-37834호 공보Japanese Patent Application Laid-Open No. 2011-37834

Journal of photopolymer Science and Technology Vol.17, No.4, p587(2004) Journal of photopolymer Science and Technology Vol.17, No.4, p587 (2004)

본 발명은 상기 사정을 감안하여 이루어진 것이며, ArF 엑시머 레이저광, EUV 등의 고에너지선을 광원으로 한 포토리소그래피에 있어서, 해상성이 우수하고, 특히 패턴 형상의 직사각형성이 우수한 패턴을 형성할 수 있으며, 기판으로의 적절한 밀착성을 갖는 레지스트 재료를 얻을 수 있는 고분자 화합물, 상기 고분자 화합물을 함유하는 화학 증폭 레지스트 재료 및 이 화학 증폭 레지스트 재료를 사용한 패턴 형성 방법을 제공하는 것을 목적으로 한다.The present invention has been made in view of the above circumstances, and it is an object of the present invention to provide a method of forming a pattern having excellent resolution, particularly excellent in rectangularity of pattern shape, in photolithography using a high energy beam such as ArF excimer laser light or EUV as a light source A polymer compound capable of obtaining a resist material having suitable adhesiveness to a substrate, a chemically amplified resist material containing the polymer compound, and a pattern forming method using the chemically amplified resist material.

상기 과제를 해결하기 위해 본 발명에 따르면, 하기 화학식 1로 표시되는 반복 단위를 함유하는 고분자 화합물을 제공한다.According to the present invention, there is provided a polymer compound containing a repeating unit represented by the following general formula (1).

Figure 112012042531248-pat00001
Figure 112012042531248-pat00001

(식 중, R1은 수소 원자, 불소 원자, 메틸기 및 트리플루오로메틸기 중 어느 하나를 나타내고, R2, R3 및 R4는 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기, 알케닐기 및 옥소알킬기 중 어느 하나, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 및 아릴옥소알킬기 중 어느 하나를 나타내거나, 또는 R2, R3 및 R4 중 어느 2개 이상이 서로 결합하여 식 중의 황 원자와 함께 환을 형성할 수도 있고, X1은 O 또는 CH2를 나타내고, A1은 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 2가 탄화수소기를 나타내고, B1은 불소를 제외한 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 10의 알킬렌기 또는 탄소수 6 내지 18의 아릴렌기를 나타내고, k1은 0 또는 1의 정수를 나타냄)(Wherein R 1 represents any one of a hydrogen atom, a fluorine atom, a methyl group and a trifluoromethyl group, R 2 , R 3 and R 4 each independently represents a substituted or unsubstituted, straight, Represents a substituted or unsubstituted aryl group, an aralkyl group and an aryloxoalkyl group having 6 to 18 carbon atoms, or R 2 , R 3 and R 4 each independently represent a hydrogen atom, an alkyl group, an alkoxy group, R 4 may combine with each other to form a ring with the sulfur atom in the formula, X 1 represents O or CH 2 , A 1 represents a straight, branched or cyclic B 1 represents an alkylene group having from 1 to 10 carbon atoms or an arylene group having from 6 to 18 carbon atoms which may contain a hetero atom other than fluorine and k 1 represents an integer of 0 or 1)

상기 화학식 1로 표시되는 반복 단위는 B1이 불소 치환되어 있지 않은 술폰산의 술포늄염 구조를 갖고 있으며, 락톤 구조를 갖고 있다. 그 때문에, 이러한 반복 단위를 갖는 고분자 화합물을 화학 증폭 레지스트 재료의 베이스 수지로서 사용한 경우, 산발생제로부터 발생한 강산의 이동, 확산을 적절하게 제어하는 것이 가능하고, 얻어지는 레지스트 패턴은 직사각형성이 우수하고, 패턴의 기판으로의 밀착성도 양호하다. 나아가서는 술포늄염의 음이온 성분의 용출이 낮기 때문에, 특히 액침 리소그래피 재료로서 바람직하게 사용할 수 있다.The repeating unit represented by the formula (1) has a sulfonium salt structure of a sulfonic acid in which B 1 is not fluorine-substituted, and has a lactone structure. Therefore, when a polymer compound having such a repeating unit is used as a base resin of a chemically amplified resist material, migration and diffusion of strong acid generated from the acid generator can be appropriately controlled, and the resulting resist pattern is excellent in rectangularity , And the adhesion of the pattern to the substrate is also good. Further, since the elution of the anion component of the sulfonium salt is low, it can be preferably used as an immersion lithography material.

또한, 상기 고분자 화합물은, 상기 화학식 1로 표시되는 반복 단위에 추가로 산불안정기를 갖는 반복 단위를 함유하는 것임이 바람직하다.The polymer compound preferably contains a repeating unit having an acid labile group in addition to the repeating unit represented by the formula (1).

본 발명의 고분자 화합물 중에 포함되는 상기 화학식 1로 표시되는 반복 단위는, 산불안정 단위의 선택에 의해 산의 이동, 확산 제어의 기능뿐만 아니라, 그 자체가 산을 발생하는 단위로서 기능하는 것도 가능하다.The repeating unit represented by the formula (1) contained in the polymer compound of the present invention can function not only as an acid shift and diffusion control function by selection of an acid stable unit but also as a unit for generating an acid .

또한, 본 발명에서는 (A) 상기 고분자 화합물, (B) 유기 용제, (C) 광산발생제 및 (D) 염기성 화합물을 함유하는 것임을 특징으로 하는 화학 증폭 레지스트 재료를 제공한다.Further, the present invention provides a chemically amplified resist material characterized by containing (A) the polymer compound, (B) an organic solvent, (C) a photoacid generator, and (D) a basic compound.

이와 같이, 본 발명의 고분자 화합물을 함유하는 화학 증폭 레지스트 재료를 사용하면, 직사각형성이 우수하고, 기판으로의 밀착성이 양호한 레지스트 패턴을 얻을 수 있다.As described above, when a chemically amplified resist material containing the polymer compound of the present invention is used, a resist pattern having excellent rectangularity and having good adhesion to a substrate can be obtained.

또한, 본 발명에서는, 상기 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법을 제공한다.The present invention also includes a step of applying the chemically amplified resist material onto a substrate, a step of exposing the substrate to a high energy beam through a photomask after the heat treatment, and a step of developing by using a developer A method of forming a pattern is provided.

또한, 본 발명에서는, 상기 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 물에 불용이며 알칼리 현상액에 가용인 보호막을 도포하는 공정과, 상기 기판과 투영 렌즈 사이에 물을 삽입하여 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법을 제공한다.The present invention also provides a method of manufacturing a semiconductor device, comprising the steps of: applying the chemically amplified resist material onto a substrate; applying a protective film insoluble in water after heat treatment and usable in an alkali developing solution; A step of exposing the resist film to a high energy beam through a photomask, and a step of developing the resist film using a developer.

이와 같이, 본 발명의 패턴 형성 방법이면, 직사각형성이 우수하고, 기판으로의 밀착성이 양호한 레지스트 패턴을 얻을 수 있다. 또한, 술포늄염의 음이온 성분의 용출이 낮기 때문에, 액침 리소그래피 재료에 바람직하게 사용할 수 있다.As described above, according to the pattern forming method of the present invention, a resist pattern having excellent rectangularity and having good adhesion to a substrate can be obtained. Further, since the elution of the anion component of the sulfonium salt is low, it can be preferably used for an immersion lithography material.

본 발명의 특정 구조의 술폰산 음이온과 술포늄 양이온을 포함하는 술포늄염을 함유하는 고분자 화합물을 베이스 수지로서 사용한 화학 증폭 레지스트 재료는, 해상성, 특히 패턴 형상의 직사각형성이 우수하다. 또한, 반복 단위 중에 락톤 구조를 갖고 있기 때문에, 수지의 기판으로의 우수한 밀착성을 갖고 있고, 패턴 붕괴에 강하여 매우 유용하다.A chemically amplified resist material using a sulfonic acid anion having a specific structure of the present invention and a polymer compound containing a sulfonium salt containing a sulfonium cation as a base resin is excellent in resolution, especially rectangular shape in pattern shape. Further, since the repeating unit has a lactone structure, it has excellent adhesion to the substrate of the resin, and is very useful because it is resistant to pattern collapse.

본 발명자들은 상기 목적을 달성하기 위해 예의 검토를 거듭한 결과, 하기 화학식 1로 표시되는 특정 구조의 술폰산 음이온의 반복 단위를 갖는 수지 조성물을 레지스트 베이스 수지로서 사용한 레지스트 재료가 레지스트의 해상성, 특히 패턴 형상의 직사각형성이 우수하고, 기판과의 밀착성도 우수하여 라인 앤드 스페이스 패턴 등의 박리가 적고, 레지스트 재료로서 정밀한 미세 가공에 매우 유효하다는 것을 지견하여, 본 발명을 완성하기에 이르렀다.As a result of diligent studies to achieve the above object, the present inventors have found that a resist composition using a resin composition having a repeating unit of a sulfonic acid anion having a specific structure represented by the following formula (1) as a resist base resin, The present invention has been accomplished based on the discovery that it is excellent in the rectangularity of the shape and is excellent in adhesion with the substrate and hence is less liable to peel off the line and space pattern and is very effective for fine processing as a resist material.

이하, 본 발명의 고분자 화합물, 화학 증폭 레지스트 재료 및 패턴 형성 방법에 대하여 설명한다. 우선, 본 발명이 제공하는 고분자 화합물은 하기 화학식 1로 표시되는 반복 단위를 함유하는 것이다.Hereinafter, the polymer compound, the chemically amplified resist material and the pattern forming method of the present invention will be described. First, the polymer compound provided by the present invention contains a repeating unit represented by the following formula (1).

<화학식 1>&Lt; Formula 1 >

Figure 112012042531248-pat00002
Figure 112012042531248-pat00002

(식 중, R1은 수소 원자, 불소 원자, 메틸기 및 트리플루오로메틸기 중 어느 하나를 나타내고, R2, R3 및 R4는 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기, 알케닐기 및 옥소알킬기 중 어느 하나, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 및 아릴옥소알킬기 중 어느 하나를 나타내거나, 또는 R2, R3 및 R4 중 어느 2개 이상이 서로 결합하여 식 중의 황 원자와 함께 환을 형성할 수도 있고, X1은 O 또는 CH2를 나타내고, A1은 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 2가 탄화수소기를 나타내고, B1은 불소를 제외한 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 10의 알킬렌기 또는 탄소수 6 내지 18의 아릴렌기를 나타내고, k1은 0 또는 1의 정수를 나타냄)(Wherein R 1 represents any one of a hydrogen atom, a fluorine atom, a methyl group and a trifluoromethyl group, R 2 , R 3 and R 4 each independently represents a substituted or unsubstituted, straight, Represents a substituted or unsubstituted aryl group, an aralkyl group and an aryloxoalkyl group having 6 to 18 carbon atoms, or R 2 , R 3 and R 4 each independently represent a hydrogen atom, an alkyl group, an alkoxy group, R 4 may combine with each other to form a ring with the sulfur atom in the formula, X 1 represents O or CH 2 , A 1 represents a straight, branched or cyclic B 1 represents an alkylene group having from 1 to 10 carbon atoms or an arylene group having from 6 to 18 carbon atoms which may contain a hetero atom other than fluorine and k 1 represents an integer of 0 or 1)

상기 화학식 1 중, B1로 표시되는 불소를 제외한 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 10의 알킬렌기 또는 탄소수 6 내지 18의 아릴렌기로서, 구체적으로는 하기의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다. 또한, 본 발명에서 불소를 제외한 헤테로 원자란, 질소, 산소, 황 원자 등을 말한다.Examples of the alkylene group having 6 to 18 carbon atoms or the arylene group having 6 to 18 carbon atoms, which may contain a hetero atom other than fluorine and represented by B 1 in the above-mentioned formula (1), include the followings. But is not limited thereto. In the present invention, the hetero atom excluding fluorine means nitrogen, oxygen, sulfur atom and the like.

Figure 112012042531248-pat00003
Figure 112012042531248-pat00003

(식 중, 파선은 결합손을 나타냄)(Wherein the broken line represents the combined hands)

상기 화학식 1 중, R2, R3 및 R4로 표시되는 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기, 알케닐기 및 옥소알킬기 중 어느 하나, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 및 아릴옥소알킬기로서 구체적으로는, 치환 또는 비치환된 알킬기로서 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로프로필메틸기, 4-메틸시클로헥실기, 시클로헥실메틸기, 노르보르닐기, 아다만틸기 등을 들 수 있다.Substituted or unsubstituted linear, branched or cyclic alkyl, alkenyl and oxoalkyl groups of 1 to 10 carbon atoms represented by R 2 , R 3 and R 4 in the above formula (1) Specific examples of the aryl, aralkyl and aryloxoalkyl groups having 6 to 18 carbon atoms include substituted or unsubstituted alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec- A cyclohexyl group, a cyclohexyl group, a cyclopentyl group, a cyclopropylmethyl group, a 4-methylcyclohexyl group, a cyclohexylmethyl group, a norbornyl group, an adamantyl group, etc. .

치환 또는 비치환된 알케닐기로서는, 비닐기, 알릴기, 프로페닐기, 부테닐기, 헥세닐기, 시클로헥세닐기 등을 들 수 있다.Examples of the substituted or unsubstituted alkenyl group include a vinyl group, an allyl group, a propenyl group, a butenyl group, a hexenyl group, and a cyclohexenyl group.

치환 또는 비치환된 옥소알킬기로서는, 2-옥소시클로펜틸기, 2-옥소시클로헥실기, 2-옥소프로필기, 2-옥소에틸기, 2-시클로펜틸-2-옥소에틸기, 2-시클로헥실-2-옥소에틸기, 2-(4-메틸시클로헥실)-2-옥소에틸기 등을 들 수 있다.Examples of the substituted or unsubstituted oxoalkyl group include a 2-oxocyclohexyl group, a 2-oxocyclohexyl group, a 2-oxopropyl group, a 2-oxoethyl group, a 2-cyclopentyl- -Oxoethyl group, 2- (4-methylcyclohexyl) -2-oxoethyl group and the like.

치환 또는 비치환된 아릴기로서는, 페닐기, 나프틸기, 티에닐기 등이나, 4-히드록시페닐기, 4-메톡시페닐기, 3-메톡시페닐기, 2-메톡시페닐기, 4-에톡시페닐기, 4-tert-부톡시페닐기, 3-tert-부톡시페닐기 등의 알콕시페닐기, 2-메틸페닐기, 3-메틸페닐기, 4-메틸페닐기, 4-에틸페닐기, 4-tert-부틸페닐기, 4-n-부틸페닐기, 2,4-디메틸페닐기 등의 알킬페닐기, 메틸나프틸기, 에틸나프틸기 등의 알킬나프틸기, 메톡시나프틸기, 에톡시나프틸기 등의 알콕시나프틸기, 디메틸나프틸기, 디에틸나프틸기 등의 디알킬나프틸기, 디메톡시나프틸기, 디에톡시나프틸기 등의 디알콕시나프틸기 등을 들 수 있다.Examples of the substituted or unsubstituted aryl group include a phenyl group, a naphthyl group and a thienyl group, and a 4-hydroxyphenyl group, a 4-methoxyphenyl group, a 3-methoxyphenyl group, methylphenyl, 4-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl, Alkylphenyl groups such as methylphenyl group, butylphenyl group and 2,4-dimethylphenyl group, alkylnaphthyl groups such as methylnaphthyl group and ethylnaphthyl group, alkoxynaphthyl groups such as methoxynaphthyl group and ethoxynaphthyl group, dimethylnaphthyl group and diethylnaphthyl group And dialkoxynaphthyl groups such as dialkylnaphthyl, dimethoxynaphthyl and diethoxynaphthyl groups.

치환 또는 비치환된 아르알킬기로서는 벤질기, 1-페닐에틸기, 2-페닐에틸기 등을 들 수 있다.Examples of the substituted or unsubstituted aralkyl group include a benzyl group, a 1-phenylethyl group, and a 2-phenylethyl group.

치환 또는 비치환된 아릴옥소알킬기로서는, 2-페닐-2-옥소에틸기, 2-(1-나프틸)-2-옥소에틸기, 2-(2-나프틸)-2-옥소에틸기 등의 2-아릴-2-옥소에틸기 등을 들 수 있다.Examples of the substituted or unsubstituted aryloxoalkyl group include 2-phenyl-2-oxoethyl group, 2- (1-naphthyl) -2-oxoethyl group, 2- Aryl-2-oxoethyl group and the like.

또한, R2, R3 및 R4 중 어느 2개 이상이 서로 결합하여 황 원자와 함께 환상 구조를 형성하는 경우에는, 구체적으로는 하기의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.When two or more of R 2 , R 3 and R 4 are bonded to each other to form a cyclic structure together with the sulfur atom, the following examples are specifically exemplified, but the present invention is not limited thereto.

Figure 112012042531248-pat00004
Figure 112012042531248-pat00004

(식 중, R4는 상기와 동일함)(Wherein R &lt; 4 &gt; is as defined above)

보다 구체적으로 술포늄 양이온을 나타내면, 트리페닐술포늄, 4-히드록시페닐디페닐술포늄, 비스(4-히드록시페닐)페닐술포늄, 트리스(4-히드록시페닐)술포늄, 4-tert-부톡시페닐디페닐술포늄, 비스(4-tert-부톡시페닐)페닐술포늄, 트리스(4-tert-부톡시페닐)술포늄, 3-tert-부톡시페닐디페닐술포늄, 비스(3-tert-부톡시페닐)페닐술포늄, 트리스(3-tert-부톡시페닐)술포늄, 3,4-디-tert-부톡시페닐디페닐술포늄, 비스(3,4-디-tert-부톡시페닐)페닐술포늄, 트리스(3,4-디-tert-부톡시페닐)술포늄, 디페닐(4-티오페녹시페닐)술포늄, 4-tert-부톡시카르보닐메틸옥시페닐디페닐술포늄, 트리스(4-tert-부톡시카르보닐메틸옥시페닐)술포늄, (4-tert-부톡시페닐)비스(4-디메틸아미노페닐)술포늄, 트리스(4-디메틸아미노페닐)술포늄, 2-나프틸디페닐술포늄, (4-히드록시-3,5-디메틸페닐)디페닐술포늄, (4-n-헥실옥시-3,5-디메틸페닐)디페닐술포늄, 디메틸(2-나프틸)술포늄, 4-히드록시페닐디메틸술포늄, 4-메톡시페닐디메틸술포늄, 트리메틸술포늄, 2-옥소시클로헥실시클로헥실메틸술포늄, 트리나프틸술포늄, 트리벤질술포늄, 디페닐메틸술포늄, 디메틸페닐술포늄, 2-옥소-2-페닐에틸티아시클로펜타늄, 디페닐 2-티에닐술포늄, 4-n-부톡시나프틸-1-티아시클로펜타늄, 2-n-부톡시나프틸-1-티아시클로펜타늄, 4-메톡시나프틸-1-티아시클로펜타늄, 2-메톡시나프틸-1-티아시클로펜타늄 등을 들 수 있다. 보다 바람직하게는 트리페닐술포늄, 4-tert-부틸페닐디페닐술포늄, 4-tert-부톡시페닐디페닐술포늄, 트리스(4-tert-부틸페닐)술포늄, 트리스(4-tert-부톡시페닐)술포늄, 디메틸페닐술포늄 등을 들 수 있다.Specific examples of the sulfonium cation include triphenylsulfonium, 4-hydroxyphenyldiphenylsulfonium, bis (4-hydroxyphenyl) phenylsulfonium, tris (4-hydroxyphenyl) Butoxyphenyldiphenylsulfonium, bis (4-tert-butoxyphenyl) phenylsulfonium, tris (4-tert-butoxyphenyl) Tert-butoxyphenyl) phenylsulfonium, tris (3-tert-butoxyphenyl) sulfonium, 3,4-di-tert-butoxyphenyldiphenylsulfonium, bis Butoxyphenyl) phenylsulfonium, tris (3,4-di-tert-butoxyphenyl) sulfonium, diphenyl (4-thiophenoxyphenyl) sulfonium, 4-tert-butoxycarbonylmethyloxy (4-tert-butoxyphenyl) bis (4-dimethylaminophenyl) sulfonium, tris (4-tert-butoxycarbonylmethyloxyphenyl) sulfonium, ) Sulfonium, 2-naphthyldiphenylsulfonium, (4-hydroxy-3,5-dimethylphenyl) diphenyl (4-n-hexyloxy-3,5-dimethylphenyl) diphenylsulfonium, dimethyl (2-naphthyl) sulfonium, 4-hydroxyphenyldimethylsulfonium, 4-methoxyphenyldimethylsulfonium , Trimethylsulfonium, 2-oxocyclohexylcyclohexylmethylsulfonium, trinaphthylsulfonium, tribenzylsulfonium, diphenylmethylsulfonium, dimethylphenylsulfonium, 2-oxo-2-phenylethylthiacyclopentanium Thienylsulfonium, 4-n-butoxynaphthyl-1-thacyclopentanium, 2-n-butoxynaphthyl-1-thiacyclopentanium, 4-methoxynaphthyl- Thiocyclopentanium, 2-methoxynaphthyl-1-thiacyclopentanium, and the like. More preferred are triphenylsulfonium, 4-tert-butylphenyldiphenylsulfonium, 4-tert-butoxyphenyldiphenylsulfonium, tris (4-tert- butylphenyl) sulfonium, Butoxyphenyl) sulfonium, dimethylphenylsulfonium, and the like.

상기 화학식 1 중, A1로 표시되는 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 2가 탄화수소기로서, 구체적으로는 하기의 것을 예시할 수 있다.As the straight, branched or cyclic divalent hydrocarbon group of 1 to 10 carbon atoms represented by A 1 in the above-mentioned formula (1), the following are specifically exemplified.

Figure 112012042531248-pat00005
Figure 112012042531248-pat00005

(식 중, 파선은 결합손을 나타냄)(Wherein the broken line represents the combined hands)

상기 화학식 1로 표시되는 반복 단위로서 구체적으로는 하기의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Specific examples of the repeating unit represented by the above formula (1) include, but are not limited to, the following.

Figure 112012042531248-pat00006
Figure 112012042531248-pat00006

(식 중, R1은 상기와 동일함)(Wherein R &lt; 1 &gt; is as defined above)

Figure 112012042531248-pat00007
Figure 112012042531248-pat00007

(식 중, R1은 상기와 동일함)(Wherein R &lt; 1 &gt; is as defined above)

Figure 112012042531248-pat00008
Figure 112012042531248-pat00008

(식 중, R1은 상기와 동일함)(Wherein R &lt; 1 &gt; is as defined above)

Figure 112012042531248-pat00009
Figure 112012042531248-pat00009

(식 중, R1은 상기와 동일함)(Wherein R &lt; 1 &gt; is as defined above)

Figure 112012042531248-pat00010
Figure 112012042531248-pat00010

(식 중, R1은 상기와 동일함)(Wherein R &lt; 1 &gt; is as defined above)

Figure 112012042531248-pat00011
Figure 112012042531248-pat00011

(식 중, R1은 상기와 동일함)(Wherein R &lt; 1 &gt; is as defined above)

여기서, 본 발명의 고분자 화합물 중의 화학식 1로 표시되는 반복 단위를 얻기 위한 단량체는, 하기 화학식 (1a)로 표시되는 신규 물질이다.Here, the monomer for obtaining the repeating unit represented by the formula (1) in the polymer compound of the present invention is a novel substance represented by the following formula (1a).

Figure 112012042531248-pat00012
Figure 112012042531248-pat00012

(식 중, R1은 수소 원자, 불소 원자, 메틸기 및 트리플루오로메틸기 중 어느 하나를 나타내고, R2, R3 및 R4는 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기, 알케닐기 및 옥소알킬기 중 어느 하나, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 및 아릴옥소알킬기 중 어느 하나를 나타내거나, 또는 R2, R3 및 R4 중 어느 2개 이상이 서로 결합하여 식 중의 황 원자와 함께 환을 형성할 수도 있고, X1은 O 또는 CH2를 나타내고, A1은 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 2가 탄화수소기를 나타내고, B1은 불소를 제외한 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 10의 알킬렌기 또는 탄소수 6 내지 18의 아릴렌기를 나타내고, k1은 0 또는 1의 정수를 나타냄)(Wherein R 1 represents any one of a hydrogen atom, a fluorine atom, a methyl group and a trifluoromethyl group, R 2 , R 3 and R 4 each independently represents a substituted or unsubstituted, straight, Represents a substituted or unsubstituted aryl group, an aralkyl group and an aryloxoalkyl group having 6 to 18 carbon atoms, or R 2 , R 3 and R 4 each independently represent a hydrogen atom, an alkyl group, an alkoxy group, R 4 may combine with each other to form a ring with the sulfur atom in the formula, X 1 represents O or CH 2 , A 1 represents a straight, branched or cyclic B 1 represents an alkylene group having from 1 to 10 carbon atoms or an arylene group having from 6 to 18 carbon atoms which may contain a hetero atom other than fluorine and k 1 represents an integer of 0 or 1)

여기서, 단량체 (1a)를 얻기 위한 방법에 대하여 하기 반응식에 예시하지만, 이것으로 한정되는 것은 아니다. 이하, 식 중에서 사용되는 파선은 결합손을 나타낸다.Here, the method for obtaining the monomer (1a) is illustrated in the following reaction formula, but it is not limited thereto. Hereinafter, the broken line used in the equation represents a combined hand.

Figure 112012042531248-pat00013
Figure 112012042531248-pat00013

(식 중, R1 내지 R4, X1, A1, B1 및 k1은 상기와 동일하고, X2는 할로겐 원자, 수산기, 알콕시기 또는 하기 화학식 (10)으로 표시되는 치환기를 나타내고, M+는 리튬 이온, 나트륨 이온, 칼륨 이온, 치환 또는 미치환된 암모늄 이온을 나타내고, X3-는 할라이드 이온 또는 메틸 황산 이온을 나타냄)(Wherein R 1 to R 4 , X 1 , A 1 , B 1 and k 1 are as defined above, and X 2 represents a halogen atom, a hydroxyl group, an alkoxy group or a substituent represented by the following formula (10) M + represents a lithium ion, a sodium ion, a potassium ion, a substituted or unsubstituted ammonium ion, and X &lt; 3- &gt; represents a halide ion or a methyl sulfate ion)

Figure 112012042531248-pat00014
Figure 112012042531248-pat00014

(식 중, R1, A1 및 k1은 상기와 동일함)(Wherein R 1 , A 1 and k 1 are as defined above)

또한, 상기 화학식 (1a)에서 k1이 1인 경우에는, 하기에 나타내는 다른 방법을 이용하여 상기 반응식 중의 화합물 (4)를 얻을 수 있다.When k 1 is 1 in the formula (1a), the compound (4) in the above reaction formula can be obtained by another method described below.

Figure 112012042531248-pat00015
Figure 112012042531248-pat00015

(식 중, R1, X1 및 A1은 상기와 동일하고, X4는 할로겐 원자를 나타내고, X5는 할로겐 원자, 수산기 또는 알콕시기를 나타내고, Ma +는 리튬 이온, 나트륨 이온, 칼륨 이온, 마그네슘 이온, 칼슘 이온 또는 치환 또는 미치환된 암모늄 이온을 나타냄)(Wherein R 1 , X 1 and A 1 are as defined above, X 4 is a halogen atom, X 5 is a halogen atom, a hydroxyl group or an alkoxy group, M a + is a lithium ion, a sodium ion, , Magnesium ion, calcium ion or substituted or unsubstituted ammonium ion)

스텝 (i)은 히드록시락톤 (2)와 에스테르화제 (3)의 반응에 의해 에스테르 (4)로 유도하는 공정이다. 또한, 히드록시락톤 (2)의 합성법은, 일본 특허 공개 제2000-159758호 공보 및 일본 특허 제4539865호 공보에 개시되어 있다.Step (i) is a step of introducing ester (4) by reaction of hydroxylactone (2) and esterification agent (3). The synthesis method of the hydroxylactone (2) is disclosed in Japanese Patent Application Laid-Open Nos. 2000-159758 and 4539865.

반응은 공지된 방법에 의해 용이하게 진행되지만, 에스테르화제 (3)으로서는 산 클로라이드(화학식 (3)에서, X2가 염소 원자인 경우) 또는 산 무수물(화학식 (3)에서, X2가 화학식 (10)으로 표시되는 치환기인 경우) 또는 카르복실산(화학식 (3)에서, X2가 수산기인 경우)이 바람직하다.The reaction proceeds easily by a known method, but in the esterifying agent (3) The acid chloride (in case of the formula (3), X 2 is a chlorine atom) or an acid anhydride (Formula (3), X 2 is the formula ( 10)) or a carboxylic acid (in the formula (3), when X 2 is a hydroxyl group).

산 클로라이드 또는 산 무수물을 사용하는 경우에는, 무용매 또는 염화메틸렌, 톨루엔, 헥산, 디에틸에테르, 테트라히드로푸란, 아세토니트릴 등의 용매 중, 히드록시락톤 화합물 (2)와 아크릴산 클로라이드, 메타크릴산 클로라이드, 아크릴산 무수물, 메타크릴산 무수물 등의 대응하는 산 클로라이드 또는 산 무수물, 및 트리에틸아민, 피리딘, 4-디메틸아미노피리딘 등의 염기를 순차 또는 동시에 첨가하고, 필요에 따라 냉각 또는 가열 등을 하여 행하는 것이 바람직하다.In the case of using an acid chloride or an acid anhydride, the reaction is carried out in the absence of a solvent or a solvent such as methylene chloride, toluene, hexane, diethyl ether, tetrahydrofuran, acetonitrile, etc., A corresponding acid chloride or acid anhydride such as chloride, acrylic acid anhydride, or methacrylic acid anhydride, and a base such as triethylamine, pyridine, or 4-dimethylaminopyridine are added sequentially or simultaneously, and if necessary, .

또한, 카르복실산을 사용하는 경우에는, 톨루엔, 헥산 등의 용매 중 히드록시락톤 (2) 및 아크릴산, 메타크릴산 등의 대응하는 카르복실산을 산 촉매의 존재하에 가열하고, 필요에 따라 발생하는 물을 계 외로 제거 등을 하여 행하는 것이 바람직하다. 사용하는 산 촉매로서는, 예를 들면 염산, 황산, 질산, 과염소산 등의 무기산류, p-톨루엔술폰산, 벤젠술폰산 등의 유기산 등을 들 수 있다.When a carboxylic acid is used, the corresponding carboxylic acid such as hydroxylactone (2) and acrylic acid or methacrylic acid in a solvent such as toluene or hexane is heated in the presence of an acid catalyst, and if necessary, Or the like is removed from the system. Examples of the acid catalyst to be used include inorganic acids such as hydrochloric acid, sulfuric acid, nitric acid and perchloric acid, and organic acids such as p-toluenesulfonic acid and benzenesulfonic acid.

스텝 (ii)는, 에스테르 (4)의 tert-부틸에스테르 부분을 포름산에 의해 탈보호하여, 카르복실산 (5)를 얻는 공정이다. 포름산을 용매로서 에스테르 (4)를 용해하고, 필요에 따라 냉각 또는 가열하면서 교반함으로써 카르복실산 (5)를 얻을 수 있다.Step (ii) is a step for deprotecting the tert-butyl ester portion of the ester (4) with formic acid to obtain the carboxylic acid (5). The carboxylic acid (5) can be obtained by dissolving the ester (4) with formic acid as a solvent and, if necessary, cooling or heating while stirring.

스텝 (iii)은, 카르복실산 (5)를 대응하는 산 염화물 (6)으로 유도하는 공정이다. 반응은 염화메틸렌, 톨루엔, 헥산, 디에틸에테르, 테트라히드로푸란, 아세토니트릴 등의 용매 중 이염화옥살릴 등의 염소화제를 순차 또는 동시에 첨가하고, 필요에 따라 냉각 또는 가열 등을 하여 행하는 것이 바람직하다.Step (iii) is a step of deriving the carboxylic acid (5) to the corresponding acid chloride (6). The reaction is preferably carried out by sequentially or simultaneously adding chlorinating agents such as dichloromethane and oxalyl dichloride in a solvent such as methylene chloride, toluene, hexane, diethyl ether, tetrahydrofuran, acetonitrile, etc., .

스텝 (iv)는 산 염화물 (6)과 술포알코올 (7)의 친핵 치환 반응에 의해 오늄염 (8)을 얻는 공정이다. 반응은 통상법에 따라 행할 수 있으며, 용매 중 산 염화물 (6), 술포알코올 (7) 및 염기를 순차 또는 동시에 첨가하고, 필요에 따라 냉각 또는 가열하여 행하는 것이 바람직하다. 반응에 사용할 수 있는 용매로서, 물, 테트라히드로푸란, 디에틸에테르, 디이소프로필에테르, 디-n-부틸에테르, 1,4-디옥산 등의 에테르류, n-헥산, n-헵탄, 벤젠, 톨루엔, 크실렌 등의 탄화수소류, 아세토니트릴, 디메틸술폭시드(DMSO), N,N-디메틸포름아미드(DMF) 등의 비양성자성 극성 용매류, 염화메틸렌, 클로로포름, 사염화탄소 등의 염소계 유기 용매 등을 들 수 있다. 이들 용매는 반응 조건에 따라 적절하게 선택하여 사용할 수 있으며, 1종 단독 또는 2종 이상을 혼합하여 사용할 수 있다. 또한, 상기 스텝 (iv)에서 나타낸 반응에 사용할 수 있는 염기로서, 예를 들면 암모니아, 트리에틸아민, 피리딘, 루티딘, 콜리딘, N,N-디메틸아닐린 등의 아민류, 수산화나트륨, 수산화칼륨, 수산화테트라메틸암모늄 등의 수산화물류, 탄산칼륨, 탄산수소나트륨 등의 탄산염류 등을 들 수 있다. 이들 염기는 1종 단독 또는 2종 이상을 혼합하여 사용할 수 있다.Step (iv) is a step of obtaining onium salt (8) by nucleophilic substitution reaction of acid chloride (6) and sulfo alcohol (7). The reaction can be carried out according to a conventional method. It is preferable to add acid chloride (6), sulfo alcohol (7) and a base in a solvent in sequence or simultaneously and, if necessary, cooling or heating. Examples of the solvent which can be used in the reaction include water, ethers such as tetrahydrofuran, diethyl ether, diisopropyl ether, di-n-butyl ether and 1,4-dioxane, n- , Aprotic polar solvents such as acetonitrile, dimethylsulfoxide (DMSO) and N, N-dimethylformamide (DMF), chlorinated organic solvents such as methylene chloride, chloroform and carbon tetrachloride . These solvents can be appropriately selected depending on the reaction conditions and can be used singly or in a mixture of two or more. Examples of the base usable for the reaction shown in the step (iv) include amines such as ammonia, triethylamine, pyridine, lutidine, collidine and N, N-dimethylaniline, sodium hydroxide, Hydroxides such as tetramethylammonium hydroxide, and carbonates such as potassium carbonate and sodium hydrogencarbonate. These bases may be used singly or in combination of two or more.

스텝 (v)는, 오늄염 (8)과 술포늄염 (9)의 이온 교환 반응에 의해 중합성 음이온을 갖는 술포늄염 (1a)를 얻는 공정이다. 오늄염 (8)은 스텝 (iv)의 반응을 행한 후, 통상의 수계 후처리를 거쳐서 단리한 것을 사용할 수도 있고, 반응을 정지한 후에 특별히 후처리를 행하지 않은 것을 사용할 수도 있다.Step (v) is a step of obtaining a sulfonium salt (1a) having a polymerizable anion by ion-exchange reaction between an onium salt (8) and a sulfonium salt (9). The onium salt (8) may be isolated after carrying out the reaction of step (iv) through a usual aqueous post-treatment, or may be used after the reaction is stopped, but not subjected to post treatment.

단리한 오늄염 (8)을 사용하는 경우에는, 오늄염 (8)을 물, 테트라히드로푸란, 디에틸에테르, 디이소프로필에테르, 디-n-부틸에테르, 1,4-디옥산 등의 에테르류, n-헥산, n-헵탄, 벤젠, 톨루엔, 크실렌 등의 탄화수소류, 아세토니트릴, 디메틸술폭시드(DMSO), N,N-디메틸포름아미드(DMF) 등의 비양성자성 극성 용매류, 염화메틸렌, 클로로포름, 사염화탄소 등의 염소계 유기 용매 등에 용해하여, 술포늄염 (9)와 혼합하고, 필요에 따라 냉각 또는 가열함으로써 반응 혼합물을 얻을 수 있다. 반응 혼합물로부터 통상의 수계 후처리(aqueous work-up)에 의해 중합성 음이온을 갖는 술포늄염 (1a)를 얻을 수 있으며, 필요에 따라 증류, 재결정, 크로마토그래피 등의 통상법에 따라 정제할 수 있다.When the isolated onium salt (8) is used, the onium salt (8) is dissolved in an ether such as water, tetrahydrofuran, diethyl ether, diisopropyl ether, di-n-butyl ether, (DMSO), N, N-dimethylformamide (DMF), etc., chlorinated solvents such as dichloromethane, dichloromethane and the like, chlorinated hydrocarbons such as dichloromethane, A chlorinated organic solvent such as methylene, chloroform, carbon tetrachloride or the like, mixed with the sulfonium salt (9) and, if necessary, cooled or heated to obtain a reaction mixture. The sulfonium salt (1a) having a polymerizable anion can be obtained from the reaction mixture by conventional aqueous work-up, and purification can be carried out according to a conventional method such as distillation, recrystallization and chromatography, if necessary.

오늄염 (8)을 합성하는 반응을 정지한 후, 특별히 후처리를 행하지 않은 것을 사용하는 경우에는, 오늄염 (8)의 합성 반응을 정지한 혼합물에 대하여 술포늄염 (9)를 첨가하고, 필요에 따라 냉각 또는 가열함으로써 반응 혼합물을 얻을 수 있다. 이때, 필요에 따라 물, 테트라히드로푸란, 디에틸에테르, 디이소프로필에테르, 디-n-부틸에테르, 1,4-디옥산 등의 에테르류, n-헥산, n-헵탄, 벤젠, 톨루엔, 크실렌 등의 탄화수소류, 아세토니트릴, 디메틸술폭시드(DMSO), N,N-디메틸포름아미드(DMF) 등의 비양성자성 극성 용매류, 염화메틸렌, 클로로포름, 사염화탄소 등의 염소계 유기 용매 등을 첨가할 수도 있다. 반응 혼합물로부터 통상의 수계 후처리(aqueous work-up)에 의해 중합성 음이온을 갖는 술포늄염 (1a)를 얻을 수 있으며, 필요에 따라 증류, 재결정, 크로마토그래피 등의 통상법에 따라 정제할 수 있다.In the case where the reaction for synthesizing the onium salt (8) is stopped and the one not specifically subjected to the post treatment is used, the sulfonium salt (9) is added to the mixture in which the synthesis reaction of the onium salt (8) To obtain a reaction mixture. If necessary, water, ethers such as tetrahydrofuran, diethyl ether, diisopropyl ether, di-n-butyl ether and 1,4-dioxane, n-hexane, Chlorinated organic solvents such as methylene chloride, chloroform, and carbon tetrachloride, and the like are added to the reaction mixture, and the organic solvent is added to the reaction mixture It is possible. The sulfonium salt (1a) having a polymerizable anion can be obtained from the reaction mixture by conventional aqueous work-up, and purification can be carried out according to a conventional method such as distillation, recrystallization and chromatography, if necessary.

스텝 (vi) 및 (vii)은, 상기 화학식 (1a)에서 k1이 1일 때 상기 반응식 중의 에스테르 (4)를 얻는 다른 방법이다.Steps (vi) and (vii) are other methods for obtaining the ester (4) in the above reaction formula when k 1 is 1 in the above formula (1a).

스텝 (vi)은 히드록시락톤 (2)와 에스테르화제 (11)의 반응에 의해 할로에스테르 (12)를 얻는 반응이다. 반응은 공지된 방법에 의해 용이하게 진행되지만, 에스테르화제 (11)로서는 산 클로라이드(화학식 (11)에서 X5가 염소 원자인 경우) 또는 카르복실산(화학식 (11)에서 X5가 수산기인 경우)이 특히 바람직하다. 산 클로라이드를 사용하는 경우에는, 무용매 또는 염화메틸렌, 아세토니트릴, 톨루엔, 헥산 등의 용매 중 히드록시락톤 (2), 2-클로로아세트산 클로라이드, 3-클로로프로피온산 클로라이드 등의 대응하는 산 클로라이드, 트리에틸아민, 피리딘, 4-디메틸아미노피리딘 등의 염기를 순차 또는 동시에 첨가하고, 필요에 따라 냉각 또는 가열 등을 하여 행하는 것이 바람직하다. 또한, 카르복실산을 사용하는 경우에는, 톨루엔, 헥산 등의 용매 중 히드록시락톤 (2)와 2-클로로아세트산, 3-클로로프로피온산 등의 대응하는 카르복실산을 산 촉매의 존재하에 가열하고, 필요에 따라 생성되는 물을 계 외로 제거 등을 하여 행하는 것이 바람직하다. 사용하는 산 촉매로서는, 예를 들면 염산, 황산, 질산, 과염소산 등의 무기산류, p-톨루엔술폰산, 벤젠술폰산 등의 유기산류 등을 들 수 있다. 반응 시간은 가스 크로마토그래피(GC)나 실리카 겔 박층 크로마토그래피(TLC)로 반응을 추적하여 반응을 완결시키는 것이 수율의 면에서 바람직하지만, 통상 0.5 내지 24시간 정도이다. 반응 혼합물로부터 통상의 수계 처리(aqueous work-up)에 의해 할로에스테르 (12)를 얻을 수 있으며, 필요에 따라 증류, 크로마토그래피, 재결정 등의 통상법에 따라 정제할 수 있다.Step (vi) is a reaction for obtaining a halo ester (12) by reaction of a hydroxylactone (2) with an esterifying agent (11). As the esterifying agent (11), an acid chloride (when X 5 in the formula (11) is a chlorine atom) or a carboxylic acid (in the case of the formula (11) in which X 5 is a hydroxyl group) ) Is particularly preferable. In the case of using an acid chloride, the corresponding acid chloride such as hydroxylactone (2), 2-chloroacetic acid chloride, 3-chloropropionic acid chloride or the like in a solvent such as methylene chloride, acetonitrile, toluene or hexane, Ethylamine, pyridine, 4-dimethylaminopyridine and the like are added sequentially or simultaneously at the same time, and cooling or heating is performed if necessary. When a carboxylic acid is used, the corresponding carboxylic acid such as hydroxylactone (2) and 2-chloroacetic acid or 3-chloropropionic acid in a solvent such as toluene or hexane is heated in the presence of an acid catalyst, It is preferable to carry out the removal of the generated water outside the system as required. Examples of the acid catalyst to be used include inorganic acids such as hydrochloric acid, sulfuric acid, nitric acid and perchloric acid, and organic acids such as p-toluenesulfonic acid and benzenesulfonic acid. The reaction time is preferably from 0.5 to 24 hours in terms of the yield in terms of completion of the reaction by tracing the reaction by gas chromatography (GC) or silica gel thin layer chromatography (TLC). Halo ester (12) can be obtained from the reaction mixture by conventional aqueous work-up, and purification can be carried out according to a conventional method such as distillation, chromatography or recrystallization, if necessary.

스텝 (vii)은 할로에스테르 (12)와 카르복실산염 화합물 (13)의 반응에 의해 에스테르 (14)로 유도하는 반응이다.Step (vii) is a reaction that leads to the ester (14) by the reaction of the halo ester (12) and the carboxylate compound (13).

스텝 (vii)에서의 반응은 통상법에 따라 행할 수 있다. 카르복실산염 화합물 (13)로서는, 각종 카르복실산 금속염 등의 시판된 카르복실산염 화합물을 그대로 사용할 수도 있고, 메타크릴산, 아크릴산 등의 대응하는 카르복실산과 염기로부터 반응계 내에서 카르복실산염 화합물을 제조하여 사용할 수도 있다. 카르복실산염 화합물 (13)의 사용량은, 원료인 할로에스테르 (12) 1 몰에 대하여 0.5 내지 10 몰, 특히 1.0 내지 3.0 몰로 하는 것이 바람직하다. 0.5 몰 미만의 사용이면 원료가 대량으로 잔존하기 때문에 수율이 대폭 저하되는 경우가 있으며, 10 몰을 초과하는 사용이면 사용 원료비의 증가, 가마솥 수율의 저하 등에 의해 비용면에서 불리해지는 경우가 있다. 대응하는 카르복실산과 염기로부터 반응계 내에서 카르복실산염 화합물을 제조하는 경우 사용할 수 있는 염기로서는, 예를 들면 암모니아, 트리에틸아민, 피리딘, 루티딘, 콜리딘, N,N-디메틸아닐린 등의 아민류; 수산화나트륨, 수산화칼륨, 수산화테트라메틸암모늄 등의 수산화물류; 탄산칼륨, 탄산수소나트륨 등의 탄산염류; 나트륨 등의 금속류; 수소화나트륨 등의 금속 수소화물; 나트륨메톡시드, 칼륨 t-부톡시드 등의 금속 알콕시드류; 부틸리튬, 브롬화에틸마그네슘 등의 유기 금속류; 리튬디이소프로필아미드 등의 금속 아미드류로부터 선택하여 단독 또는 2종 이상을 혼합하여 사용할 수 있다. 염기의 사용량은, 대응하는 카르복실산 1 몰에 대하여 0.2 내지 10 몰, 특히 0.5 내지 2.0 몰로 하는 것이 바람직하다. 0.2 몰 미만의 사용이면 대량의 카르복실산이 무용지물이 되기 때문에 비용면에서 불리해지는 경우가 있으며, 10 몰을 초과하는 사용이면 부반응의 증가에 의해 수율이 대폭 저하되는 경우가 있다. 반응 시간은 가스 크로마토그래피(GC)나 실리카겔 박층 크로마토그래피(TLC)로 반응을 추적하여 반응을 완결시키는 것이 수율의 면에서 바람직하지만, 통상 0.5 내지 24시간 정도이다. 반응 혼합물로부터 통상의 수계 처리(aqueous work-up)에 의해 중합성 음이온을 갖는 술포늄염 (1a)를 얻을 수 있으며, 필요에 따라 증류, 크로마토그래피, 재결정 등의 통상법에 따라 정제할 수 있다.The reaction in the step (vii) can be carried out according to a conventional method. As the carboxylate compound (13), a commercially available carboxylate compound such as various carboxylic acid metal salts may be used as it is, or a carboxylate compound may be used in the reaction system from the corresponding carboxylic acid and base such as methacrylic acid and acrylic acid May be used. The amount of the carboxylate compound (13) to be used is preferably 0.5 to 10 moles, particularly 1.0 to 3.0 moles per 1 mole of the haloester (12) as a raw material. If it is used in an amount of less than 0.5 mol, the raw material may remain in a large amount, resulting in a significant reduction in yield. If the amount of the raw material is more than 10 mol, the cost of raw materials may increase and the yield of the cauldron may decrease. Examples of the base which can be used in the production of the carboxylic acid salt compound in the reaction system from the corresponding carboxylic acid and base include amines such as ammonia, triethylamine, pyridine, lutidine, collidine and N, N-dimethylaniline ; Hydroxides such as sodium hydroxide, potassium hydroxide and tetramethylammonium hydroxide; Carbonates such as potassium carbonate and sodium hydrogencarbonate; Metals such as sodium; Metal hydrides such as sodium hydride; Metal alkoxides such as sodium methoxide and potassium t-butoxide; Butyllithium, ethylmagnesium bromide, and the like; Lithium diisopropylamide, and the like, or a mixture of two or more of them may be used. The amount of the base to be used is preferably 0.2 to 10 mol, particularly preferably 0.5 to 2.0 mol based on 1 mol of the corresponding carboxylic acid. If the amount is less than 0.2 mol, a large amount of carboxylic acid becomes useless, which may be disadvantageous in terms of cost. If the amount is more than 10 mol, the yield may be greatly lowered due to an increase in side reaction. The reaction time is preferably from 0.5 to 24 hours, from the viewpoint of the yield, by tracking the reaction by gas chromatography (GC) or silica gel thin layer chromatography (TLC) to complete the reaction. From the reaction mixture, a sulfonium salt (1a) having a polymerizable anion can be obtained by a conventional aqueous work-up, and purification can be carried out according to a conventional method such as distillation, chromatography or recrystallization, if necessary.

본 발명의 고분자 화합물 중에 포함되는 상기 화학식 1로 표시되는 반복 단위는 B1이 불소 치환되어 있지 않은 술폰산의 술포늄염 구조를 갖고 있으며, 락톤 구조를 갖고 있다. 그 때문에, 화학 증폭 레지스트 재료의 베이스 수지로서 사용한 경우, 산발생제로부터 발생한 강산의 이동, 확산을 적절하게 제어하는 것이 가능하고, 레지스트막의 높은 기판 밀착성을 부여하는 것을 기대할 수 있다.The repeating unit represented by the above formula (1) contained in the polymer compound of the present invention has a sulfonium salt structure of a sulfonic acid in which B 1 is not fluorine-substituted and has a lactone structure. Therefore, when used as a base resin of a chemically amplified resist material, migration and diffusion of strong acid generated from the acid generator can be appropriately controlled, and it is expected that a high adhesion of the resist film to the substrate can be imparted.

본 발명의 고분자 화합물에는, 상기 화학식 1로 표시되는 반복 단위에 추가로 산불안정기를 갖는 반복 단위를 공존시킬 수 있다. 이 산불안정기를 갖는 반복 단위로서는, 하기 화학식 (2A)로 표시되는 산불안정기를 갖는 반복 단위를 들 수 있다.In the polymer compound of the present invention, a repeating unit having an acid labile group can be coexisted in addition to the repeating unit represented by the formula (1). As the repeating unit having an acid labile group, there may be mentioned a repeating unit having an acid labile group represented by the following formula (2A).

Figure 112012042531248-pat00016
Figure 112012042531248-pat00016

(식 중, R1은 상기와 동일하고, XA는 산불안정기를 나타냄)(Wherein R 1 is as defined above, and XA represents an acid labile group)

이하, 산불안정 단위에 대하여 설명한다. 상기 화학식 (2A)로 표시되는 반복 단위를 더 함유하는 고분자 화합물은, 산의 작용으로 분해되어 카르복실산을 발생하고, 알칼리 가용성이 되는 고분자 화합물이 된다. 산불안정기 XA로서는, 하기 화학식으로 표시되는 것을 사용할 수 있다.Hereinafter, the forest fire stabilizing unit will be described. The polymer compound further containing the repeating unit represented by the above formula (2A) is decomposed by the action of an acid to generate a carboxylic acid and becomes a polymer compound which becomes alkali-soluble. As the acid stabilizer XA, those represented by the following formulas can be used.

Figure 112012042531248-pat00017
Figure 112012042531248-pat00017

상기 화학식 중, 파선은 결합손을 나타낸다. RL01, RL02는 수소 원자 또는 탄소수 1 내지 18, 바람직하게는 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기를 나타낸다. 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, 시클로펜틸기, 시클로헥실기, 2-에틸헥실기, n-옥틸기, 아다만틸기 등을 예시할 수 있다. RL03은 탄소수 1 내지 18, 바람직하게는 탄소수 1 내지 10의 산소 원자 등의 헤테로 원자를 가질 수도 있는 1가의 탄화수소기를 나타내고, 직쇄상, 분지상 또는 환상의 알킬기, 이들 수소 원자의 일부가 수산기, 알콕시기, 옥소기, 아미노기, 알킬아미노기 등으로 치환된 것을 들 수 있다. 구체적으로 직쇄상, 분지상 또는 환상의 알킬기로서는 상기 RL01, RL02와 동일한 것을 예시할 수 있고, 치환 알킬기로서는 하기의 기 등을 예시할 수 있다.
In the above formula, the broken line represents a bonding hand. R L01 and R L02 represent a hydrogen atom or a straight, branched or cyclic alkyl group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms. Specific examples include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, T-butyl group and the like. R L03 represents a monovalent hydrocarbon group which may have a heteroatom such as an oxygen atom having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, and may be a linear, branched or cyclic alkyl group, An alkoxy group, an oxo group, an amino group, an alkylamino group and the like. Specific examples of the linear, branched or cyclic alkyl group include the same groups as R L01 and R L02, and examples of the substituted alkyl group include the following groups.

Figure 112012042531248-pat00018
Figure 112012042531248-pat00018

(식 중, 파선은 결합손을 나타냄)(Wherein the broken line represents the combined hands)

RL01과 RL02, RL01과 RL03, RL02와 RL03은, 서로 결합하여 이들이 결합하는 탄소 원자나 산소 원자와 함께 환을 형성할 수도 있고, 환을 형성하는 경우에는 환의 형성에 관여하는 RL01과 RL02, RL01과 RL03 또는 RL02와 RL03은, 각각 탄소수 1 내지 18, 바람직하게는 탄소수 1 내지 10의 직쇄상 또는 분지상의 알킬렌기를 나타낸다.R L01 and R L02 , R L01 and R L03 , and R L02 and R L03 may form a ring together with a carbon atom or an oxygen atom to which they are bonded and form a ring, R L01 and R L02 , R L01 and R L03, or R L02 and R L03 each represent a straight chain or branched alkylene group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms.

RL04, RL05, RL06은 각각 독립적으로 탄소수 1 내지 15의 직쇄상, 분지상 또는 환상의 알킬기를 나타낸다. 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, 시클로펜틸기, 시클로헥실기, 2-에틸헥실기, n-옥틸기, 1-아다만틸기, 2-아다만틸기 등을 예시할 수 있다.R L04 , R L05 and R L06 each independently represent a straight, branched or cyclic alkyl group of 1 to 15 carbon atoms. Specific examples include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, An adamantyl group, a 2-adamantyl group, and the like.

RL07은, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타낸다. 상기 치환될 수도 있는 알킬기로서는, 구체적으로 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, 시클로펜틸기, 시클로헥실기, 비시클로[2.2.1]헵틸기 등의 직쇄상, 분지상 또는 환상의 알킬기, 이들 수소 원자의 일부가 수산기, 알콕시기, 카르복실기, 알콕시카르보닐기, 옥소기, 아미노기, 알킬아미노기, 시아노기, 머캅토기, 알킬티오기, 술포기 등으로 치환된 기, 또는 이들 메틸렌기의 일부가 산소 원자 또는 황 원자로 치환된 기 등을 예시할 수 있다. 상기 치환될 수도 있는 아릴기로서는, 구체적으로 페닐기, 메틸페닐기, 나프틸기, 안트릴기, 페난트릴기, 피레닐기 등을 예시할 수 있다. 화학식 (L3)에서 m은 0 또는 1, n은 0, 1, 2, 3 중 어느 하나이고, 2m+n=2 또는 3을 만족하는 수이다.R L07 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted, or an aryl group which may be substituted and has 6 to 20 carbon atoms. The alkyl group which may be substituted is specifically exemplified by methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, Branched or cyclic alkyl groups such as cyclopentyl, cyclohexyl and bicyclo [2.2.1] heptyl groups, and some of these hydrogen atoms may be replaced by hydroxyl, alkoxy, carboxyl, alkoxycarbonyl, oxo, , An alkylamino group, a cyano group, a mercapto group, an alkylthio group, a sulfo group, or a group in which a part of these methylene groups are substituted with an oxygen atom or a sulfur atom. Examples of the aryl group which may be substituted include a phenyl group, a methylphenyl group, a naphthyl group, an anthryl group, a phenanthryl group and a pyrenyl group. In formula (L3), m is 0 or 1, n is 0, 1, 2 or 3, and 2m + n = 2 or 3.

RL08은, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타낸다. 구체적으로는, RL07과 동일한 것 등을 예시할 수 있다. RL09 내지 RL18은 각각 독립적으로 수소 원자 또는 탄소수 1 내지 15의 1가의 탄화수소기를 나타낸다. 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, n-옥틸기, n-노닐기, n-데실기, 시클로펜틸기, 시클로헥실기, 시클로펜틸메틸기, 시클로펜틸에틸기, 시클로펜틸부틸기, 시클로헥실메틸기, 시클로헥실에틸기, 시클로헥실부틸기 등의 직쇄상, 분지상 또는 환상의 알킬기, 이들 수소 원자의 일부가 수산기, 알콕시기, 카르복실기, 알콕시카르보닐기, 옥소기, 아미노기, 알킬아미노기, 시아노기, 머캅토기, 알킬티오기, 술포기 등으로 치환된 것 등을 예시할 수 있다. RL09와 RL10, RL09와 RL11, RL09와 RL12, RL10과 RL12, RL11과 RL12, RL13과 RL14, RL15와 RL16 또는 RL16과 RL17은, 서로 결합하여 환을 형성하고 있을 수도 있고, 이 경우 환의 형성에 관여하는 RL09와 RL10, RL09와 RL11, RL09와 RL12, RL10과 RL12, RL11과 RL12, RL13과 RL14, RL15와 RL16 또는 RL16과 RL17은, 탄소수 1 내지 15의 2가의 탄화수소기를 나타내고, 구체적으로는 상기 1가의 탄화수소기에서 예시한 것으로부터 수소 원자를 1개 제외한 것 등을 예시할 수 있다. 또한, RL09와 RL11, RL11과 RL17 또는 RL15와 RL17은 인접하는 탄소에 결합하는 것끼리 아무것도 통하지 않고 결합하여, 이중 결합을 형성할 수도 있다.R L08 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted, or an aryl group which may be substituted and has 6 to 20 carbon atoms. Specifically, the same as R L07 can be exemplified. Each of R L09 to R L18 independently represents a hydrogen atom or a monovalent hydrocarbon group of 1 to 15 carbon atoms. Specific examples include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, A straight chain, branched or cyclic alkyl group such as a nonyl group, an n-decyl group, a cyclopentyl group, a cyclohexyl group, a cyclopentylmethyl group, a cyclopentylethyl group, a cyclopentylbutyl group, a cyclohexylmethyl group, a cyclohexylethyl group, A cyclic alkyl group and those in which some of the hydrogen atoms are substituted with a hydroxyl group, an alkoxy group, a carboxyl group, an alkoxycarbonyl group, an oxo group, an amino group, an alkylamino group, a cyano group, a mercapto group, an alkylthio group, a sulfo group, have. R L09 and R L10, R L09 and R L11, R L09 and R L12, R L10 and R L12, R L11 and R L12, R L13 and R L14, R L15 and R L16, or R L16 and R L17 are each combined may be to form a ring, in which case R L09 and R L10, R L09 and R L11, R L09 and R L12, R L10 and R L12, R L11 and R L12, R L13 which is involved in the ring formation and R L14 , R L15 and R L16, or R L16 and R L17 are each a divalent hydrocarbon group of 1 to 15 carbon atoms, and specifically exemplified by excluding one hydrogen atom from those exemplified above for the monovalent hydrocarbon group can do. R L09 and R L11 , R L11 and R L17, or R L15 and R L17 may combine with each other to form a double bond by bonding to adjacent carbon atoms.

RL19는, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 구체적으로는 RL07과 동일한 것 등을 예시할 수 있다.R L19 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted, or an aryl group which may be substituted and may have 6 to 20 carbon atoms, and specific examples thereof include the same ones as R L07 have.

RL20은, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 구체적으로는 RL07과 동일한 기 등을 예시할 수 있다.R L20 represents a linear, branched or cyclic alkyl group of 1 to 10 carbon atoms which may be substituted, or an aryl group which may be substituted, which may have a carbon number of 6 to 20, and specific examples thereof include the same groups as R L07 have.

X는, 이것이 결합하는 탄소 원자와 함께, 이중 결합을 포함할 수도 있는 치환 또는 비치환된 시클로펜탄환, 시클로헥산환 또는 노르보르난환을 형성하는 2가의 기를 나타낸다. RL21, RL22는 각각 독립적으로 수소 원자, 또는 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타낸다. RL21과 RL22는, 서로 결합하여 이들이 결합하는 탄소 원자와 함께 환을 형성할 수도 있고, 이 경우 치환 또는 비치환된 시클로펜탄환, 또는 시클로헥산환을 형성하는 2가의 기를 나타낸다. p는 1 또는 2를 나타낸다.X represents a divalent group forming a substituted or unsubstituted cyclopentane ring, cyclohexane ring or norbornane ring which may contain a double bond together with the carbon atom to which it is bonded. R L21 and R L22 each independently represent a hydrogen atom or a straight, branched or cyclic monovalent hydrocarbon group of 1 to 10 carbon atoms. R L21 and R L22 may bond to each other to form a ring together with the carbon atom to which they are bonded to form a substituted or unsubstituted cyclopentane ring or a divalent group forming a cyclohexane ring. p represents 1 or 2;

RL23은, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 구체적으로는 RL07과 동일한 기 등을 예시할 수 있다.R L23 represents a linear, branched or cyclic alkyl group of 1 to 10 carbon atoms which may be substituted, or an aryl group which may be substituted, which may have a carbon number of 6 to 20, and specific examples thereof include the same groups as R L07 have.

Y는, 이것이 결합하는 탄소 원자와 함께 치환 또는 비치환된 시클로펜탄환, 시클로헥산환 또는 노르보르난환을 형성하는 2가의 기를 나타낸다. RL24, RL25는, 각각 독립적으로 수소 원자 또는 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타낸다. RL24와 RL25는 서로 결합하여 이들이 결합하는 탄소 원자와 함께 환을 형성할 수도 있고, 이 경우 치환 또는 비치환된 시클로펜탄환 또는 시클로헥산환을 형성하는 2가의 기를 나타낸다. q는 1 또는 2를 나타낸다.Y represents a divalent group forming a substituted or unsubstituted cyclopentane ring, cyclohexane ring or norbornane ring together with the carbon atom to which it is bonded. R L24 and R L25 each independently represent a hydrogen atom or a straight, branched or cyclic monovalent hydrocarbon group of 1 to 10 carbon atoms. R L24 and R L25 may bond together with the carbon atom to which they are bonded to form a ring, and in this case represents a divalent group forming a substituted or unsubstituted cyclopentane ring or cyclohexane ring. q represents 1 or 2;

RL26은, 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 구체적으로는 RL07과 동일한 기 등을 예시할 수 있다.R L26 represents a linear, branched or cyclic alkyl group of 1 to 10 carbon atoms which may be substituted, or an aryl group which may be substituted, which may have a carbon number of 6 to 20, and specific examples thereof include the same groups as R L07 have.

Z는, 이것이 결합하는 탄소 원자와 함께 치환 또는 비치환된 시클로펜탄환, 시클로헥산환 또는 노르보르난환을 형성하는 2가의 기를 나타낸다. RL27, RL28은, 각각 독립적으로 수소 원자, 또는 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타낸다. RL27과 RL28은, 서로 결합하여 이들이 결합하는 탄소 원자와 함께 환을 형성할 수도 있고, 이 경우 치환 또는 비치환된 시클로펜탄환 또는 시클로헥산환을 형성하는 2가의 기를 나타낸다.Z represents a divalent group forming a substituted or unsubstituted cyclopentane ring, cyclohexane ring or norbornane ring together with the carbon atom to which it is bonded. R L27 and R L28 each independently represent a hydrogen atom or a straight, branched or cyclic monovalent hydrocarbon group of 1 to 10 carbon atoms. R L27 and R L28 may form a ring together with the carbon atom to which they are bonded to form a ring, and in this case represents a divalent group forming a substituted or unsubstituted cyclopentane ring or cyclohexane ring.

상기 화학식 (L1)로 표시되는 산불안정기 중 직쇄상 또는 분지상의 것으로서는, 구체적으로는 하기의 기 등을 예시할 수 있다.Of the acid labile groups represented by the above formula (L1), specific examples of the straight chain or branched groups include the following groups.

Figure 112012042531248-pat00019
Figure 112012042531248-pat00019

(식 중, 파선은 결합손을 나타냄)(Wherein the broken line represents the combined hands)

상기 화학식 (L1)로 표시되는 산불안정기 중 환상의 것으로서는, 구체적으로는 테트라히드로푸란-2-일기, 2-메틸테트라히드로푸란-2-일기, 테트라히드로피란-2-일기, 2-메틸테트라히드로피란-2-일기 등을 예시할 수 있다.Among the acid labile groups represented by the formula (L1), cyclic groups specifically include tetrahydrofuran-2-yl group, 2-methyltetrahydrofuran-2-yl group, tetrahydropyran- 2-yl group and the like.

상기 화학식 (L2)의 산불안정기로서는, 구체적으로 tert-부틸기, tert-아밀기 및 하기의 기 등을 예시할 수 있다.Examples of the acid labile groups represented by the formula (L2) include tert-butyl group, tert-amyl group, and the following groups.

Figure 112012042531248-pat00020
Figure 112012042531248-pat00020

(식 중, 파선은 결합손을 나타냄)(Wherein the broken line represents the combined hands)

상기 화학식 (L3)의 산불안정기로서는, 구체적으로 1-메틸시클로펜틸기, 1-에틸시클로펜틸기, 1-n-프로필시클로펜틸기, 1-이소프로필시클로펜틸기, 1-n-부틸시클로펜틸기, 1-sec-부틸시클로펜틸기, 1-시클로헥실시클로펜틸기, 1-(4-메톡시-n-부틸)시클로펜틸기, 1-(비시클로[2.2.1]헵탄-2-일)시클로펜틸기, 1-(7-옥사비시클로[2.2.1]헵탄-2-일)시클로펜틸기, 1-메틸시클로헥실기, 1-에틸시클로헥실기, 3-메틸-1-시클로펜텐-3-일기, 3-에틸-1-시클로펜텐-3-일기, 3-메틸-1-시클로헥센-3-일기, 3-에틸-1-시클로헥센-3-일기 등을 예시할 수 있다.Examples of the acid labile groups of the above formula (L3) include 1-methylcyclopentyl group, 1-ethylcyclopentyl group, 1-n-propylcyclopentyl group, 1-isopropylcyclopentyl group, Cyclopentyl group, 1- (4-methoxy-n-butyl) cyclopentyl group, 1- (bicyclo [2.2.1] 1-methylcyclohexyl group, 1-ethylcyclohexyl group, 3-methyl-1-cyclohexylcyclohexyl group, Ethyl-1-cyclopentene-3-yl group, 3-methyl-1-cyclohexene-3-yl group and 3-ethyl- .

상기 화학식 (L4)의 산불안정기로서는, 하기 화학식 (L4-1) 내지 (L4-4)로 표시되는 기가 특히 바람직하다.As the acid labile group of the above formula (L4), groups represented by the following formulas (L4-1) to (L4-4) are particularly preferable.

Figure 112012042531248-pat00021
Figure 112012042531248-pat00021

상기 화학식 (L4-1) 내지 (L4-4) 중, 파선은 결합 위치 및 결합 방향을 나타낸다. RL41은, 각각 독립적으로 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상의 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, 시클로펜틸기, 시클로헥실기 등을 예시할 수 있다.In the formulas (L4-1) to (L4-4), the broken line indicates the bonding position and bonding direction. R L41 each independently represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted, or an aryl group which may be substituted with a carbon atom having 6 to 20 carbon atoms, and specific examples thereof include a methyl group, Butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group and the like.

상기 화학식 (L4-1) 내지 (L4-4)에는, 에난티오 이성체(enantiomer)나 디아스테레오 이성체(diastereomer)가 존재할 수 있지만, 상기 화학식 (L4-1) 내지 (L4-4)는, 이들 입체 이성체 전부를 대표하여 나타낸다. 이들 입체 이성체는 단독으로 사용할 수도 있고, 혼합물로서 사용할 수도 있다.In the above formulas (L4-1) to (L4-4), an enantiomer or a diastereomer may be present, but the above formulas (L4-1) to (L4-4) All of the isomers are represented. These stereoisomers may be used alone or as a mixture.

예를 들면, 상기 화학식 (L4-3)은 하기 화학식 (L4-3-1), (L4-3-2)로 표시되는 기로부터 선택되는 1종 또는 2종의 혼합물을 대표하여 나타내는 것으로 한다.For example, the formula (L4-3) is represented as a mixture of one kind or two kinds selected from the groups represented by the following formulas (L4-3-1) and (L4-3-2).

Figure 112012042531248-pat00022
Figure 112012042531248-pat00022

(식 중, RL41은 상기와 같음)( Wherein R L41 is as defined above)

또한, 상기 화학식 (L4-4)는 하기 화학식 (L4-4-1) 내지 (L4-4-4)로 표시되는 기로부터 선택되는 1종 또는 2종 이상의 혼합물을 대표하여 나타내는 것으로 한다.The above formula (L4-4) is to represent one or more mixtures selected from the groups represented by the following formulas (L4-4-1) to (L4-4-4).

Figure 112012042531248-pat00023
Figure 112012042531248-pat00023

(식 중, RL41은 상기와 같음)( Wherein R L41 is as defined above)

상기 화학식 (L4-3-1), (L4-3-2) 및 화학식 (L4-4-1) 내지 (L4-4-4)는, 이들의 에난티오 이성체 및 에난티오 이성체 혼합물도 대표하여 나타내는 것으로 한다.The above formulas (L4-3-1), (L4-3-2) and (L4-4-1) to (L4-4-4) are also representative of a mixture of enantiomers and enantiomers .

또한, 화학식 (L4-1) 내지 (L4-4), (L4-3-1), (L4-3-2) 및 화학식 (L4-4-1) 내지 (L4-4-4)의 결합 방향이 각각 비시클로[2.2.1]헵탄환에 대하여 엑소측이면, 산 촉매 탈리 반응에서의 고반응성이 실현된다(일본 특허 공개 제2000-336121호 공보참조). 이들 비시클로[2.2.1]헵탄 골격을 갖는 3급 엑소-알킬기를 치환기로 하는 단량체의 제조에 있어서, 하기 화학식 (L4-1-엔도) 내지 (L4-4-엔도)로 표시되는 엔도-알킬기로 치환된 단량체를 포함하는 경우가 있지만, 양호한 반응성의 실현을 위해서는 엑소 비율이 50 % 이상인 것이 바람직하고, 엑소 비율이 80 % 이상인 것이 더욱 바람직하다.Further, the bonding direction of the compounds represented by the formulas (L4-1) to (L4-4), (L4-3-1), (L4-3-2) and (L4-4-1) to Is exo-side with respect to the bicyclo [2.2.1] heptane ring, high reactivity in the acid catalytic elimination reaction is realized (see Japanese Patent Application Laid-Open No. 2000-336121). In the production of a monomer having a tertiary exo-alkyl group having a bicyclo [2.2.1] heptane skeleton as a substituent, an endo-alkyl group represented by the following formulas (L4-1-endo) to (L4-4-endo) . However, in order to realize good reactivity, it is preferable that the exo ratio is 50% or more, and the exo ratio is more preferably 80% or more.

Figure 112012042531248-pat00024
Figure 112012042531248-pat00024

(식 중, RL41은 상기와 같음)( Wherein R L41 is as defined above)

상기 화학식 (L4)의 산불안정기로서는, 구체적으로 하기의 기 등을 예시할 수 있다.Specific examples of the acid labile group of the above formula (L4) include the following groups.

Figure 112012042531248-pat00025
Figure 112012042531248-pat00025

(식 중, 파선은 결합손을 나타냄)(Wherein the broken line represents the combined hands)

상기 화학식 (L5)의 산불안정기로서는, 구체적으로 하기의 기 등을 예시할 수 있다.Specific examples of the acid labile group of the above formula (L5) include the following groups.

Figure 112012042531248-pat00026
Figure 112012042531248-pat00026

(식 중, 파선은 결합손을 나타냄)(Wherein the broken line represents the combined hands)

상기 화학식 (L6)의 산불안정기로서는, 구체적으로 하기의 기 등을 예시할 수 있다.Specific examples of the acid labile group of the above formula (L6) include the following groups.

Figure 112012042531248-pat00027
Figure 112012042531248-pat00027

(식 중, 파선은 결합손을 나타냄)(Wherein the broken line represents the combined hands)

상기 화학식 (L7)의 산불안정기로서는, 구체적으로 하기의 기 등을 예시할 수 있다.Specific examples of the acid labile group of the above formula (L7) include the following groups.

Figure 112012042531248-pat00028
Figure 112012042531248-pat00028

(식 중, 파선은 결합손을 나타냄)(Wherein the broken line represents the combined hands)

상기 화학식 (L8)의 산불안정기로서는, 구체적으로 하기의 기 등을 예시할 수 있다.Specific examples of the acid labile group of the above formula (L8) include the following groups.

Figure 112012042531248-pat00029
Figure 112012042531248-pat00029

(식 중, 파선은 결합손을 나타냄)(Wherein the broken line represents the combined hands)

상기 화학식 (2A)로 표시되는 반복 단위로서, 구체적으로는 하기의 것을 예시할 수 있지만 이들로 한정되지 않는다.Specific examples of the repeating unit represented by the above formula (2A) include, but are not limited to, the following.

Figure 112012042531248-pat00030
Figure 112012042531248-pat00030

Figure 112012042531248-pat00031
Figure 112012042531248-pat00031

Figure 112012042531248-pat00032
Figure 112012042531248-pat00032

Figure 112012042531248-pat00033
Figure 112012042531248-pat00033

Figure 112012042531248-pat00034
Figure 112012042531248-pat00034

Figure 112012042531248-pat00035
Figure 112012042531248-pat00035

Figure 112012042531248-pat00036
Figure 112012042531248-pat00036

본 발명의 상기 화학식 1로 표시되는 반복 단위는, 상기 화학식 (2A)로 표시되는 반복 단위와 공존하는 경우, 산불안정 단위의 선택에 의해 산의 이동, 확산 제어의 기능뿐만 아니라 그 자체가 산을 발생하는 단위로서 기능하는 것도 가능하다.When the repeating unit represented by the above formula (1) of the present invention coexists with the repeating unit represented by the above formula (2A), the function of controlling the movement and diffusion of the acid by the selection of the stable acid stable unit, It is also possible to function as a generating unit.

본 발명의 고분자 화합물에는, 상기 화학식 1, (2A)로 표시되는 반복 단위에 추가로 하기 화학식 (2B) 내지 (2E)로 표시되는 반복 단위를 공존시킬 수 있다.In the polymer compound of the present invention, the repeating units represented by the following formulas (2B) to (2E) may coexist in addition to the repeating units represented by the above formulas (1) and (2A).

Figure 112012042531248-pat00037
Figure 112012042531248-pat00037

(식 중, R1은 상기와 동일하고, XB, XC는 각각 독립적으로 단결합 또는 탄소수 1 내지 4의 직쇄상 또는 분지상의 2가의 탄화수소기를 나타내고, YA는 락톤 구조를 갖는 치환기를 나타내고, ZA는 수소 원자, 또는 산소 원자를 가질 수도 있는 탄소수 1 내지 15의 플루오로알킬기 또는 탄소수 1 내지 15의 플루오로알코올 함유 치환기를 나타내고, k1A는 1 내지 3의 정수를 나타내고, R5, R6 및 R7은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상 또는 분지상의 알킬기, 알케닐기 또는 옥소알킬기, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 또는 아릴옥소알킬기를 나타내거나, 또는 R5, R6 및 R7 중 어느 2개 이상이 서로 결합하여 식 중의 황 원자와 함께 환을 형성할 수도 있음)(Wherein, R 1 is as defined above and, XB, XC are each independently a single bond or a divalent hydrocarbon group of a linear or branched chain having 1 to 4, YA represents a substituent group having a lactone structure, ZA A fluoroalkyl group having 1 to 15 carbon atoms which may have an oxygen atom or a fluoroalkyl group containing 1 to 15 carbon atoms, k 1A represents an integer of 1 to 3, R 5 , R 6 and R 7 each independently represents a substituted or unsubstituted, linear or branched alkyl group, alkenyl group or oxoalkyl group having 1 to 10 carbon atoms, or a substituted or unsubstituted aryl group, aralkyl group or aryloxoalkyl group having 6 to 18 carbon atoms Or two or more of R 5 , R 6 and R 7 may be bonded to each other to form a ring with the sulfur atom in the formula)

화학식 (2B)로 표시되는 반복 단위로서, 구체적으로는 이하의 것을 들 수 있다.Specific examples of the repeating unit represented by the formula (2B) include the following.

Figure 112012042531248-pat00038
Figure 112012042531248-pat00038

화학식 (2C)로 표시되는 반복 단위로서, 구체적으로는 이하의 것을 들 수 있다.Specific examples of the repeating unit represented by the formula (2C) include the following.

Figure 112012042531248-pat00039
Figure 112012042531248-pat00039

Figure 112012042531248-pat00040
Figure 112012042531248-pat00040

Figure 112012042531248-pat00041
Figure 112012042531248-pat00041

화학식 (2D)로 표시되는 반복 단위로서, 구체적으로는 이하의 것을 들 수 있다.Specific examples of the repeating unit represented by the formula (2D) include the following.

Figure 112012042531248-pat00042
Figure 112012042531248-pat00042

Figure 112012042531248-pat00043
Figure 112012042531248-pat00043

화학식 (2E)로 표시되는 반복 단위로서, 구체적으로는 이하의 것을 들 수 있다.Specific examples of the repeating unit represented by the formula (2E) include the following.

Figure 112012042531248-pat00044
Figure 112012042531248-pat00044

(식 중, R1은 상기와 동일함)(Wherein R &lt; 1 &gt; is as defined above)

본 발명의 반복 단위 (1)에 추가로, 상기 화학식 (2A)로 표시되는 산불안정 단위나, 화학식 (2B) 내지 (2E)로 표시되는 반복 단위를 적절하게 조합한 고분자 화합물을 레지스트 베이스 수지로서 사용함으로써, 고해상이며 패턴 붕괴에 강한 화학 증폭 레지스트 재료를 얻는 것이 가능하다.In addition to the repeating unit (1) of the present invention, a macromolecular compound in which a stable acid labile unit represented by the formula (2A) and a repeating unit represented by the formulas (2B) to (2E) It is possible to obtain a chemically amplified resist material which is high in resolution and resistant to pattern collapse.

본 발명의 고분자 화합물을 합성하는 경우, 2,2'-아조비스이소부티로니트릴(이하, AIBN으로 약기) 등의 개시제를 사용하는 라디칼 중합, 알킬리튬 등을 사용하는 이온 중합(음이온 중합) 등의 일반적 중합 방법을 이용하는 것이 가능하고, 이들 중합은 그의 통상법에 따라 실시할 수 있다. 이 중, 본 발명의 고분자 화합물의 합성은, 라디칼 중합에 의해 제조를 행하는 것이 바람직하다. 이 경우, 중합 조건은 개시제의 종류와 첨가량, 온도, 압력, 농도, 용매, 첨가물 등에 의해 지배된다.In the synthesis of the polymer compound of the present invention, radical polymerization using an initiator such as 2,2'-azobisisobutyronitrile (abbreviated as AIBN), ion polymerization (anion polymerization) using an alkyllithium or the like Can be used, and these polymerization can be carried out in accordance with the usual method thereof. Among them, the synthesis of the polymer compound of the present invention is preferably carried out by radical polymerization. In this case, polymerization conditions are governed by the type and amount of the initiator, temperature, pressure, concentration, solvent, additives and the like.

라디칼 중합 개시제로서는 특별히 한정되는 것은 아니지만, 예로서 AIBN, 2,2'-아조비스(4-메톡시-2,4-디메틸발레로니트릴), 2,2'-아조비스(2,4-디메틸발레로니트릴), 2,2'-아조비스(2,4,4-트리메틸펜탄), 2,2'-아조비스(이소부티르산)디메틸 등의 아조계 화합물, tert-부틸퍼옥시피발레이트, 라우로일퍼옥시드, 벤조일퍼옥시드, tert-부틸퍼옥시라우레이트 등의 과산화물계 화합물, 과황산칼륨과 같은 수용성 중합 개시제, 나아가서는 과황산칼륨이나 과산화수소 등의 과산화물과 아황산나트륨과 같은 환원제의 조합을 포함하는 산화 환원계 개시제 등이 예시된다. 중합 개시제의 사용량은 종류나 중합 조건 등에 따라 적절하게 변경 가능하지만, 통상은 중합시켜야 하는 단량체 전량에 대하여 0.001 내지 10 몰%, 특히 0.01 내지 6 몰%가 채용된다.The radical polymerization initiator is not particularly limited and examples thereof include AIBN, 2,2'-azobis (4-methoxy-2,4-dimethylvaleronitrile), 2,2'-azobis (2,4-dimethyl Azo compounds such as 2,2'-azobis (2,4,4-trimethylpentane) and 2,2'-azobis (isobutyric acid) dimethyl, A peroxide compound such as benzoyl peroxide, roile peroxide, benzoyl peroxide and tert-butyl peroxylaurate, a water-soluble polymerization initiator such as potassium persulfate, and a combination of a peroxide such as potassium persulfate or hydrogen peroxide and a reducing agent such as sodium sulfite Redox-based initiators and the like. The amount of the polymerization initiator to be used may be appropriately changed depending on the kind, polymerization conditions and the like, but is usually from 0.001 to 10 mol%, particularly 0.01 to 6 mol%, based on the total amount of the monomers to be polymerized.

본 발명의 고분자 화합물을 합성하는 경우, 분자량의 조정을 위해 도데실머캅탄이나 2-머캅토에탄올과 같은 공지된 연쇄 이동제를 병용할 수도 있다. 이 경우, 이들 연쇄 이동제의 첨가량은 중합시키는 단량체의 총 몰수에 대하여 0.01 내지 10 몰%인 것이 바람직하다.In the synthesis of the polymer compound of the present invention, a known chain transfer agent such as dodecylmercaptan or 2-mercaptoethanol may be used in combination for adjusting the molecular weight. In this case, the addition amount of these chain transfer agents is preferably 0.01 to 10 mol% based on the total number of moles of the monomers to be polymerized.

본 발명의 고분자 화합물을 합성하는 경우, 화학식 1, (2A) 내지 (2E)로 표시되는 반복 단위에 대응하는 중합성 단량체를 혼합하고, 상술한 개시제나 연쇄 이동제를 첨가하여 중합을 행한다.When the polymer compound of the present invention is synthesized, the polymerizable monomer corresponding to the repeating unit represented by the formula (1), (2A) to (2E) is mixed and the initiator and the chain transfer agent are added to perform polymerization.

여기서, 본 발명의 고분자 화합물 중의 반복 단위 (1), 및 (2A) 내지 (2E)에 대하여,Here, with respect to the repeating units (1) and (2A) to (2E) in the polymer compound of the present invention,

화학식 1의 단위에 대응하는 단량체의 총 몰수를 U1,The total number of moles of the monomer corresponding to the unit of the formula (1)

화학식 (2A) 내지 (2E)의 단위에 대응하는 단량체의 총 몰수를 각각 U2, U3, U4, U5, U6으로 하고, U1+U2+U3+U4+U5+U6=1(100 몰%)로 한 경우, 각 반복 단위의 도입 비율은U1 + U2 + U3 + U4 + U5 + U6 = 1 (100 mol%), where U1, U3, U4, U5 and U6 are the total molar numbers of the monomers corresponding to the units of formulas (2A) to In one case, the introduction ratio of each repeating unit is

0<U1<1, 0<U2<0.9, 0≤U3≤0.3, 0≤U4<0.7, 0≤U5≤0.3, 0≤U6≤0.15, 0≤U2+U3+U4+U5+U6≤0.7인 것이 바람직하다.0 &lt; U1 < 1, 0 < U2 0.9, 0 U3 0.3, 0 U4 0.7, 0 U5 0.3, 0 U6 0.15, 0 U2 + U3 + U4 + U5 + .

중합을 행하는 때에는, 필요에 따라 용매를 사용할 수도 있다. 중합 용매로서는 중합 반응을 저해하지 않는 것이 바람직하고, 대표적인 것으로서는 아세트산에틸, 아세트산 n-부틸, γ-부티로락톤 등의 에스테르류, 아세톤, 메틸에틸케톤, 메틸이소부틸케톤 등의 케톤류, 톨루엔, 크실렌, 시클로헥산 등의 지방족 또는 방향족 탄화수소류, 이소프로필알코올, 에틸렌글리콜모노메틸에테르 등의 알코올류, 디에틸에테르, 디옥산, 테트라히드로푸란 등의 에테르계 용제를 사용할 수 있다. 이들 용제는 단독으로 사용할 수도 있고, 2종 이상을 혼합하여 사용할 수도 있다. 중합 용매의 사용량은, 목표가 되는 중합도(분자량), 개시제의 첨가량, 중합 온도 등의 중합 조건에 따라 적절하게 변경 가능하고, 통상 중합시키는 단량체의 농도가 0.1 내지 95 질량%, 특히 5 내지 90 질량%가 되도록 용매를 첨가한다.When polymerization is carried out, a solvent may be used if necessary. As the polymerization solvent, it is preferable that the polymerization reaction is not inhibited. Representative examples include esters such as ethyl acetate, n-butyl acetate and? -Butyrolactone, ketones such as acetone, methyl ethyl ketone and methyl isobutyl ketone, Aliphatic or aromatic hydrocarbons such as toluene, xylene, and cyclohexane; alcohols such as isopropyl alcohol and ethylene glycol monomethyl ether; ether solvents such as diethyl ether, dioxane and tetrahydrofuran; These solvents may be used alone or in admixture of two or more. The amount of the polymerization solvent to be used can be appropriately changed according to the polymerization conditions such as the target degree of polymerization (molecular weight), the amount of the initiator added, and the polymerization temperature, and usually 0.1 to 95% Lt; / RTI &gt;

중합 반응의 반응 온도는 중합 개시제의 종류 또는 용매의 비점에 따라 적절하게 변경되지만, 통상은 20 내지 200 ℃가 바람직하고, 특히 50 내지 140 ℃가 바람직하다. 이러한 중합 반응에 사용하는 반응 용기는 특별히 한정되지 않는다.The reaction temperature for the polymerization reaction is appropriately changed depending on the kind of the polymerization initiator or the boiling point of the solvent, but is usually preferably 20 to 200 占 폚, particularly preferably 50 to 140 占 폚. The reaction vessel used in such a polymerization reaction is not particularly limited.

이와 같이 하여 얻어진 중합체의 용액 또는 분산액으로부터 매질인 유기 용매 또는 물을 제거하는 방법으로서는, 공지된 방법을 모두 이용할 수 있지만, 예를 들면 재침전 여과 또는 감압하에서의 가열 유출 등의 방법이 있다.As a method for removing the organic solvent or water as a medium from the solution or dispersion of the polymer thus obtained, any known method can be used. For example, there is a method such as re-precipitation filtration or heating and flow-out under reduced pressure.

본 발명에서는, (A) 상기 본 발명의 고분자 화합물, (B) 유기 용제, (C) 광산발생제 및 (D) 염기성 화합물을 함유하는 것임을 특징으로 하는 화학 증폭 레지스트 재료를 제공한다.The present invention provides a chemically amplified resist material comprising (A) the polymer compound of the present invention, (B) an organic solvent, (C) a photoacid generator, and (D) a basic compound.

본 발명에서 사용되는 (B) 유기 용제에 대해서는, 일본 특허 공개 제2009-269953호 공보 등의 기재에 상세하다. (B) 유기 용제 중에서도 레지스트 성분 중의 산발생제의 용해성이 가장 우수한 디에틸렌글리콜디메틸에테르, 1-에톡시-2-프로판올, 프로필렌글리콜모노메틸에테르아세테이트, 시클로헥사논, 4-부티로락톤 및 그의 혼합 용제가 바람직하게 사용된다.The organic solvent (B) used in the present invention is described in detail in Japanese Patent Application Laid-Open No. 2009-269953. Among the organic solvents (B), those having the best solubility of the acid generator in the resist component such as diethylene glycol dimethyl ether, 1-ethoxy-2-propanol, propylene glycol monomethyl ether acetate, cyclohexanone, 4-butyrolactone, A mixed solvent is preferably used.

유기 용제의 사용량은, 베이스 수지 100 질량부에 대하여 200 내지 5,000 질량부, 특히 400 내지 3,000 질량부가 바람직하다.The amount of the organic solvent to be used is preferably 200 to 5,000 parts by mass, particularly 400 to 3,000 parts by mass based on 100 parts by mass of the base resin.

화학 증폭 레지스트 재료로서 기능시키기 위해, 고에너지선의 노광에 의해 산을 발생하는 화합물((C) 광산발생제)를 포함할 수도 있다. (C) 광산발생제의 성분으로서는, 고에너지선 조사에 의해 산을 발생하는 화합물이면 어떠한 것도 상관없지만, 바람직한 광산발생제로서는 술포늄염, 요오도늄염, 술포닐디아조메탄, N-술포닐옥시이미드, 옥심-O-술포네이트형 산발생제 등을 들 수 있으며, 그의 구체예로서는 일본 특허 공개 제2010-002599호 공보 (0108) 내지 (0116) 단락에 기재되어 있다.(C) photoacid generator) that generates an acid by exposure to a high-energy beam for functioning as a chemically amplified resist material. As the component (C) of the photoacid generator, any compound capable of generating an acid by irradiation with high energy radiation may be used. As the preferable photoacid generator, a sulfonium salt, an iodonium salt, a sulfonyldiazomethane, Amide, oxime-O-sulfonate type acid generator, and specific examples thereof are described in paragraphs (0108) to (0116) of Japanese Patent Application Laid-Open No. 2010-002599.

광산발생제로서는, 특히 하기 화학식 (C)-1로 표시되는 것이 바람직하게 사용된다. As the photoacid generator, those represented by the following formula (C) -1 are preferably used.

Figure 112012042531248-pat00045
Figure 112012042531248-pat00045

(식 중, R405, R406, R407은 각각 독립적으로 수소 원자, 또는 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 20의 직쇄상, 분지상 또는 환상의 1가의 탄화수소기, 특히 알킬기 또는 알콕시기를 나타내고, R408은 헤테로 원자를 포함할 수도 있는 탄소수 7 내지 30의 직쇄상, 분지상 또는 환상의 1가의 탄화수소기를 나타냄)(Wherein R 405 , R 406 and R 407 each independently represents a hydrogen atom or a straight, branched or cyclic monovalent hydrocarbon group of 1 to 20 carbon atoms which may contain a hetero atom, particularly an alkyl group or an alkoxy group And R 408 represents a straight, branched or cyclic monovalent hydrocarbon group of 7 to 30 carbon atoms which may contain a hetero atom)

본 발명의 화학 증폭 레지스트 재료에 있어서의 광산발생제의 첨가량은, 본 발명의 효과를 방해하지 않는 범위이면 어떠한 것도 상관없지만, 화학 증폭 레지스트 재료 중의 베이스 수지 100 질량부에 대하여 0 내지 40 질량부, 특히 0.1 내지 40 질량부, 나아가서는 0.1 내지 20 질량부인 것이 바람직하다. 광산발생제의 비율이 이러한 범위 내이면, 해상성의 열화나, 현상/레지스트 박리시의 이물질의 문제가 일어날 우려가 없기 때문에 바람직하다. 광산발생제는 단독으로도, 2종 이상을 혼합하여 사용할 수도 있다. 또한, 노광 파장에서의 투과율이 낮은 광산발생제를 사용하여, 그의 첨가량으로 레지스트막 중의 투과율을 제어할 수도 있다.The amount of the photoacid generator to be added to the chemically amplified resist composition of the present invention may be any amount as long as it does not hinder the effects of the present invention. The amount of the photoacid generator may be 0 to 40 parts by mass, Particularly 0.1 to 40 parts by mass, more preferably 0.1 to 20 parts by mass. When the ratio of the photoacid generator is within this range, it is preferable because deterioration of resolution and problems of foreign matter at development / resist peeling do not occur. The photoacid generators may be used alone or in combination of two or more. Further, it is also possible to control the transmittance of the resist film with the addition amount of the photoacid generator having a low transmittance at the exposure wavelength.

또한, 본 발명의 화학 증폭 레지스트 재료에는 (D) 염기성 화합물을 첨가할 수도 있다. 이러한 (D) 염기성 화합물로서는, 1급, 2급, 3급의 지방족 아민류, 혼성 아민류, 방향족 아민류, 복소환 아민류, 카르복시기를 갖는 질소 함유 화합물, 술포닐기를 갖는 질소 함유 화합물, 수산기를 갖는 질소 함유 화합물, 히드록시페닐기를 갖는 질소 함유 화합물, 알코올성 질소 함유 화합물, 아미드류, 이미드류, 카르바메이트류, 암모늄염류 등을 들 수 있으며, 그의 구체예로서는 일본 특허 공개 제2009-269953호 공보에 기재되어 있다.Further, (D) a basic compound may be added to the chemically amplified resist material of the present invention. Examples of such basic compounds (D) include primary, secondary and tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxyl group, nitrogen-containing compounds having a sulfonyl group, nitrogen- Nitrogen-containing compounds having a hydroxyphenyl group, alcoholic nitrogen-containing compounds, amides, imides, carbamates, ammonium salts and the like, and specific examples thereof are described in Japanese Patent Application Laid-Open No. 2009-269953 have.

이 경우, 염기성 화합물은 1종을 단독으로 또는 2종 이상을 조합하여 사용할 수 있으며, 그의 배합량은 본 발명의 상기 화학식 1로 표시되는 반복 단위의 효과를 방해하지 않는 범위이면 어떠한 것도 상관없지만, 베이스 수지 100 질량부에 대하여 0.001 내지 12 질량부, 특히 0.01 내지 8 질량부가 바람직하다.In this case, the basic compound may be used singly or in combination of two or more. The amount of the basic compound may be any amount as long as it does not hinder the effect of the repeating unit represented by the formula (1) 0.001 to 12 parts by mass, particularly preferably 0.01 to 8 parts by mass, based on 100 parts by mass of the resin is preferable.

본 발명의 화학 증폭 레지스트 재료는, 유기산 유도체 및/또는 불소 치환 알코올, 중량 평균 분자량 3,000 이하의 용해 저지제, 계면활성제 중 어느 1개 이상을 더 함유할 수 있다.The chemical amplification resist material of the present invention may further contain at least one of an organic acid derivative and / or a fluorine-substituted alcohol, a dissolution inhibitor having a weight average molecular weight of 3,000 or less, and a surfactant.

유기산 유도체 및/또는 불소 치환 알코올, 중량 평균 분자량 3,000 이하의 화합물(용해 저지제)의 첨가는 임의이지만, 일본 특허 공개 제2009-269953호 공보에 기재된 화합물을 참조할 수 있다.Addition of an organic acid derivative and / or a fluorine-substituted alcohol and a compound having a weight average molecular weight of 3,000 or less (dissolution inhibitor) is optional, but the compounds described in JP-A-2009-269953 can be referred to.

계면활성제에 대해서는, 일본 특허 공개 제2009-269953호 공보에 기재된 (E) 정의 성분을 참조할 수 있다. 또한, 일본 특허 공개 제2008-122932호 공보, 일본 특허 공개 제2010-134012호 공보, 일본 특허 공개 제2010-107695호 공보, 일본 특허 공개 제2009-276363호 공보, 2009-192784호 공보, 2009-191151호 공보, 일본 특허 공개 제2009-98638호 공보도 참조할 수 있으며, 통상의 계면활성제 및 알칼리 가용형 계면활성제를 사용할 수 있다.As the surfactant, reference may be made to the component (E) defined in Japanese Patent Application Laid-Open No. 2009-269953. Further, Japanese Patent Application Laid-Open Nos. 2008-122932, 2010-134012, 2010-107695, 2009-276363, 2009-192784, 2009- 191151 and JP-A-2009-98638, and conventional surfactants and alkali-soluble surfactants can be used.

상기 계면활성제의 첨가량은, 레지스트 재료의 베이스 수지 100 질량부에 대하여 0.001 내지 20 질량부, 바람직하게는 0.01 내지 10 질량부의 범위이다. 이들은 일본 특허 공개 제2007-297590호 공보에 상세하다.The amount of the surfactant to be added is in the range of 0.001 to 20 parts by mass, preferably 0.01 to 10 parts by mass based on 100 parts by mass of the base resin of the resist material. These are detailed in Japanese Patent Application Laid-Open No. 2007-297590.

이어서, 본 발명에서는, 상술한 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법을 제공한다.Next, the present invention is characterized by including a step of applying the above-described chemically amplified resist material onto a substrate, a step of exposing the substrate to a high energy beam through a photomask after the heat treatment, and a step of developing by using a developer The method comprising the steps of:

또한, 본 발명에서는, 상술한 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 물에 불용이며 알칼리 현상액에 가용인 보호막을 도포하는 공정과, 상기 기판과 투영 렌즈 사이에 물을 삽입하여 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법을 제공한다.The present invention also provides a method of manufacturing a semiconductor device, comprising the steps of: applying the chemical amplification resist material described above onto a substrate; applying a protective film insoluble in water after heat treatment and soluble in an alkali developing solution; A step of exposing the resist film to a high energy beam through a photomask, and a step of developing using a developer.

상세히 설명하면, 본 발명의 레지스트 재료를 사용하여 패턴을 형성하기 위해서는 공지된 리소그래피 기술을 채용하여 행할 수 있으며, 예를 들면 집적 회로 제조용의 기판(Si, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, 유기 반사 방지막 등), 또는 마스크 회로 제조용의 기판(Cr, CrO, CrON, MoSi 등)에 스핀 코팅 등의 방법으로 막 두께가 0.05 내지 2.0 ㎛가 되도록 도포하고, 이것을 핫 플레이트 위에서 60 내지 150 ℃, 1 내지 10분간, 바람직하게는 80 내지 140 ℃, 1 내지 5분간 프리 베이킹한다. 이어서 목적으로 하는 패턴을 형성하기 위한 마스크를 상기한 레지스트막 위에 꽂고, 원자외선, 엑시머 레이저, X선, 전자선 등의 고에너지선을 노광량 1 내지 200 mJ/cm2, 바람직하게는 10 내지 100 mJ/cm2가 되도록 조사한다. 또는, 패턴 형성을 위한 마스크를 통하지 않고 전자선을 직접 묘화한다. 이어서, 핫 플레이트 상에서 60 내지 150 ℃, 1 내지 5분간, 바람직하게는 80 내지 140 ℃, 1 내지 3분간 노광한 후 소성(Post Expoure Bake; PEB)한다. 또한, 0.1 내지 5 질량%, 바람직하게는 2 내지 3 질량%의 테트라메틸암모늄히드록시드(TMAH) 등의 알칼리 수용액의 현상액을 사용하여, 0.1 내지 3분간, 바람직하게는 0.5 내지 2분간 침지(dip)법, 퍼들(puddle)법, 스프레이(spray)법 등의 통상법에 의해 현상하여, 기판 상에 목적으로 하는 패턴이 형성된다.More specifically, in order to form a pattern using the resist composition of the invention can be performed by employing the well-known lithography technology, for example, an integrated circuit substrate for producing (Si, SiO 2, SiN, SiON, TiN, WSi, (Cr, CrO, CrON, MoSi, or the like) for mask circuit fabrication to a thickness of 0.05 to 2.0 mu m by a method such as spin coating, To 150 ° C for 1 to 10 minutes, preferably 80 to 140 ° C for 1 to 5 minutes. Then plug the mask for forming a pattern for the purpose on the resist film, a far ultraviolet ray, excimer laser, X-rays, the exposure dose for high-energy radiation such as electron beam 1 to 200 mJ / cm 2, preferably 10 to 100 mJ / cm &lt; 2 &gt;. Alternatively, the electron beam is directly drawn without passing through a mask for pattern formation. Subsequently, the substrate is exposed on a hot plate at 60 to 150 ° C for 1 to 5 minutes, preferably at 80 to 140 ° C for 1 to 3 minutes, and then subjected to post burying (PEB). In addition, immersion (0.1 to 5 mass%, preferably 2 to 3 mass%) of a developer of an aqueous alkaline solution such as tetramethylammonium hydroxide (TMAH) for 0.1 to 3 minutes, preferably 0.5 to 2 minutes dip method, a puddle method, a spray method, or the like, and a desired pattern is formed on the substrate.

또한, 유기 용제의 현상액을 사용하여 0.1 내지 3분간, 바람직하게는 0.5 내지 2분간 침지(dip)법, 퍼들(puddle)법, 스프레이(spray)법 등의 통상법에 의해 현상함으로써 미노광 부분이 용해되는 네가티브 패턴을 형성하는 것도 가능하다. 이때의 현상액으로서는, 2-옥타논, 2-노나논, 2-헵타논, 3-헵타논, 4-헵타논, 2-헥사논, 3-헥사논, 디이소부틸케톤, 메틸시클로헥사논, 아세토페논, 메틸아세토페논의 케톤류, 아세트산프로필, 아세트산부틸, 아세트산이소부틸, 아세트산아밀, 아세트산부테닐, 아세트산이소아밀, 아세트산페닐, 포름산프로필, 포름산부틸, 포름산이소부틸, 포름산아밀, 포름산이소아밀, 발레르산메틸, 펜텐산메틸, 크로톤산메틸, 크로톤산에틸의 에스테르류, 벤조산메틸, 벤조산에틸, 아세트산페닐, 아세트산벤질, 페닐아세트산메틸, 포름산벤질, 포름산페닐에틸, 3-페닐프로피온산메틸, 프로피온산벤질, 페닐아세트산에틸, 아세트산 2-페닐에틸의 방향족 에스테르류를 바람직하게 사용할 수 있다.The unexposed portions are dissolved by an ordinary method such as a dip method, a puddle method or a spray method for 0.1 to 3 minutes, preferably 0.5 to 2 minutes by using a developing solution of an organic solvent. It is also possible to form a negative pattern. As the developing solution at this time, it is preferable to use at least one developer selected from the group consisting of 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutylketone, methylcyclohexanone, Acetophenone, methyl acetophenone ketone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, acetic acid isoamyl, phenyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, But are not limited to, methyl acetate, ethyl acetate, methyl ethyl ketone, methyl ethyl ketone, methyl ethyl ketone, methyl ethyl ketone, methyl ethyl ketone, methyl ethyl ketone, Aromatic esters of benzyl propionate, ethyl phenylacetate and 2-phenylethyl acetate can be preferably used.

또한, 본 발명의 레지스트 재료는, 다양한 수축 방법에 의해 현상 후의 패턴 치수를 축소시킬 수 있다. 예를 들면, 서멀 플로우, RELACS, SAFIRE, WASOOM 등 기지된 방법에 의해 홀 크기를 수축할 수 있다. 특히 중합체 Tg가 낮은 수소화 ROMP 중합체(시클로올레핀 개환 복분해 중합체 수소 첨가물) 등을 블렌드한 경우, 서멀 플로우에 의해 홀 크기를 효과적으로 축소시킬 수 있다.Further, the resist material of the present invention can reduce the pattern size after development by various contraction methods. For example, the hole size can be reduced by known methods such as thermal flow, RELACS, SAFIRE, and WASOOM. In particular, when a hydrogenated ROMP polymer (cycloolefin ring-opening metathesis polymer hydrogenation product) having a low polymer Tg or the like is blended, the hole size can be effectively reduced by the thermal flow.

또한, 본 발명의 화학 증폭 레지스트 재료는, 특히 고에너지선 중에서도 250 내지 190 nm의 원자외선 또는 엑시머 레이저, X선 및 전자선에 의한 미세 패터닝에 최적이다. 또한, 상기 범위 내이면, 목적으로 하는 패턴을 얻을 수 있기 때문에 바람직하다.Further, the chemically amplified resist material of the present invention is most suitable for fine patterning by ultraviolet or excimer laser, X-ray, and electron beam of 250 to 190 nm in particular in a high energy beam. Within the above range, a desired pattern can be obtained, which is preferable.

또한, 노광은 통상의 노광법 이외에 경우에 따라 액침(Immersion)법을 이용하는 것도 가능하다. 액침 리소그래피는, 프리 베이킹 후의 레지스트막과 투영 렌즈 사이(기판과 투영 렌즈 사이)에 액침 매체(바람직하게는 물)를 삽입하여 노광한다. ArF 액침 리소그래피에서는, 액침 매체로서 주로 순수가 사용된다. NA가 1.0 이상인 투영 렌즈와 조합하는 것은 ArF 리소그래피를 65 nm 노드 이후까지 연명시키기 위한 중요한 기술이며, 개발이 가속되고 있다. 이 경우에는 물에 불용인 보호막을 사용하는 것도 가능하다.In addition to the usual exposure method, the immersion method may also be used for the exposure. In the immersion lithography, an immersion medium (preferably water) is inserted between the resist film after the pre-baking and the projection lens (between the substrate and the projection lens) to expose it. In ArF immersion lithography, pure water is mainly used as the immersion medium. Combining with a projection lens with an NA of 1.0 or greater is an important technology for sustaining ArF lithography beyond the 65 nm node and is being accelerated in development. In this case, it is also possible to use a water-insoluble protective film.

상술한 물에 불용인 보호막은 레지스트막으로부터의 용출물을 방지하고, 막 표면의 활수성을 높이기 위해 사용되며, 크게 나누어 2 종류가 있다. 1 종류는 레지스트막을 용해하지 않는 유기 용제에 의해 알칼리 현상 전에 박리가 필요한 유기 용제 박리형과, 다른 1 종류는 알칼리 현상액에 가용이며 레지스트막 가용부의 제거와 함께 보호막을 제거하는 알칼리 가용형이다.The above-mentioned water-insoluble protective film is used for preventing elution from the resist film and for increasing the surface activity of the film surface. One type is an organic solvent type in which an organic solvent that does not dissolve the resist film does not dissolve before the alkali development, and the other type is soluble in an alkali developing solution. The alkali soluble type is used to remove the resist film soluble portion and remove the protective film.

후자는 특히 물에 불용이며 알칼리 현상액에 용해하는 1,1,1,3,3,3-헥사플루오로-2-프로판올 잔기를 갖는 고분자 화합물을 베이스로 하고, 탄소수 4 이상의 알코올계 용제, 탄소수 8 내지 12의 에테르계 용제 및 이들의 혼합 용매에 용해시킨 재료가 바람직하다.The latter is based on a polymer compound having a 1,1,1,3,3,3-hexafluoro-2-propanol residue which is insoluble in water and soluble in an alkaline developing solution, and contains an alcoholic solvent having 4 or more carbon atoms, To (12), and a mixed solvent thereof.

상술한 물에 불용이며 알칼리 현상액에 가용인 계면활성제를 탄소수 4 이상의 알코올계 용제, 탄소수 8 내지 12의 에테르계 용제 또는 이들의 혼합 용매에 용해시킨 재료로 할 수도 있다.A surfactant which is insoluble in water and soluble in an alkali developing solution may be prepared by dissolving the surfactant in an alcoholic solvent having 4 or more carbon atoms, an ether solvent having 8 to 12 carbon atoms, or a mixed solvent thereof.

또한, 패턴 형성 방법의 수단으로서, 포토레지스트막 형성 후에 순수 린스(포스트소크)를 행함으로써 막 표면으로부터의 산발생제 등의 추출, 또는 파티클의 세정 제거를 행할 수도 있고, 노광 후에 막 위에 남은 물을 제거하기 위한 린스(포스트소크)를 행할 수도 있다.As a means of the pattern formation method, pure rinse (post-soak) may be performed after formation of the photoresist film to extract the acid generator or the like from the surface of the film or to remove the particles from the film surface. Alternatively, (Post-soak) may be performed.

[실시예][Example]

이하, 합성예, 비교 합성예, 실시예 및 비교예를 나타내어 본 발명을 구체적으로 설명하지만, 본 발명은 하기 실시예로 제한되는 것은 아니다. 또한, 하기 예 중, Me는 메틸기를 나타낸다.Hereinafter, the present invention will be described in detail by way of Synthesis Examples, Comparative Synthesis Examples, Examples and Comparative Examples, but the present invention is not limited to the following Examples. In the following examples, Me represents a methyl group.

(합성예 1) 중합체-1의 합성(Synthesis Example 1) Synthesis of Polymer-1

질소 분위기로 한 플라스크에 4.94 g의 트리페닐술포늄=2-(6-메타크릴로일옥시-2-옥소헥사히드로-3,5-메타노-2H-시클로펜타[b]푸란-7-카르보닐옥시)에탄술포네이트, 19.0 g의 메타크릴산=1-이소프로필시클로펜틸, 6.61 g의 메타크릴산=2-옥소테트라히드로푸란-3-일, 12.09 g의 메타크릴산=5-옥소4,8-디옥사트리시클로[4.2.1.03,7]논-2-일, 2.20 g의 V-601(와코 쥰야꾸 제조), 2-머캅토에탄올 0.45 g을 68 g의 GBL(감마부티로락톤)에 용해하여, 단량체-중합 개시제 용액을 제조하였다. 질소 분위기로 한 별도의 플라스크에 24 g의 GBL을 취하고, 교반하면서 80 ℃까지 가열한 후, 상기 단량체 용액을 4시간에 걸쳐서 적하하였다. 적하 종료 후, 중합액의 온도를 80 ℃로 유지한 채로 2시간 동안 교반을 계속하고, 이어서 실온까지 냉각하였다. 얻어진 중합액을 격하게 교반한 640 g의 물-메탄올 용액(중량비 3:7)에 적하하고, 석출된 공중합체를 여과 분별하였다. 공중합체를 240 g의 물-메탄올 용액(중량비 3:7)로 2회 세정한 후, 50 ℃에서 20시간 동안 진공 건조하여 30.3 g의 백색 분말상의 공중합체를 얻었다. 공중합체를 13C-NMR로 분석한 바, 공중합 조성비는 상기한 단량체 순으로 2/50/20/28 몰%였다.In a nitrogen flask, 4.94 g of triphenylsulfonium = 2- (6-methacryloyloxy-2-oxohexahydro-3,5-methano-2H-cyclopenta [b] 19.0 g of methacrylic acid = 1-isopropylcyclopentyl, 6.61 g of methacrylic acid = 2-oxotetrahydrofuran-3-yl, 12.09 g of methacrylic acid = 5-oxo 4 , 8-dioxa-tricyclo [4.2.1.0 3,7] non-2-yl, 2.20 g of V-601 (Wako Pure yakku, Ltd.), 2-mercaptoethanol GBL (gamma -butyrolactone of 0.45 g to 68 g Lactone) to prepare a monomer-polymerization initiator solution. 24 g of GBL was taken in a separate flask in a nitrogen atmosphere and heated to 80 DEG C with stirring, and then the monomer solution was added dropwise over 4 hours. After completion of the dropwise addition, stirring was continued for 2 hours while maintaining the temperature of the polymerization solution at 80 占 폚, followed by cooling to room temperature. The obtained polymerization solution was added dropwise to 640 g of a water-methanol solution (weight ratio 3: 7) which was stirred vigorously, and the precipitated copolymer was filtered off. The copolymer was washed twice with 240 g of a water-methanol solution (weight ratio 3: 7), and then vacuum-dried at 50 ° C for 20 hours to obtain 30.3 g of a white powdery copolymer. The copolymer was analyzed by &lt; 13 &gt; C-NMR, and the copolymerization ratio was 2/50/20/28 mol% in that order.

Figure 112012042531248-pat00046
Figure 112012042531248-pat00046

(합성예 2 내지 7, 비교 합성예 1 내지 4) 중합체-2 내지 중합체-7, 중합체 A 내지 D의 합성(Synthesis Examples 2 to 7, Comparative Synthesis Examples 1 to 4) Polymer-2 to polymer-7, Synthesis of Polymers A to D

각 단량체의 종류, 배합비를 변경한 것 이외에는, 상기 합성예 1과 동일한 절차에 의해 하기에 나타낸 고분자 화합물을 제조하였다.The polymeric compound shown below was prepared by the same procedure as in Synthesis Example 1 except that the kind of each monomer and the blending ratio were changed.

Figure 112012042531248-pat00047
Figure 112012042531248-pat00047

Figure 112012042531248-pat00048
Figure 112012042531248-pat00048

Figure 112012042531248-pat00049
Figure 112012042531248-pat00049

화학 증폭 레지스트 재료의 제조(실시예 1-1 내지 1-7, 비교예 1-1 내지 1-4) Preparation of chemically amplified resist materials (Examples 1-1 to 1-7, Comparative Examples 1-1 to 1-4)

상기 합성예에서 나타낸 고분자 화합물을 사용하고, 하기 광산발생제, 켄처, 알칼리 가용형 계면활성제 (SF-1)을 하기 표 1에 나타낸 조성으로 하기 계면활성제 A(옴노바사 제조) 0.01 질량%를 포함하는 용매 중에 용해하여 레지스트 재료를 조합하고, 레지스트 재료를 0.2 ㎛의 테플론(등록 상표)제 필터로 여과함으로써, 레지스트 용액 (R-01 내지 R11)을 각각 제조하였다.The polymer compound shown in the above Synthesis Example was used and 0.01 mass% of the following surfactant A (manufactured by Omnova) was added to the composition shown in Table 1 below, with the following photoacid generator, quencher, and alkali-soluble surfactant (SF-1) , And the resist material was filtered through a filter made of Teflon (registered trademark) of 0.2 占 퐉 to prepare resist solutions (R-01 to R11), respectively.

또한, 표 1에 있어서, 상기 합성예에서 나타낸 고분자 화합물과 함께 레지스트 재료로서 사용한 광산발생제, 켄처, 용제, 알칼리 가용형 계면활성제 (SF-1)은 하기와 같다.In Table 1, the photoacid generator, the quencher, the solvent, and the alkali-soluble surfactant (SF-1) used as a resist material together with the polymer compound shown in the above Synthesis Example are as follows.

P-1 내지 P-7: 상기 중합체-1 내지 중합체-7P-1 to P-7: The polymer-1 to polymer-7

P-A 내지 P-D: 상기 중합체-A 내지 중합체-DP-A to P-D: Polymer-A to Polymer-D

PAG-1: 4-t-부틸페닐디페닐술포늄=2-(아다만탄-1-카르보닐옥시)-1,1,3,3,3-펜타플루오로프로판-1-술포네이트PAG-1: 4-t-butylphenyldiphenylsulfonium = 2- (adamantane-1-carbonyloxy) -1,1,3,3,3-pentafluoropropane-1-sulfonate

PAG-2: N-노나플루오로부탄술포닐옥시-1,8-나프탈렌디카르복시이미드PAG-2: N-nonafluorobutane sulfonyloxy-1,8-naphthalene dicarboxyimide

Q-1: 1-벤질옥시카르보닐-2-페닐벤즈이미다졸Q-1: 1-Benzyloxycarbonyl-2-phenylbenzimidazole

Q-2: 트리페닐술포늄=10-캄포술포네이트Q-2: Triphenylsulfonium = 10-camphorsulfonate

PGMEA: 프로필렌글리콜모노메틸에테르아세테이트PGMEA: Propylene glycol monomethyl ether acetate

GBL: γ-부티로락톤GBL:? -Butyrolactone

알칼리 가용형 계면활성제 (SF-1): 하기 화학식(일본 특허 공개 제2008-122932호 공보에 기재된 화합물)Alkali-soluble Surfactant (SF-1): The compound represented by the following formula (the compound described in JP-A-2008-122932)

Figure 112012042531248-pat00050
Figure 112012042531248-pat00050

계면활성제 A:Surfactant A:

3-메틸-3-(2,2,2-트리플루오로에톡시메틸)옥세탄ㆍ테트라히드로푸란ㆍ2,2-디메틸-1,3-프로판디올 공중합물(옴노바사 제조)(하기 화학식)(2,2,2-trifluoroethoxymethyl) oxetane, tetrahydrofuran, 2,2-dimethyl-1,3-propanediol copolymer (Omnova) )

Figure 112012042531248-pat00051
Figure 112012042531248-pat00051

Figure 112012042531248-pat00052
Figure 112012042531248-pat00052

ArFArF 노광  Exposure 패터닝Patterning 평가( evaluation( 실시예Example 2-1 내지  2-1 to 실시예Example 2-7,  2-7, 비교예Comparative Example 2-1 내지  2-1 to 비교예Comparative Example 2-4) 2-4)

실리콘 기판 상에 반사 방지막 용액(닛산 가가꾸 고교(주) 제조, ARC-29A)을 도포하고, 200 ℃에서 60초간 베이킹하여 제작한 반사 방지막(100 nm 막 두께) 기판 상에 레지스트 용액을 스핀 코팅하고, 핫 플레이트를 사용하여 100 ℃에서 60초간 베이킹하여, 120 nm 막 두께의 레지스트막을 제작하였다. 이것을 ArF 엑시머 레이저 스캐너((주)니콘 제조, NSR-S610C, NA=1.30, 이중극, Cr 마스크)를 사용하여 액침 노광하고, 80 ℃에서 60초간 베이킹(PEB)을 실시하여, 2.38 질량%의 테트라메틸암모늄히드록시드의 수용액으로 60초간 현상을 행하였다.(100 nm film thickness) substrate prepared by applying an antireflection film solution (ARC-29A, manufactured by Nissan Chemical Industries, Ltd.) on a silicon substrate and baking at 200 캜 for 60 seconds, And baked at 100 DEG C for 60 seconds using a hot plate to prepare a resist film having a thickness of 120 nm. This was subjected to liquid immersion exposure using an ArF excimer laser scanner (NSR-S610C, NA = 1.30, dipole, Cr mask manufactured by Nikon Corporation) and baked at 80 占 폚 for 60 seconds to give 2.38% And development was carried out with an aqueous solution of methylammonium hydroxide for 60 seconds.

레지스트 형상의 평가는, 40 nm의 그룹의 라인 앤드 스페이스를 1:1로 해상하는 노광량을 최적 노광량(Eop, mJ/cm2)으로 하였다. 상기 최적 노광량에서의 패턴 형상 및 러프니스(LWR)를 전자 현미경으로 관찰하여 평가하였다.In the evaluation of the resist shape, the exposure amount for resolving the line-and-space of 40 nm group at 1: 1 was defined as the optimum exposure amount (Eop, mJ / cm 2 ). The pattern shape and roughness (LWR) at the optimum exposure amount were observed and evaluated by an electron microscope.

패턴 형상의 평가 기준은 이하의 것으로 하였다.Evaluation criteria of the pattern shape were as follows.

직사각형: 라인 측벽이 수직이며, 바텀(기판 부근)으로부터 톱까지 치수 변화가 적고 양호.Rectangle: The line side wall is vertical, and the dimensional change from the bottom (near the substrate) to the top is small and good.

테이퍼: 바텀으로부터 톱에 걸쳐서 라인 치수가 작아지는 형상.Taper: A shape whose line dimension decreases from the bottom to the top.

레지스트 성분의 액침수로의 용출량의 평가는, 우선 상기 방법으로 레지스트막을 형성한 웨이퍼 상에 내경 10 cm의 진원 형상의 테플론(등록 상표) 링을 놓고, 그 중에 10 ml의 순수를 주의 깊게 부어 실온에서 60초간 레지스트막과 순수를 접촉시켰다. 그 후, 순수를 회수하고, 순수 중의 술포늄염의 음이온 성분 농도(mol/cm2ㆍ초)를 LC-MS 분석 장치(애질런트ㆍ테크놀로지(주) 제조)로 측정하였다.The elution amount of the resist component into the immersion water was evaluated by first placing a Teflon (registered trademark) ring having an inner diameter of 10 cm with an inner diameter of 10 cm on the wafer on which a resist film was formed by the above method, carefully pouring 10 ml of pure water into it, The resist film and pure water were brought into contact with each other for 60 seconds. Thereafter, pure water was recovered, and the concentration of anion component (mol / cm 2 초) of the sulfonium salt in purified water was measured by an LC-MS analyzer (Agilent Technologies, Ltd.).

레지스트 패턴의 기판으로의 밀착성에 대해서는, 전자 현미경으로 상공 관찰을 행하여 박리 결함의 유무를 평가하였다.The adhesion of the resist pattern to the substrate was observed with an electron microscope to observe the presence or absence of peeling defects.

각 레지스트 재료의 평가 결과를 표 2에 나타낸다.The evaluation results of the respective resist materials are shown in Table 2.

Figure 112012042531248-pat00053
Figure 112012042531248-pat00053

표 2의 결과로부터, 본 발명의 특정 구조의 술포늄염을 반복 단위 중에 갖는 고분자 화합물을 레지스트 재료의 베이스 수지로서 사용하면, 패턴의 직사각형성이 우수하고, 술포늄염의 음이온 성분의 용출이 낮고, LWR가 작고, 패턴의 기판으로의 밀착성도 양호하다는 것이 확인되었다. 이상의 점으로부터, 본 발명의 레지스트 재료는 액침 리소그래피 재료로서 바람직하다.From the results shown in Table 2, it can be seen that when a polymer compound having a sulfonium salt of a specific structure of the present invention is used as a base resin for a resist material, the pattern is excellent in rectangularity, the anion component of the sulfonium salt is low in elution, Was small, and the adhesion of the pattern to the substrate was good. In view of the above, the resist material of the present invention is preferable as an immersion lithography material.

또한, 본 발명은 상기 실시 형태로 한정되는 것은 아니다. 상기 실시 형태는 예시이며, 본 발명의 특허청구범위에 기재된 기술적 사상과 실질적으로 동일한 구성을 갖고, 동일한 작용 효과를 발휘하는 것은, 어떠한 것이어도 본 발명의 기술적 범위에 함유된다.The present invention is not limited to the above embodiments. The above-described embodiments are illustrative and any of those having substantially the same constitution as the technical idea described in the claims of the present invention and exhibiting the same operational effects are included in the technical scope of the present invention.

Claims (5)

하기 화학식 1로 표시되는 반복 단위를 함유하는 고분자 화합물.
<화학식 1>
Figure 112012042531248-pat00054

(식 중, R1은 수소 원자, 불소 원자, 메틸기 및 트리플루오로메틸기 중 어느 하나를 나타내고, R2, R3 및 R4는 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 알킬기, 알케닐기 및 옥소알킬기 중 어느 하나, 또는 치환 또는 비치환된 탄소수 6 내지 18의 아릴기, 아르알킬기 및 아릴옥소알킬기 중 어느 하나를 나타내거나, 또는 R2, R3 및 R4 중 어느 2개 이상이 서로 결합하여 식 중의 황 원자와 함께 환을 형성할 수도 있고, X1은 O 또는 CH2를 나타내고, A1은 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상의 2가 탄화수소기를 나타내고, B1은 불소를 제외한 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 10의 알킬렌기 또는 탄소수 6 내지 18의 아릴렌기를 나타내고, k1은 0 또는 1의 정수를 나타냄)
A polymer compound containing a repeating unit represented by the following formula (1).
&Lt; Formula 1 >
Figure 112012042531248-pat00054

(Wherein R 1 represents any one of a hydrogen atom, a fluorine atom, a methyl group and a trifluoromethyl group, R 2 , R 3 and R 4 each independently represents a substituted or unsubstituted, straight, Represents a substituted or unsubstituted aryl group, an aralkyl group and an aryloxoalkyl group having 6 to 18 carbon atoms, or R 2 , R 3 and R 4 each independently represent a hydrogen atom, an alkyl group, an alkoxy group, R 4 may combine with each other to form a ring with the sulfur atom in the formula, X 1 represents O or CH 2 , A 1 represents a straight, branched or cyclic B 1 represents an alkylene group having from 1 to 10 carbon atoms or an arylene group having from 6 to 18 carbon atoms which may contain a hetero atom other than fluorine and k 1 represents an integer of 0 or 1)
제1항에 있어서, 상기 화학식 1로 표시되는 반복 단위에 추가로, 산불안정기를 갖는 반복 단위를 함유하는 것임을 특징으로 하는 고분자 화합물.The polymer compound according to claim 1, which further comprises a repeating unit having an acid labile group in addition to the repeating unit represented by the formula (1). (A) 제1항 또는 제2항에 기재된 고분자 화합물, (B) 유기 용제, (C) 광산발생제 및 (D) 염기성 화합물을 함유하는 것임을 특징으로 하는 화학 증폭 레지스트 재료.(A) a polymeric compound according to any one of claims 1 and 2, (B) an organic solvent, (C) a photoacid generator, and (D) a basic compound. 제3항에 기재된 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법.A step of applying the chemically amplified resist material according to claim 3 onto a substrate, a step of exposing the substrate to a high energy beam through a photomask after the heat treatment, and a step of developing using a developing solution Way. 제3항에 기재된 화학 증폭 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후 물에 불용이며 알칼리 현상액에 가용인 보호막을 도포하는 공정과, 상기 기판과 투영 렌즈 사이에 물을 삽입하여 포토마스크를 통해 고에너지선으로 노광하는 공정과, 현상액을 사용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법.A step of applying a chemical amplification resist material according to claim 3 on a substrate; a step of applying a protective film which is insoluble in water after heat treatment and usable in an alkali developing solution; and water is inserted between the substrate and the projection lens, A step of exposing the resist film to a high energy beam through a resist film; and a step of developing the resist film with a developer.
KR1020120056565A 2011-05-30 2012-05-29 Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition KR101782172B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020120056565A KR101782172B1 (en) 2011-05-30 2012-05-29 Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2011-120457 2011-05-30
JP2011120457A JP5491450B2 (en) 2011-05-30 2011-05-30 A polymer compound, a chemically amplified resist material, and a pattern forming method using the chemically amplified resist material.
KR1020120056565A KR101782172B1 (en) 2011-05-30 2012-05-29 Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition

Publications (2)

Publication Number Publication Date
KR20120134046A KR20120134046A (en) 2012-12-11
KR101782172B1 true KR101782172B1 (en) 2017-09-26

Family

ID=47517330

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120056565A KR101782172B1 (en) 2011-05-30 2012-05-29 Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition

Country Status (1)

Country Link
KR (1) KR101782172B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102476090B1 (en) * 2018-02-28 2022-12-09 후지필름 가부시키가이샤 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, electronic device manufacturing method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010116550A (en) 2008-10-17 2010-05-27 Shin-Etsu Chemical Co Ltd Polymerizable anion-containing sulfonium salt and polymer, resist composition, and patterning process
US20110189607A1 (en) 2010-02-02 2011-08-04 Shin-Etsu Chemical Co., Ltd. Novel sulfonium salt, polymer, method for producing the polymer, resist composition and patterning process
JP4998746B2 (en) 2008-04-24 2012-08-15 信越化学工業株式会社 Polymer compound containing sulfonium salt, resist material, and pattern forming method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4998746B2 (en) 2008-04-24 2012-08-15 信越化学工業株式会社 Polymer compound containing sulfonium salt, resist material, and pattern forming method
JP2010116550A (en) 2008-10-17 2010-05-27 Shin-Etsu Chemical Co Ltd Polymerizable anion-containing sulfonium salt and polymer, resist composition, and patterning process
US20110189607A1 (en) 2010-02-02 2011-08-04 Shin-Etsu Chemical Co., Ltd. Novel sulfonium salt, polymer, method for producing the polymer, resist composition and patterning process

Also Published As

Publication number Publication date
KR20120134046A (en) 2012-12-11

Similar Documents

Publication Publication Date Title
JP5491450B2 (en) A polymer compound, a chemically amplified resist material, and a pattern forming method using the chemically amplified resist material.
KR101748464B1 (en) Sulfornium Salt, Polymer, Resist Composition, and Patterning Process
JP6583136B2 (en) Novel sulfonium compound and method for producing the same, resist composition, and pattern forming method
JP6237428B2 (en) Pattern formation method
KR101532103B1 (en) Novel sulfonate and its derivative, photosensitive acid generator, and resist composition and patterning process using the same
KR101517666B1 (en) Polymer, making method, resist composition, and patterning process
TWI637939B (en) Sulfonium compound, resist composition, and patterning process
KR101719856B1 (en) Polymer, resist composition and patterning process
JP7172975B2 (en) Novel Onium Salt, Chemically Amplified Resist Composition, and Pattern Forming Method
JP5790631B2 (en) Sulfonium salt and polymer compound, resist material, pattern forming method, and method for producing the polymer compound
JP7111047B2 (en) Sulfonium compound, chemically amplified resist composition, and pattern forming method
JP2013173855A (en) Method for producing polymer compound, polymer compound produced by the production method, resist material containing the same and method for forming pattern
KR20220068929A (en) Resist composition and pattern forming process
KR101782172B1 (en) Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition
KR101933786B1 (en) Resist composition and pattern forming process
TWI837837B (en) Chemically amplified resist composition and patterning process
KR20230043728A (en) Chemically amplified resist composition and patterning process
TW202323249A (en) Amine compound, chemically amplified resist composition, and patterning process
JP2023046718A (en) Chemically amplified resist composition and pattern forming method
JP2022059112A (en) Sulfonium salt, chemically amplified resist composition, and pattern-forming method
KR20230116712A (en) Polymer, resist composition and patterning process

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant