KR20120041439A - Nitride semiconductor device and method for manufacturing thereof - Google Patents

Nitride semiconductor device and method for manufacturing thereof Download PDF

Info

Publication number
KR20120041439A
KR20120041439A KR1020100102892A KR20100102892A KR20120041439A KR 20120041439 A KR20120041439 A KR 20120041439A KR 1020100102892 A KR1020100102892 A KR 1020100102892A KR 20100102892 A KR20100102892 A KR 20100102892A KR 20120041439 A KR20120041439 A KR 20120041439A
Authority
KR
South Korea
Prior art keywords
layer
nitride
type semiconductor
group iii
type
Prior art date
Application number
KR1020100102892A
Other languages
Korean (ko)
Inventor
전성란
송영호
김재범
Original Assignee
한국광기술원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국광기술원 filed Critical 한국광기술원
Priority to KR1020100102892A priority Critical patent/KR20120041439A/en
Publication of KR20120041439A publication Critical patent/KR20120041439A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0075Processes for devices with an active region comprising only III-V compounds comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/12Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a stress relaxation structure, e.g. buffer layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/26Materials of the light emitting region
    • H01L33/30Materials of the light emitting region containing only elements of Group III and Group V of the Periodic Table
    • H01L33/32Materials of the light emitting region containing only elements of Group III and Group V of the Periodic Table containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Led Devices (AREA)

Abstract

PURPOSE: A nitride type semiconductor device and a manufacturing method thereof are provided to reduce crystal defects by securing good lattice consistency with another layer which is adjacent to a corresponding layer. CONSTITUTION: A base substrate is prepared(S21). A nitride nucleation layer is formed on the base substrate(S23). A buffer layer is formed on the base substrate based on the nitride nucleation layer(S25). An n-type semiconductor layer is formed on the buffer layer(S27). An n-type clad layer is formed on the n-type semiconductor layer(S29). An active layer is formed on the n-type clad layer(S31). A p-type cladding layer is formed on the active layer(S33). A p-type semiconductor layer is formed on the p-type cladding layer(S35).

Description

질화물계 반도체 소자 및 그의 제조 방법{Nitride semiconductor device and method for manufacturing thereof}Nitride-based semiconductor device and method for manufacturing the same

본 발명은 반도체 소자 및 그의 제조 방법에 관한 것으로, 더욱 상세하게는 질화물계 반도체 소자를 구성하는 질화물 소재의 층을 형성할 때 복수의 Ⅲ족 소스의 총 공급량을 정하고, 복수의 Ⅲ족 소스 중 적어도 하나의 공급량을 스텝형 또는 커브형으로 가변하여 해당 층을 형성하여 질화물계 반도체 소자를 구성하는 질화물 소재의 층 간에 양호한 격자 정합성을 제공하는 질화물계 반도체 소자 및 그의 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to determine a total supply amount of a plurality of Group III sources when forming a layer of a nitride material constituting a nitride-based semiconductor device, and to select at least one of a plurality of Group III sources. A nitride-based semiconductor device and a method for manufacturing the same, which provide a lattice match between layers of a nitride material constituting a nitride-based semiconductor device by varying one supply amount into a stepped or curved shape, thereby forming a layer.

일반적으로 질소(N)와 같은 Ⅴ족 소스와, 갈륨(Ga),알루미늄(Al),또는 인듐(In) 과 같은 Ⅲ족 소스를 포함하는 질화갈륨(GaN),질화알루미늄(AlN), 질화인듐 (InN) 등의 질화물 반도체 소재는 열적 안정성이 우수하고 직접 천이형의 에너지 밴드(band) 구조를 갖고 있어, 질화물계 반도체 소자 예컨대, 자외선 영역의 질화물계 반도체 발광소자 및 태양전지용 물질로 많이 사용되고 있다. 질화인듐알루미늄(AlInN)계 물질은 0.7eV에서 6.2eV의 폭넓은 에너지 밴드갭을 가지고 있어 태양광스펙트럼 영역과 일치하는 특성으로 인하여 태양전지소자용 물질로 많이 사용되고 있다. 특히, 질화알루미늄갈륨(AlGaN)을 이용한 자외선 발광소자는 경화기 장치, 의료분석기 및 치료기기 및 살균,정수, 정화시스템 등 다양한 산업분야에서 활용되고 있으며, 향후 반도체 조명 광원으로써 일반조명에 사용 가능한 물질로서 주목을 받고 있다. Generally gallium nitride (GaN), aluminum nitride (AlN), indium nitride containing a Group V source such as nitrogen (N) and a Group III source such as gallium (Ga), aluminum (Al), or indium (In) Nitride semiconductor materials such as (InN) have excellent thermal stability and have a direct transition type energy band structure, and thus are widely used for nitride-based semiconductor devices such as nitride-based semiconductor light emitting devices and solar cells in the ultraviolet region. . Indium aluminum nitride (AlInN) -based materials have a wide energy bandgap of 0.7 eV to 6.2 eV, and thus are widely used as materials for solar cell devices due to their characteristics consistent with the solar spectrum region. In particular, UV light emitting devices using aluminum gallium nitride (AlGaN) are used in various industrial fields such as curing devices, medical analyzers and treatment devices, and sterilization, water purification, and purification systems. It is getting attention.

이러한 질화물계 반도체 소자 중, 질화물계 반도체 발광소자는 베이스 기판 위에 버퍼층, n형 반도체층, 활성층, p형 반도체층 및 전극의 구조로 이루어져 있다.Among such nitride semiconductor devices, a nitride semiconductor light emitting device has a structure of a buffer layer, an n-type semiconductor layer, an active layer, a p-type semiconductor layer, and an electrode on a base substrate.

이때 버퍼층은 베이스 기판과 n형 반도체층 간의 격자 상수 및 열팽창 계수의 차이에 기인한 n형 반도체층 내의 크랙(crack), 뒤틀림(warpage) 또는 전위(dislocation)의 발생을 억제하기 위해서, 버퍼층의 조성을 점차적으로 변경될 수 있도록 버퍼층을 다층으로 형성한다.At this time, the buffer layer is formed in order to suppress the occurrence of cracks, warpages or dislocations in the n-type semiconductor layer due to the difference in lattice constant and thermal expansion coefficient between the base substrate and the n-type semiconductor layer. The buffer layer is formed in multiple layers so as to be gradually changed.

활성층은 전자 및 정공이 재결합되는 영역으로서, 양자우물층(quantum well layer)이 양자장벽층(quantum barrier layer) 사이에 배치된 구조를 갖는다. 이러한 활성층을 이루는 물질의 종류에 따라 질화물계 반도체 발광소자에서 방출되는 발광 파장이 결정된다.The active layer is a region where electrons and holes are recombined, and has a structure in which a quantum well layer is disposed between quantum barrier layers. The wavelength of light emitted from the nitride semiconductor light emitting device is determined according to the type of the material forming the active layer.

활성층에는 하나의 양자우물층을 갖는 단일양자우물(single quantum well; SQW) 구조, 복수개의 양자우물층을 갖는 다중양자우물(multi quantum well; MQW) 구조, 초격자(superlattice; SL) 구조 등이 있다. 이 중에서, 특히 다중양자우물구조의 활성층은 단일양자우물구조에 비해 전류대비 발광효율이 우수하고 높은 발광출력을 가지므로 적극적으로 활용되고 있다.The active layer includes a single quantum well (SQW) structure having one quantum well layer, a multi quantum well (MQW) structure having a plurality of quantum well layers, a superlattice (SL) structure, and the like. have. Among these, in particular, the active layer of the multi-quantum well structure is actively used because it has better luminous efficiency compared to the current and has a high luminous output compared to the single quantum well structure.

이러한 질화물계 반도체 소자의 발광효율은 원천적으로 활성층 내에서의 발광에 참여하는 전자와 정공의 재결합확률, 즉 내부양자효율(internal quantum efficiency; IQE)에 의해 결정된다. 내부양자효율이란 재결합된 전자의 수에 대비한 광자의 수를 일컫는 것으로서, 내부양자효율을 향상시키기 위해서는 전압 인가시 전자와 정공이 활성층으로 유입되는 특성, 활성층 내에서 전자와 정공을 효과적으로 가두어 놓는 특성, 활성층 내에서 전자와 정공이 재결합되는 특성 등이 전반적으로 고려되어야 한다.The luminous efficiency of the nitride-based semiconductor device is determined by the probability of recombination of electrons and holes that are primarily involved in light emission in the active layer, that is, internal quantum efficiency (IQE). The internal quantum efficiency refers to the number of photons compared to the number of recombined electrons.In order to improve the internal quantum efficiency, electrons and holes flow into the active layer when a voltage is applied, and they effectively trap electrons and holes in the active layer. In other words, the characteristics of recombination of electrons and holes in the active layer should be considered as a whole.

이러한 내부양자효율의 개선방안은 주로 활성층 자체의 구조를 개선하거나 발광 과정에 참여하는 전자와 정공의 수를 증가시키는 방향으로 연구되고 있다. 예컨대 질화물계 반도체 발광소자의 내부양자효율을 개선하기 위해서, 활성층과 n형 반도체층 사이, 또는 활성층과 p형 반도체층 사이에 클래드층으로서 대칭적 초격자 구조를 구비시키는 방법이 제시되고 있다.In order to improve the internal quantum efficiency, research has been conducted mainly toward improving the structure of the active layer itself or increasing the number of electrons and holes participating in the emission process. For example, in order to improve the internal quantum efficiency of a nitride semiconductor light emitting device, a method of providing a symmetrical superlattice structure as a cladding layer between an active layer and an n-type semiconductor layer or between an active layer and a p-type semiconductor layer has been proposed.

그리고 n형 반도체층 및 p형 반도체층 또한 질화물계 반도체 발광소자의 성능을 향상시키기 위해서 다층으로 형성하는 방법이 소개되고 있다.In addition, an n-type semiconductor layer and a p-type semiconductor layer have been introduced to form a multilayer in order to improve the performance of the nitride semiconductor light emitting device.

이와 같이 종래기술에 따른 질화물계 반도체 발광소자를 구성하는 구성요소, 예컨대 버퍼층, n형 반도체층, n형 클래드층, 활성층, p형 클래드층 및 p형 반도체층이 각각 다층으로 형성되기 때문에, 질화물계 반도체 발광소자의 제조 공정이 복잡하여 질화물계 반도체 발광소자를 제조하는 데 공정 시간이 많이 소요된다. 또한 전술한 질화물계 반도체 발광소자와 같이 태양전지소자 또한 제조하는 데 공정 시간이 많이 소요된다.As described above, since the components constituting the nitride semiconductor light emitting device according to the prior art, for example, a buffer layer, an n-type semiconductor layer, an n-type cladding layer, an active layer, a p-type cladding layer and a p-type semiconductor layer are each formed in multiple layers, nitride The manufacturing process of the semiconductor semiconductor light emitting device is complicated, and a process time is required to manufacture the nitride semiconductor light emitting device. In addition, it takes a long time to manufacture a solar cell device, such as the nitride-based semiconductor light emitting device described above.

또한 각각의 구성요소를 다층으로 형성함으로써, 구성요소를 구성하는 층 간의 계면에서 문제가 발생될 소지가 있기 때문에, 구성요소를 구성하는 층 간의 계면 정합성을 고려해야 하는 번거로움이 있다.In addition, since each component is formed in multiple layers, there is a possibility that a problem occurs at the interface between the layers constituting the component, and there is a need to consider the interface compatibility between the layers constituting the component.

따라서 본 발명의 목적은 질화물계 반도체 소자를 구성하는 층 간의 격자 정합성을 향상시킬 수 있는 질화물계 반도체 소자 및 그의 제조 방법을 제공하는 데 있다.Accordingly, an object of the present invention is to provide a nitride-based semiconductor device and a method of manufacturing the same that can improve lattice match between layers constituting the nitride-based semiconductor device.

본 발명의 다른 목적은 다층으로 구성된 구성요소의 층 간 계면 정합성 문제를 해소할 수 있는 단일 구조를 갖는 질화물계 반도체 소자 및 그의 제조 방법을 제공하는 데 있다.Another object of the present invention is to provide a nitride-based semiconductor device having a single structure and a method for manufacturing the same, which can solve the interlayer interfacial compatibility problem of the multi-layered component.

상기 목적을 달성하기 위하여, 본 발명은 Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하여 질화물 층을 형성하는 질화물계 반도체 소자의 제조 방법으로, 상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 상기 질화물 층을 형성하는 것을 특징으로 질화물계 반도체 소자의 제조 방법을 제공한다.In order to achieve the above object, the present invention is a method of manufacturing a nitride-based semiconductor device to form a nitride layer by supplying a Group V source and a plurality of Group III source, the total supply amount of the plurality of Group III source is determined, A method of manufacturing a nitride-based semiconductor device is provided by variably supplying at least one of a group III source in a stepped or curved form.

본 발명에 따른 질화물계 반도체 소자의 제조 방법에 있어서, 상기 질화물 층은, 버퍼층, n형 반도체층, n형 클래드층, 활성층, p형 클래드층 및 p형 반도체층 중에 적어도 하나를 포함한다.In the method of manufacturing a nitride-based semiconductor device according to the present invention, the nitride layer includes at least one of a buffer layer, an n-type semiconductor layer, an n-type cladding layer, an active layer, a p-type cladding layer and a p-type semiconductor layer.

본 발명에 따른 질화물계 반도체 소자의 제조 방법에 있어서, 상기 복수의 Ⅲ족 소스는 B, Al, Ga, In 중에 적어도 두개를 함유하고, 상기 Ⅴ족 소스는 N을 함유한다.In the method for manufacturing a nitride-based semiconductor device according to the present invention, the plurality of group III sources contain at least two of B, Al, Ga, and In, and the group V source contains N.

본 발명에 따른 질화물계 반도체 소자의 제조 방법에 있어서, 상기 질화물 층의 소재는 AlxInyGazBwN(0≤x,y,z,w≤1, x+y+z+w=1)이다.In the method of manufacturing a nitride-based semiconductor device according to the present invention, the material of the nitride layer is Al x In y Ga z B w N (0≤x, y, z, w≤1, x + y + z + w = 1).

본 발명은 또한, Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하여 형성한 복수의 질화물 층을 포함하는 질화물계 반도체 소자로, 상기 복수의 질화물 층 중 적어도 하나는 상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 형성한 것을 특징으로 질화물계 반도체 소자를 제공한다.The present invention also provides a nitride-based semiconductor device comprising a nitride group formed by supplying a Group V source and a plurality of Group III sources, wherein at least one of the plurality of nitride layers is a total supply amount of the plurality of Group III sources. According to the present invention, a nitride-based semiconductor device is formed by variably supplying at least one of the plurality of group III sources in a stepped shape or a curved shape.

본 발명은 또한, 베이스 기판을 준비하는 단계와, 상기 베이스 기판 위에 버퍼층을 형성하는 버퍼층 형성 단계와, 상기 버퍼층 위에 n형 반도체층을 형성하는 n형 반도체층 형성 단계와, 상기 n형 반도체층 위에 활성층을 형성하는 활성층 형성 단계와, 상기 활성층 위에 p형 반도체층을 형성하는 p형 반도체층 형성 단계를 포함하는 질화물계 반도체 소자의 제조 방법을 제공한다. 이때 상기 버퍼층 형성 단계, 상기 n형 반도체층 형성 단계, 상기 활성층 형성 단계 및 상기 p형 반도체층 형성 단계 중에 적어도 하나의 단계에서, Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하되, 상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 해당 층을 형성한다.The present invention also provides a method of preparing a base substrate, a buffer layer forming step of forming a buffer layer on the base substrate, an n-type semiconductor layer forming step of forming an n-type semiconductor layer on the buffer layer, and the n-type semiconductor layer. It provides an active layer forming step of forming an active layer, and a p-type semiconductor layer forming step of forming a p-type semiconductor layer on the active layer. In this case, in at least one of the buffer layer forming step, the n-type semiconductor layer forming step, the active layer forming step, and the p-type semiconductor layer forming step, a Group V source and a plurality of Group III sources are supplied. A total supply amount of the group source is determined, and at least one of the plurality of group III sources is variably supplied in a stepped or curved form to form a corresponding layer.

본 발명에 따른 질화물계 반도체 소자의 제조 방법에 있어서, 상기 활성층을 형성하기 전에 상기 n형 반도체층 위에 n형 클래드층을 형성하는 단계, 또는 상기 p형 반도체층을 형성하기 전에 상기 활성층 위에 p형 클래드층을 형성하는 단계 중에 적어도 하나의 단계를 더 포함할 수 있다. 이때 상기 n형 또는 p형 클래드층을 형성하는 단계는, Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하되, 상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 형성한다.In the method of manufacturing a nitride-based semiconductor device according to the invention, forming an n-type cladding layer on the n-type semiconductor layer before forming the active layer, or p-type on the active layer before forming the p-type semiconductor layer At least one step of forming the cladding layer may be further included. The forming of the n-type or p-type cladding layer may include supplying a Group V source and a plurality of Group III sources, determining a total supply amount of the plurality of Group III sources, and selecting at least one of the plurality of Group III sources. It is formed by variable supply in step or curve shape.

본 발명은 또한, 베이스 기판, 버퍼층, n형 반도체층, 활성층 및 p형 반도체층을 포함하는 질화물계 반도체 소자를 제공한다. 상기 버퍼층은 상기 베이스 기판 위에 형성된다. 상기 n형 반도체층은 상기 버퍼층 위에 형성된다. 상기 활성층은 상기 n형 반도체층 위에 형성된다. 그리고 상기 p형 반도체층은 상기 활성층 위에 형성된다. 특히 상기 버퍼층, 상기 n형 반도체층, 상기 활성층 및 상기 p형 반도체층 중에 적어도 하나는, Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하되, 상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 해당 층을 형성한 것을 특징으로 질화물계 반도체 소자를 제공한다.The present invention also provides a nitride-based semiconductor device comprising a base substrate, a buffer layer, an n-type semiconductor layer, an active layer and a p-type semiconductor layer. The buffer layer is formed on the base substrate. The n-type semiconductor layer is formed on the buffer layer. The active layer is formed on the n-type semiconductor layer. The p-type semiconductor layer is formed on the active layer. In particular, at least one of the buffer layer, the n-type semiconductor layer, the active layer, and the p-type semiconductor layer supplies a Group V source and a plurality of Group III sources, and determines a total supply amount of the plurality of Group III sources, Provided is a nitride-based semiconductor device characterized in that the layer is formed by variably supplying at least one of the group III source in step or curve shape.

그리고 본 발명에 따른 질화물계 반도체 소자는, 상기 n형 반도체층과 상기 활성층 사이에 형성된 n형 클래드층, 또는 상기 활성층과 상기 p형 반도체층 사이에 형성된 p형 클래드층 중에 적어도 하나를 더 포함할 수 있다. 이때 상기 n형 또는 p형 클래드층은, Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하되, 상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 형성한다.The nitride-based semiconductor device according to the present invention may further include at least one of an n-type cladding layer formed between the n-type semiconductor layer and the active layer, or a p-type cladding layer formed between the active layer and the p-type semiconductor layer. Can be. In this case, the n-type or p-type cladding layer supplies a Group V source and a plurality of Group III sources, determines a total supply amount of the plurality of Group III sources, and at least one of the plurality of Group III sources is stepped or curved. It is formed by variable supply in a mold.

본 발명의 구조를 따르면, 베이스 기판 위에 질화물 소재의 버퍼층, n형 반도체층, n형 클래드층, 활성층, p형 클래드층 또는 p형 반도체층을 형성할 때, 복수의 Ⅲ족 소스의 총 공급량을 정하고, 복수의 Ⅲ족 소스 중 적어도 하나의 공급량을 스텝형 또는 커브형으로 가변하여 해당 층을 형성함으로써, 해당 층을 일체로 형성하면서 해당 층 내부의 조성을 변경할 수 있기 때문에, 해당 층 내에서의 양호한 격자 정합성을 포함하여 해당 층과 이웃하는 다른 층과의 양호한 격자 정합성을 확보할 수 있다. 이로 인해 해당 층과, 해당 층을 중심으로 상하에 형성된 다른 층과의 격자 상수 및 열팽창 계수 차이에 기안한 크랙, 뒤틀림 및 전위 등의 발생을 감소시킬 수 있다.According to the structure of the present invention, when a buffer layer, an n-type semiconductor layer, an n-type cladding layer, an active layer, a p-type cladding layer, or a p-type semiconductor layer of a nitride material is formed on a base substrate, the total supply amount of a plurality of Group III sources is determined. And forming the layer by varying the supply amount of at least one of the plurality of group III sources into a stepped shape or a curved shape, so that the composition inside the layer can be changed while forming the layer integrally, and therefore, Good lattice match between the layer and other neighboring layers can be ensured, including lattice match. As a result, it is possible to reduce the occurrence of cracks, distortions, and dislocations due to differences in lattice constants and coefficients of thermal expansion between the layer and other layers formed above and below the layer.

또한 해당 층 내에서의 양호한 격자 정합성을 포함하여 해당 층과 이웃하는 다른 층과의 양호한 격자 정합성을 확보하여 결정결함을 감소시킴으로써, 궁극적으로 내부양자효율을 향상시켜 질화물계 반도체 소자의 발광효율을 향상시킬 수 있다.In addition, by ensuring good lattice matching between the layer and other neighboring layers, including good lattice matching in the layer, the crystal defects are reduced, ultimately improving the internal quantum efficiency, thereby improving the luminous efficiency of the nitride semiconductor device. You can.

도 1은 본 발명의 실시예에 따른 질화물계 반도체 소자를 보여주는 단면도이다.
도 2는 도 1의 질화물계 반도체 소자의 제조 방법에 따른 흐름도이다.
도 3 내지 도 7은 도 2의 제조 방법에 따른 각 단계를 보여주는 단면도이다.
도 8은 도 2의 활성층을 형성하기 위한 복수의 Ⅲ족 소스의 공급 조건의 일 예를 보여주는 파형도이다.
도 9는 도 2의 활성층을 형성하기 위한 복수의 Ⅲ족 소스의 공급 조건의 다른 예를 보여주는 파형도이다.
1 is a cross-sectional view illustrating a nitride based semiconductor device according to an exemplary embodiment of the present invention.
2 is a flowchart illustrating a method of manufacturing the nitride-based semiconductor device of FIG. 1.
3 to 7 are cross-sectional views showing each step according to the manufacturing method of FIG.
8 is a waveform diagram illustrating an example of supply conditions of a plurality of group III sources for forming the active layer of FIG. 2.
9 is a waveform diagram illustrating another example of supply conditions of a plurality of group III sources for forming the active layer of FIG. 2.

하기의 설명에서는 본 발명의 실시예를 이해하는데 필요한 부분만이 설명되며, 그 이외 부분의 설명은 본 발명의 요지를 흩트리지 않도록 생략될 것이라는 것을 유의하여야 한다.It should be noted that in the following description, only parts necessary for understanding the embodiments of the present invention will be described, and descriptions of other parts will be omitted so as not to distract from the gist of the present invention.

이하에서 설명되는 본 명세서 및 청구범위에 사용된 용어나 단어는 통상적이거나 사전적인 의미로 한정해서 해석되어서는 아니 되며, 발명자는 그 자신의 발명을 가장 최선의 방법으로 설명하기 위해 용어의 개념으로 적절하게 정의할 수 있다는 원칙에 입각하여 본 발명의 기술적 사상에 부합하는 의미와 개념으로 해석되어야만 한다. 따라서 본 명세서에 기재된 실시예와 도면에 도시된 구성은 본 발명의 바람직한 실시예에 불과할 뿐이고, 본 발명의 기술적 사상을 모두 대변하는 것은 아니므로, 본 출원시점에 있어서 이들을 대체할 수 있는 다양한 균등물과 변형예들이 있을 수 있음을 이해하여야 한다.The terms or words used in the specification and claims described below should not be construed as being limited to the ordinary or dictionary meanings, and the inventors are appropriate to the concept of terms in order to explain their invention in the best way. It should be interpreted as meanings and concepts in accordance with the technical spirit of the present invention based on the principle that it can be defined. Therefore, the embodiments described in the present specification and the configurations shown in the drawings are merely preferred embodiments of the present invention, and are not intended to represent all of the technical ideas of the present invention, so that various equivalents And variations are possible.

이하 첨부 도면을 참조하여 본 발명의 실시예를 보다 상세하게 설명하고자 한다. 한편 본 실시예에서는 질화물계 반도체 소자 중 질화물계 반도체 발광소자를 예로 들어 설명하면 다음과 같다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. In the present embodiment, a nitride semiconductor light emitting device among the nitride semiconductor devices is described as an example.

도 1은 본 발명의 실시예에 따른 질화물계 반도체 소자(10)를 보여주는 단면도이다.1 is a cross-sectional view illustrating a nitride based semiconductor device 10 according to an exemplary embodiment of the present invention.

도 1을 참조하면, 본 발명의 실시예에 따른 질화물계 반도체 소자(10)는 베이스 기판(11), 버퍼층(13), n형 반도체층(14), 활성층(15) 및 p형 반도체층(16)을 포함하며, 그 외 질화물 핵 성장층(12), n형 클래드층(14a) 및 p형 클래드층(16a)을 더 포함할 수 있다.Referring to FIG. 1, the nitride-based semiconductor device 10 according to the embodiment of the present invention may include a base substrate 11, a buffer layer 13, an n-type semiconductor layer 14, an active layer 15, and a p-type semiconductor layer ( 16), and may further include a nitride nucleus growth layer 12, an n-type cladding layer 14a, and a p-type cladding layer 16a.

본 실시예에 따른 질화물계 반도체 소자(10)는 베이스 기판(11)과, 베이스 기판(11) 상에 순차적으로 형성된 질화물 핵 성장층(12), 버퍼층(13), n형 반도체층(14), n형 클래드층(14a), 활성층(15), p형 클래드층(16a) 및 p형 반도체층(16)을 포함한다. 그리고 질화물계 반도체 소자(10)는 외부와 연결될 수 있도록 p형 전극과 n형 전극이 형성된다. 예컨대 질화물계 반도체 소자(10)는 p형 반도체층(16), p형 클래드층(16a) 및 활성층(15)이 메사식각(mesa etching) 공정에 의하여 그 일부 영역이 제거되어, n형 반도체층(14)의 일부 상면이 노출되는 구조를 가질 수 있다. 그리고 노출된 n형 반도체층(14)에는 n형 전극이 형성된다. 그리고 p형 반도체층(16) 상에는 ITO(Indium-Tin oxide) 등으로 이루어진 투명전극층이 형성되며, 그 위에는 p형 전극이 형성될 수 있다.The nitride semiconductor device 10 according to the present embodiment includes a base substrate 11, a nitride nucleus growth layer 12, a buffer layer 13, and an n-type semiconductor layer 14 sequentially formed on the base substrate 11. and an n-type cladding layer 14a, an active layer 15, a p-type cladding layer 16a and a p-type semiconductor layer 16. In addition, the p-type electrode and the n-type electrode are formed in the nitride-based semiconductor device 10 to be connected to the outside. For example, in the nitride-based semiconductor device 10, a portion of the p-type semiconductor layer 16, the p-type cladding layer 16a, and the active layer 15 is removed by a mesa etching process, and the n-type semiconductor layer is removed. It may have a structure that part of the upper surface of (14) is exposed. An n-type electrode is formed on the exposed n-type semiconductor layer 14. A transparent electrode layer made of indium tin oxide (ITO) or the like is formed on the p-type semiconductor layer 16, and a p-type electrode may be formed thereon.

이때 질화물 핵 성장층(12), 버퍼층(13), n형 반도체층(14), n형 클래드층(14a), 활성층(15), p형 클래드층 및 p형 반도체층(16)은 Ⅲ족 및 Ⅴ족 소스를 공급하여 형성한다. 이때 Ⅲ족 소스로는 B, Al, Ga, In 등이 사용될 수 있다. Ⅴ족 소스로는 N, P, As, Sb 등이 사용될 수 있으며, 본 실시예에서는 N을 함유하는 소스를 사용하였다. 각각의 층은 AlxInyGazBwN(0≤x,y,z,w≤1, x+y+z+w=1)의 소재로 이루어질 수 있으며, 서로 다른 화학 조성을 갖는다. Ⅲ족 소스로는 Al을 함유하는 TMAl(trimethylaluminum),In을 함유하는 TMIn(trimethylindium), Ga을 함유하는 TMGa(trimethylgallium) 등이 사용될 수 있다. Ⅴ족 소스로는 N을 함유하는 NH3가 사용될 수 있다.At this time, the nitride nucleus growth layer 12, the buffer layer 13, the n-type semiconductor layer 14, the n-type cladding layer 14a, the active layer 15, the p-type cladding layer and the p-type semiconductor layer 16 are group III. And a group V source. In this case, B, Al, Ga, In, etc. may be used as the group III source. N, P, As, Sb, etc. may be used as the group V source, and a source containing N is used in this embodiment. Each layer may be made of Al x In y Ga z B w N (0 ≦ x, y, z, w ≦ 1, x + y + z + w = 1) and have different chemical compositions. As the group III source, TMAl (trimethylaluminum) containing Al, TMIn (trimethylindium) containing In, TMGa (trimethylgallium) containing Ga, etc. may be used. NH 3 containing N may be used as the Group V source.

베이스 기판(11)은 질화물 반도체 단결정을 성장시키기에 적합한 소재로 이루어질 수 있다. 이때 베이스 기판(11)은 사파이어, 실리콘(Si), 징크 옥사이드(zinc oxide, ZnO), 갈륨 나이트라이드(gallium nitride, GaN), 갈륨 비소(GaAs), 실리콘 카바이드(silicon carbide, SiC), 알루미늄 나이트라이드(AlN), 산화 마그네슘(MgO) 등의 원소 혹은 화합물로 제조될 수 있다. 예컨대 베이스 기판(11)으로는 c면({0001}면), R면({1-102}), M면({1-100}) 및 A면({11-20})을 갖는 사파이어 기판 등이 사용될 수 있으며, 본 실시예에서는 베이스 기판(11)으로 c면을 사용하였다. c면 베이스 기판(11) 위에 질화물 핵 성장층(12), 버퍼층(13), n형 클래드층(14a), n형 반도체층(14), 활성층(15), p형 클래드층(16a) 및 p형 반도체층(16)이 순차적으로 형성될 수 있다.The base substrate 11 may be made of a material suitable for growing a nitride semiconductor single crystal. In this case, the base substrate 11 includes sapphire, silicon (Si), zinc oxide (ZnO), gallium nitride (GaN), gallium arsenide (GaAs), silicon carbide (SiC), and aluminum knight. It may be made of an element or a compound such as lide (AlN), magnesium oxide (MgO). For example, the base substrate 11 includes a sapphire substrate having a c plane ({0001} plane), an R plane ({1-102}), an M plane ({1-100}), and an A plane ({11-20}). Etc. may be used, and c surface is used as the base substrate 11 in this embodiment. The nitride nucleus growth layer 12, the buffer layer 13, the n-type cladding layer 14a, the n-type semiconductor layer 14, the active layer 15, the p-type cladding layer 16a, and the c-plane base substrate 11 The p-type semiconductor layer 16 may be formed sequentially.

질화물 핵 성장층(12)은 베이스 기판(11)의 위에 형성된다. 이때 질화물 핵 성장층(12)은 GaN, AlN, AlGaN, InGaN, AlInN, AlGaInN, AlGaInBN 등의 재질로 이루어질 수 있다.The nitride nucleus growth layer 12 is formed on the base substrate 11. In this case, the nitride nucleus growth layer 12 may be made of GaN, AlN, AlGaN, InGaN, AlInN, AlGaInN, AlGaInBN, or the like.

버퍼층(13)은 베이스 기판(11)과 n형 반도체층(14)의 격자 상수 차이를 줄여 주기 위한 것으로서, 질화물 핵 성장층(12)을 기반으로 베이스 기판(11) 위에 형성된다. 또한 버퍼층(13)은 베이스 기판(11)의 화학적 작용에 의한 멜트백(melt-back) 에칭을 방지하는 등, 베이스 기판(11)과 n형 반도체층(14) 사이의 스트레스를 완화하는 기능을 수행한다. 이때 버퍼층(13)은 금속 유기 화학 기상 증착법(metal organic chemical vapor deposition; MOCVD), 수소화물 기상 성장법(hydride vapor phase epitaxy; HVPE) 또는 분자선 성장법(metal organic chemical vapor phase epitaxy; MBE), 금속 유기 화학 기상 성장법(metal organic chemical vapor phase epitaxy; MOCVPE) 등을 사용하여 형성할 수 있다.The buffer layer 13 is to reduce the lattice constant difference between the base substrate 11 and the n-type semiconductor layer 14 and is formed on the base substrate 11 based on the nitride nucleus growth layer 12. In addition, the buffer layer 13 functions to alleviate stress between the base substrate 11 and the n-type semiconductor layer 14, such as to prevent melt-back etching due to the chemical action of the base substrate 11. Perform. In this case, the buffer layer 13 may include metal organic chemical vapor deposition (MOCVD), hydride vapor phase epitaxy (HVPE) or metal organic chemical vapor phase epitaxy (MBE), metal It may be formed using a metal organic chemical vapor phase epitaxy (MOCVPE) and the like.

n형 반도체층(14)은 버퍼층(13) 위에 형성된다. n형 반도체층(14)은 질화알루미늄갈륨(AlGaN)계로 형성되며, 구동 전압을 낮추기 위해 실리콘이 도핑될 수 있다.The n-type semiconductor layer 14 is formed on the buffer layer 13. The n-type semiconductor layer 14 is formed of aluminum gallium nitride (AlGaN) -based, and silicon may be doped to lower the driving voltage.

n형 클래드층(14a)은 n형 반도체층(14) 위에 형성된다. 이때 n형 클래드층(14a)은 초격자(superlattice) 구조로 형성될 수 있으며, 초격자 구조는 대칭적 또는 비대칭적으로 형성될 수 있다.The n-type cladding layer 14a is formed on the n-type semiconductor layer 14. In this case, the n-type cladding layer 14a may be formed in a superlattice structure, and the superlattice structure may be formed symmetrically or asymmetrically.

활성층(15)은 n형 클래드층(14a) 위에 형성된다. 활성층(15)은 MOCVD, HVPE, MBE, MOCVPE 등의 방법을 이용하여 양자우물구조로 형성될 수 있다. 활성층(15)에서는 p형 반도체층(16)을 통하여 흐르는 정공과 n형 반도체층(14)을 통하여 흐르는 전자가 결합됨으로써 광이 발생되는데, 이때 양자우물의 여기 준위 또는 에너지 밴드갭 차이에 해당되는 에너지의 빛이 발광된다.The active layer 15 is formed on the n-type cladding layer 14a. The active layer 15 may be formed in a quantum well structure using a method such as MOCVD, HVPE, MBE, MOCVPE, and the like. In the active layer 15, light is generated by combining holes flowing through the p-type semiconductor layer 16 and electrons flowing through the n-type semiconductor layer 14, where a quantum well corresponds to an excitation level or an energy band gap difference. Light of energy is emitted.

p형 클래드층(16a)은 활성층(15) 위에 형성된다. 이때 p형 클래드층(16a)은 초격자 구조로 형성될 수 있으며, 초격자 구조는 대칭적 또는 비대칭적으로 형성될 수 있다.The p-type cladding layer 16a is formed on the active layer 15. In this case, the p-type cladding layer 16a may be formed in a superlattice structure, and the superlattice structure may be formed symmetrically or asymmetrically.

이때 n형 및 p형 클래드층(14a,16a)은 대칭적 또는 비대칭적 에너지 밴드갭을 갖는 초격자 구조로 형성함으로써, 발광 과정에 참여하는 전자와 정공의 활성층(15)으로의 유입을 조절하여 내부양자효율을 향상시킬 수 있다. 즉 n형 및 p형 클래드층(14a,16a)을 통하여 정공의 유입 효율, 유입된 전자 및 정공의 활성층(15) 내의 귀속 효율을 향상시켜 궁극적으로 내부양자효율을 향상시킬 수 있다.In this case, the n-type and p-type cladding layers 14a and 16a are formed in a superlattice structure having a symmetrical or asymmetrical energy bandgap, thereby controlling the inflow of electrons and holes into the active layer 15 participating in the emission process. Internal quantum efficiency can be improved. That is, through the n-type and p-type cladding layers 14a and 16a, the efficiency of inflow of holes and the efficiency of binding into the active layer 15 of the introduced electrons and holes may be improved, thereby ultimately improving the internal quantum efficiency.

그리고 p형 반도체층(16)은 p형 클래드층(16a) 위에 형성된다. 이러한 p형 반도체층(16)은 Mg, Zn, Be등과 같은 p형 도전형 불순물이 도핑된 질화물 소재의 반도체층이다. p형 반도체층(16)은 발광영역과 인접하여 전자장벽층(electron blocking layer; EBL) 역할을 수행하는 p형 AlGaN층과, p형 AlGaN 층에 인접한 p형 GaN 및 (Al)Ga(In)N층으로 이루어질 수도 있다.The p-type semiconductor layer 16 is formed on the p-type cladding layer 16a. The p-type semiconductor layer 16 is a semiconductor layer made of a nitride material doped with p-type conductive impurities such as Mg, Zn, Be, and the like. The p-type semiconductor layer 16 has a p-type AlGaN layer adjacent to the light emitting region and serves as an electron blocking layer (EBL), and p-type GaN and (Al) Ga (In) adjacent to the p-type AlGaN layer. It may consist of N layers.

특히 본 실시예에서는 종래의 다층으로 형성되었던 버퍼층(13), n형 반도체층(14), n형 클래드층(14a), 활성층(15), p형 클래드층 및 p형 반도체층(16) 중에 적어도 하나를 복수의 Ⅲ족 소스의 총 공급량을 정하고, 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형(도 8) 또는 커브형(도 9)으로 가변 공급하여 형성한다.In particular, in the present embodiment, among the buffer layer 13, the n-type semiconductor layer 14, the n-type cladding layer 14a, the active layer 15, the p-type cladding layer, and the p-type semiconductor layer 16, which were formed as a conventional multilayer, At least one is formed by determining the total supply amount of the plurality of group III sources, and at least one of the plurality of group III sources is variably supplied in a step type (Fig. 8) or a curve type (Fig. 9).

이와 같이 본 실시예에 따른 질화물계 반도체 소자(10)는 베이스 기판(11) 위에 질화물 소재의 버퍼층(13), n형 반도체층(14), n형 클래드층(14a), 활성층(15), p형 클래드층(16a) 또는 p형 반도체층(16)을 형성할 때, 복수의 Ⅲ족 소스의 총 공급량을 정하고, 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 해당 층을 형성함으로써, 해당 층을 일체로 형성하면서 해당 층 내부의 조성을 변경할 수 있다. 이로 인해 해당 층 내에서의 양호한 격자 정합성을 포함하여 해당 층과 이웃하는 다른 층과의 양호한 격자 정합성을 확보할 수 있다. 또한 해당 층과, 해당 층을 중심으로 상하에 형성된 다른 층과의 격자 상수 및 열팽창 계수 차이에 기안한 크랙, 뒤틀림 및 전위 등의 발생을 감소시킬 수 있다.As described above, in the nitride-based semiconductor device 10 according to the present exemplary embodiment, the nitride layer buffer layer 13, the n-type semiconductor layer 14, the n-type cladding layer 14a, the active layer 15, and the like are formed on the base substrate 11. When the p-type cladding layer 16a or the p-type semiconductor layer 16 is formed, the total supply amount of the plurality of Group III sources is determined, and at least one of the plurality of Group III sources is variably supplied in a stepped or curved form to provide By forming a layer, the composition inside the layer can be changed while integrally forming the layer. This ensures good lattice match between the layer and other neighboring layers, including good lattice match within the layer. In addition, it is possible to reduce the occurrence of cracks, distortions, and dislocations due to the difference in lattice constants and thermal expansion coefficients between the layer and other layers formed above and below the layer.

또한 해당 층 내에서의 양호한 격자 정합성을 포함하여 해당 층과 이웃하는 다른 층과의 양호한 격자 정합성을 확보하여 결정결함을 감소시킴으로써, 궁극적으로 내부양자효율을 향상시켜 질화물계 반도체 소자(10)의 발광효율을 향상시킬 수 있다.In addition, by ensuring good lattice match between the layer and other neighboring layers, including good lattice match in the layer, reducing crystal defects, ultimately improving internal quantum efficiency to emit light of the nitride-based semiconductor device 10 The efficiency can be improved.

본 실시예에 따른 질화물계 반도체 소자(10)는 복수의 Ⅲ족 소스의 총 공급량을 정하고, 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 활성층(15)을 형성하는 예를 개시하였다. 예컨대 형성한 활성층(15)의 소재가 AlInGaN인 경우, Al 소스, In 소스 및 Ga 소스의 총 공급량을 정하고, Al 소스, In 소스 및 Ga 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 활성층(15)를 형성할 수 있다.The nitride-based semiconductor device 10 according to the present embodiment determines the total supply amount of a plurality of group III sources, and forms at least one of the plurality of group III sources by varying stepwise or curved shapes to form the active layer 15. Started. For example, when the material of the formed active layer 15 is AlInGaN, the total supply amount of the Al source, the In source, and the Ga source is determined, and at least one of the Al source, the In source, and the Ga source is variably supplied in a stepped or curved shape to the active layer. (15) can be formed.

활성층(15)의 형성에 관여하는 Ⅲ족 소스는, 도 8에 도시된 바와 같이, In 소스를 일정하게 공급하는 상태에서 Al 소스와 Ga 소스의 공급량을 스텝형으로 가변하여 연속적으로 공급할 수 있다. 즉 t3 시간에 활성층(15)이 형성된다고 가정할 때, t3 시간 동안 In 소스의 공급량(Q4)을 일정하게 유지한 상태에서, 0~t1, t1~t2 및 t2~t3 구간에서 각각 Al 소스의 공급량(Q1,Q2,Q3) 및 Ga 소스의 공급량(Q1', Q2',Q3')을 스텝형으로 가변하여 활성층(15)을 형성한다. 그리고 0~t1, t1~t2 및 t2~t3 구간에서 각각 공급되는 Al 소스, In 소스 및 Ga 소스의 총 공급량은 각각 일정한다. 이때 0~t1, t1~t2 및 t2~t3 구간에서 각각 Al 소스의 공급량(Q1,Q2,Q3) 및 Ga 소스의 공급량(Q1', Q2',Q3')에 차이가 있기 때문에, 0~t1, t1~t2 및 t2~t3 구간에서 각각 형성되는 부분(15a,15b,15c)의 내부 조성이 변경된다. 하지만 복수의 Ⅲ족 소스는 스텝형으로 연속적으로 공급되기 때문에, 형성되는 활성층(15)은 내부 조성의 변경은 있지만 일체로 형성된다. 따라서 활성층(15) 내에서의 양호한 격자 정합성을 확보할 수 있다. 또한 Ⅴ족 소스 및 복수의 Ⅲ족 소스의 공급량은 이웃하는 층 예컨대, n형 클래드층(14a) 및 p형 클래드층(16a)과의 격자 정합성을 고려하여 스텝형으로 가변함으로써, 활성층(15)과 n형 클래드층(14a), 활성층(15)과 p형 클래드층(16a) 간의 양호한 격자 정합성을 확보할 수 있다.As shown in FIG. 8, the group III source involved in the formation of the active layer 15 can be continuously supplied by varying the supply amount of the Al source and the Ga source in a step shape while the In source is constantly supplied. In other words, assuming that the active layer 15 is formed at t3 time, the Al source of each of the Al source is in the range of 0 to t1, t1 to t2 and t2 to t3 while the supply amount Q4 of the In source is kept constant for t3 time. The supply amounts Q1, Q2 and Q3 and the supply amounts Q1 ', Q2' and Q3 'of the Ga source are varied stepwise to form the active layer 15. And the total supply amount of the Al source, In source and Ga source respectively supplied in the interval 0 ~ t1, t1 ~ t2 and t2 ~ t3 is constant. At this time, since there is a difference between the supply amount of the Al source (Q1, Q2, Q3) and the supply amount of the Ga source (Q1 ', Q2', Q3 ') in the intervals 0 to t1, t1 to t2, and t2 to t3, 0 to t1. The internal composition of the portions 15a, 15b, and 15c formed in the sections t1 to t2 and t2 to t3 are changed. However, since a plurality of group III sources are continuously supplied in a stepped form, the active layer 15 formed is integrally formed with a change in the internal composition. Therefore, good lattice matching in the active layer 15 can be ensured. In addition, the supply amount of the group V source and the plurality of group III sources is varied stepwise in consideration of lattice match between the neighboring layer, for example, the n-type cladding layer 14a and the p-type cladding layer 16a, so that the active layer 15 And good lattice matching between the n-type cladding layer 14a, the active layer 15 and the p-type cladding layer 16a can be ensured.

또는 활성층(15)의 형성에 관여하는 Ⅲ족 소스는, 도 9에 도시된 바와 같이, In 소스를 일정하게 공급하는 상태에서 Al 소스와 Ga 소스의 공급량을 커브형으로 가변하여 연속적으로 공급할 수 있다. 그 외 활성층(15)의 형성에 관여하는 Ⅲ족 소스는, In 소스를 일정하게 공급하는 상태에서 Al 소스와 Ga 소스의 공급량을 스텝형과 커브형이 혼용된 형태로 공급량을 가변하여 연속적으로 공급될 수 있다.Alternatively, the group III source involved in the formation of the active layer 15 can be continuously supplied by continuously varying the supply amounts of the Al source and the Ga source in a state of constantly supplying the In source, as shown in FIG. 9. . In addition, the Group III source involved in the formation of the active layer 15 continuously supplies the Al source and the Ga source in a state where the In source is constantly supplied in a form where the step type and the curve type are mixed. Can be.

한편 질화물 핵 성장층(12) 및 버퍼층(13)은 개별 공정으로 진행하여 순차적으로 적층하여 형성할 수 있다. 또는 질화물 핵 성장층(12) 및 버퍼층(13)은 하나의 공정으로 연속적으로 진행하여 Ⅲ-Ⅴ족 소재의 질화물 핵 성장층(12)과 버퍼층(13)을 연속하여 일괄적으로 형성할 수 있다. 즉 전술된 바와 같이, 복수의 Ⅲ족 소스의 총 공급량을 정하고, 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 Ⅲ-Ⅴ족 소재의 질화물 핵 성장층(12)과 버퍼층(13)을 연속하여 일괄적으로 형성할 수 있다.On the other hand, the nitride nucleus growth layer 12 and the buffer layer 13 may be formed by sequentially stacking by going through separate processes. Alternatively, the nitride nucleus growth layer 12 and the buffer layer 13 may be continuously processed in one process to form the nitride nucleus growth layer 12 and the buffer layer 13 of the III-V material in a row in a row. . That is, as described above, the total supply amount of the plurality of Group III sources is determined, and at least one of the plurality of Group III sources is variably supplied in a stepped or curved manner to form the nitride nucleus growth layer 12 and the buffer layer of the Group III-V material. (13) can be formed continuously and collectively.

이와 같은 본 실시예에 따른 질화물계 반도체 소자(10)의 제조 방법을 도 2 내지 도 9를 참조하여 설명하면 다음과 같다. 여기서 도 2는 도 1의 질화물계 반도체 소자(10)의 제조 방법에 따른 흐름도이다. 도 3 내지 도 7은 도 2의 제조 방법에 따른 각 단계를 보여주는 단면도이다. 도 8은 도 2의 활성층(15)을 형성하기 위한 Ⅲ족 소스의 공급 조건의 일 예를 보여주는 파형도이다. 그리고 도 9는 도 2의 활성층(15)을 형성하기 위한 Ⅲ족 소스의 공급 조건의 다른 예를 보여주는 파형도이다. 한편 본 실시예에 따른 제조 방법에서는, 버퍼층(13), n형 반도체층(14), n형 클래드층(14a), 활성층(15), p형 클래드층(16a) 및 p형 반도체층(16) 중에서, 활성층(15)을 복수의 Ⅲ족 소스의 총 공급량을 정하고, 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 형성하는 예를 개시하였다.A method of manufacturing the nitride semiconductor device 10 according to the present embodiment will be described with reference to FIGS. 2 to 9 as follows. 2 is a flowchart illustrating a method of manufacturing the nitride based semiconductor device 10 of FIG. 1. 3 to 7 are cross-sectional views showing each step according to the manufacturing method of FIG. 8 is a waveform diagram illustrating an example of supply conditions of a group III source for forming the active layer 15 of FIG. 2. FIG. 9 is a waveform diagram illustrating another example of a supply condition of a group III source for forming the active layer 15 of FIG. 2. On the other hand, in the manufacturing method according to the present embodiment, the buffer layer 13, the n-type semiconductor layer 14, the n-type cladding layer 14a, the active layer 15, the p-type cladding layer 16a and the p-type semiconductor layer 16 ), An example in which the total amount of supply of the plurality of group III sources is determined by the active layer 15 and at least one of the plurality of group III sources is variably supplied in a stepped or curved form is disclosed.

먼저 도 3에 도시된 바와 같이, S21단계에서 베이스 기판(11)을 준비한다. 이때 베이스 기판(11)으로는 사파이어, 실리콘(Si), 징크 옥사이드(ZnO), 갈륨 나이트라이드(GaN), 갈륨 비소(GaAs), 실리콘 카바이드(SiC), 알루미늄 나이트라이드(AlN), 산화 마그네슘(MgO) 등의 원소 혹은 화합물 소재의 기판이 사용될 수 있다. 본 실시예에서는 베이스 기판(11)으로는 c면({0001}면)을 갖는 사파이어 기판을 사용하였다.First, as shown in FIG. 3, the base substrate 11 is prepared in step S21. The base substrate 11 may include sapphire, silicon (Si), zinc oxide (ZnO), gallium nitride (GaN), gallium arsenide (GaAs), silicon carbide (SiC), aluminum nitride (AlN), magnesium oxide ( Substrates made of an element or compound material such as MgO) may be used. In this embodiment, a sapphire substrate having a c surface ({0001} surface) is used as the base substrate 11.

다음으로 도 4에 도시된 바와 같이, S23단계에서 베이스 기판(11) 위에 질화물 핵 성장층(12)을 형성한다. 이때 질화물 핵 성장층(12)은 MOCVD, HVPE, MBE 또는 MOCVPE 등을 사용하여 형성할 수 있다. 예컨대 Ⅴ족 소스 및 복수의 Ⅲ족 소스를 이용하여 형성한 질화물 핵 성장층(12)은 GaN, AlN, AlGaN, InGaN, AlInN, AlInGaN, AlInGaBN 등의 재질로 이루어질 수 있다.Next, as shown in FIG. 4, the nitride nucleus growth layer 12 is formed on the base substrate 11 in step S23. In this case, the nitride nucleus growth layer 12 may be formed using MOCVD, HVPE, MBE, or MOCVPE. For example, the nitride nucleus growth layer 12 formed using a group V source and a plurality of group III sources may be made of a material such as GaN, AlN, AlGaN, InGaN, AlInN, AlInGaN, AlInGaBN, or the like.

다음으로 도 4에 도시된 바와 같이, S25단계에서 베이스 기판(11) 위에 질화물 핵 성장층(12)을 덮는 버퍼층(13)을 형성한다. 버퍼층(13)은 베이스 기판(11)과 n형 반도체층(14)의 격자 상수 차이를 줄여 주기 위한 것으로서, 질화물 핵 성장층(12)을 기반으로 베이스 기판(11) 위에 질화물 핵 성장층(12)을 덮도록 형성된다.Next, as shown in FIG. 4, in step S25, the buffer layer 13 covering the nitride nucleus growth layer 12 is formed on the base substrate 11. The buffer layer 13 is used to reduce the lattice constant difference between the base substrate 11 and the n-type semiconductor layer 14. The nitride nucleus growth layer 12 is formed on the base substrate 11 based on the nitride nucleus growth layer 12. ) Is formed to cover.

이때 질화물 핵 성장층(12)을 형성하는 단계(S23)와 버퍼층(13)을 형성하는 단계(25)는 전술된 바와 같이 개별 단계로 진행될 수도 있고, 하나의 공정으로 연속적으로 진행하여 질화물 핵 성장층(12)과 버퍼층(13)을 연속하여 일괄적으로 형성할 수 있다. 즉 복수의 Ⅲ족 소스의 총 공급량을 정하고, 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 질화물 핵 성장층(12)과 버퍼층(13)을 연속하여 일괄적으로 형성할 수 있다.In this case, the forming of the nitride nucleus growth layer 12 (S23) and the forming of the buffer layer 13 may be performed in separate steps as described above, or the nitride nucleus growth may be continuously performed in one process. The layer 12 and the buffer layer 13 can be formed continuously and collectively. That is, the total supply amount of the plurality of Group III sources is determined, and at least one of the plurality of Group III sources is variably supplied in a stepped or curved form to form the nitride nucleus growth layer 12 and the buffer layer 13 in a row in a row. Can be.

다음으로 도 5에 도시된 바와 같이, S27단계에서 버퍼층(13) 위에 n형 반도체층(14)을 형성한다. 이때 n형 반도체층(14)은 질화알루미늄갈륨(AlGaN)계로 형성되며, 구동 전압을 낮추기 위해 실리콘이 도핑될 수 있다.Next, as shown in FIG. 5, the n-type semiconductor layer 14 is formed on the buffer layer 13 in step S27. In this case, the n-type semiconductor layer 14 is formed of aluminum gallium nitride (AlGaN) -based, silicon may be doped to lower the driving voltage.

다음으로 도 5에 도시된 바와 같이, S29단계에서 n형 반도체층(14) 위에 n형 클래드층(14a)을 형성한다. 이때 n형 클래드층(14a)은 초격자 구조로 형성될 수 있으며, 초격자 구조는 대칭적 또는 비대칭적으로 형성될 수 있다.Next, as shown in FIG. 5, the n-type cladding layer 14a is formed on the n-type semiconductor layer 14 in step S29. In this case, the n-type cladding layer 14a may be formed in a superlattice structure, and the superlattice structure may be formed symmetrically or asymmetrically.

다음으로 도 6에 도시된 바와 같이, S31단계에서 n형 클래드층(14a) 위에 활성층(15)을 형성한다. 이때 활성층(15)은 양자우물구조로 형성될 수 있다. 활성층(15)에서는 다음에 형성될 p형 반도체층(도 7의 16)을 통하여 흐르는 정공과 n형 반도체층(14)을 통하여 흐르는 전자가 결합됨으로써 광을 발생시킨다.Next, as shown in FIG. 6, the active layer 15 is formed on the n-type cladding layer 14a in step S31. In this case, the active layer 15 may be formed in a quantum well structure. In the active layer 15, holes flowing through the p-type semiconductor layer (16 in FIG. 7) to be formed next and electrons flowing through the n-type semiconductor layer 14 are combined to generate light.

특히 활성층(15)은 복수의 Ⅲ족 소스의 총 공급량을 정하고, 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형(도 8) 또는 커브형(도 9)으로 가변 공급하여 형성한다. 예컨대 형성한 활성층(15)의 소재가 AlxInyGazN(0≤x,y,z≤1, x+y+z=1)인 경우, Al 소스, In 소스 및 Ga 소스의 총 공급량을 정하고, Al 소스, In 소스 및 Ga 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 활성층(15)를 형성할 수 있다. 이때 Al 소스로는 Al을 함유하는 TMAl을 사용하고, In 소스로는 In을 함유하는 TMIn을 사용하고, Ga 소스로는 Ga을 함유하는 TMGa를 사용하였다. Ⅴ족 소스로는 N을 함유하는 NH3를 사용하였다. In particular, the active layer 15 is formed by determining the total supply amount of the plurality of group III sources and variably supplying at least one of the plurality of group III sources in a step type (FIG. 8) or a curve type (FIG. 9). For example, when the material of the formed active layer 15 is Al x In y Ga z N (0 ≦ x, y, z ≦ 1, x + y + z = 1), the total supply amount of Al source, In source and Ga source The active layer 15 may be formed by variably supplying at least one of an Al source, an In source, and a Ga source in a stepped shape or a curved shape. At this time, TMAl containing Al was used as the Al source, TMIn containing In was used as the In source, and TMGa containing Ga was used as the Ga source. NH 3 containing N was used as the group V source.

활성층(15)의 형성에 관여하는 Ⅲ족 소스는, 도 8에 도시된 바와 같이, In 소스를 일정하게 공급하는 상태에서 Al 소스와 Ga 소스의 공급량을 스텝형으로 가변하여 연속적으로 공급할 수 있다. 즉 t3 시간에 활성층(15)이 형성된다고 가정할 때, t3 시간 동안 In 소스의 공급량(Q4)을 일정하게 유지한 상태에서, 0~t1, t1~t2 및 t2~t3 구간에서 각각 Al 소스의 공급량(Q1,Q2,Q3) 및 Ga 소스의 공급량(Q1', Q2',Q3')을 스텝형으로 가변하여 활성층(15)을 형성한다. 그리고 0~t1, t1~t2 및 t2~t3 구간에서 각각 공급되는 Al 소스, In 소스 및 Ga 소스의 총 공급량은 각각 일정한다. 이때 0~t1, t1~t2 및 t2~t3 구간에서 각각 Al 소스의 공급량(Q1,Q2,Q3) 및 Ga 소스의 공급량(Q1', Q2', Q3')에 차이가 있기 때문에, 0~t1, t1~t2 및 t2~t3 구간에서 각각 형성되는 부분(15a,15b,15c)의 내부 조성이 변경된다. 하지만 복수의 Ⅲ족 소스는 스텝형으로 연속적으로 공급되기 때문에, 형성되는 활성층(15)은 내부 조성의 변경은 있지만 일체로 형성된다.As shown in FIG. 8, the group III source involved in the formation of the active layer 15 can be continuously supplied by varying the supply amount of the Al source and the Ga source in a step shape while the In source is constantly supplied. In other words, assuming that the active layer 15 is formed at t3 time, the Al source of each of the Al source is in the range of 0 to t1, t1 to t2 and t2 to t3 while the supply amount Q4 of the In source is kept constant for t3 time. The supply amounts Q1, Q2 and Q3 and the supply amounts Q1 ', Q2' and Q3 'of the Ga source are varied stepwise to form the active layer 15. And the total supply amount of the Al source, In source and Ga source respectively supplied in the interval 0 ~ t1, t1 ~ t2 and t2 ~ t3 is constant. At this time, since there is a difference between the supply amount of the Al source (Q1, Q2, Q3) and the supply amount of the Ga source (Q1 ', Q2', Q3 ') in the intervals 0 to t1, t1 to t2, and t2 to t3, 0 to t1. The internal composition of the portions 15a, 15b, and 15c formed in the sections t1 to t2 and t2 to t3 are changed. However, since a plurality of group III sources are continuously supplied in a stepped form, the active layer 15 formed is integrally formed with a change in the internal composition.

이로 인해 활성층(15) 내에서의 양호한 격자 정합성을 확보할 수 있다. 또한 Ⅴ족 소스 및 복수의 Ⅲ족 소스의 공급량은 이웃하는 층 예컨대, n형 클래드층(14a) 및 p형 클래드층(도 7의 16a)과의 격자 정합성을 고려하여 스텝형으로 가변함으로써, 활성층(15)과 n형 클래드층(14a), 활성층(15)과 p형 클래드층(도 7의 16a) 간의 양호한 격자 정합성을 확보할 수 있다.As a result, good lattice matching in the active layer 15 can be ensured. In addition, the supply amount of the Group V source and the plurality of Group III sources is varied in a stepped manner in consideration of lattice match between the n-type cladding layer 14a and the p-type cladding layer (16a in FIG. 7), thereby providing an active layer. Good lattice matching between (15) and n-type cladding layer 14a, active layer 15, and p-type cladding layer (16a in FIG. 7) can be ensured.

또는 활성층(15)의 형성에 관여하는 Ⅲ족 소스는, 도 9에 도시된 바와 같이, In 소스를 일정하게 공급하는 상태에서 Al 소스와 Ga 소스의 공급량을 커브형으로 가변하여 연속적으로 공급할 수 있다.Alternatively, the group III source involved in the formation of the active layer 15 can be continuously supplied by continuously varying the supply amounts of the Al source and the Ga source in a state of constantly supplying the In source, as shown in FIG. 9. .

다음으로 도 7에 도시된 바와 같이, S33단계에서 활성층(14) 위에 p형 클래드층(16a)을 형성한다. 이때 p형 클래드층(16a)은 초격자 구조로 형성될 수 있으며, 초격자 구조는 대칭적 또는 비대칭적으로 형성될 수 있다.Next, as shown in FIG. 7, the p-type cladding layer 16a is formed on the active layer 14 in step S33. In this case, the p-type cladding layer 16a may be formed in a superlattice structure, and the superlattice structure may be formed symmetrically or asymmetrically.

그리고 도 7에 도시된 바와 같이, S35단계에서 p형 클래드층(16a) 위에 p형 반도체층(16)을 형성한다. 이때 p형 반도체층(16)은 Mg, Zn, Be등과 같은 p형 도전형 불순물이 도핑된 질화물 소재의 반도체층이다. p형 반도체층(16)은 발광영역과 인접하여 전자장벽층(EBL) 역할을 수행하는 p형 AlGaN층과, p형 AlGaN 층에 인접한 오믹 접촉층인 p형 AlGaInN층으로 이루어질 수도 있다.As shown in FIG. 7, the p-type semiconductor layer 16 is formed on the p-type cladding layer 16a in step S35. At this time, the p-type semiconductor layer 16 is a semiconductor layer of a nitride material doped with p-type conductive impurities such as Mg, Zn, Be and the like. The p-type semiconductor layer 16 may be formed of a p-type AlGaN layer adjacent to the light emitting region and serving as an electron barrier layer (EBL), and a p-type AlGaInN layer, which is an ohmic contact layer adjacent to the p-type AlGaN layer.

이후에 질화물계 반도체 소자(10)의 외부 접속 단자를 형성하는 공정을 수행한다. 즉 p형 반도체층(16), p형 클래드층(16a) 및 활성층(15)이 메사식각 공정에 의하여 그 일부 영역을 제거하여, n형 반도체층(14)의 일부 상면이 노출되는 구조를 형성한다. 그리고 노출된 n형 반도체층(14)에는 n형 전극을 형성한다. 그리고 p형 반도체층(16) 상에는 ITO 등으로 이루어진 투명전극층을 형성하며, 그 위에는 p형 전극을 형성한다.Thereafter, a process of forming an external connection terminal of the nitride semiconductor element 10 is performed. In other words, the p-type semiconductor layer 16, the p-type cladding layer 16a and the active layer 15 are removed by a mesa etching process to form a structure in which a part of the upper surface of the n-type semiconductor layer 14 is exposed. do. An n-type electrode is formed on the exposed n-type semiconductor layer 14. A transparent electrode layer made of ITO or the like is formed on the p-type semiconductor layer 16, and a p-type electrode is formed thereon.

이때 투명전극층은 p형 반도체층(16) 상에 형성된다. 투명전극층은 전극접촉층의 일종으로서, p형 반도체층으로 전류가 잘 전달될 수 있도록 한다. 이와 같은 투명전극층은 투과성 산화막으로서, 예컨대 ITO, ZnO, RuOx, TiOx, IrOx 등으로 이루어질 수 있다. 이와 같이 베이스 기판(11)부터 투명전극층까지의 기본 적층 구조가 완성되면, 표면으로부터 습식 에칭, 예를 들어 이방성 습식에칭을 실행하여 n형 반도체층(14)의 일부를 노출시킨다. 그리고 에칭 공정이 진행된 후, n형 반도체층(14) 위로 티탄(Ti), 금(Au) 등을 증착하여 n형 전극을 형성하고, 투명전극층 위로 니켈(Ni), 플래티늄(Pt) 및 금 (Au) 등을 증착하여 p형 전극을 형성한다.In this case, the transparent electrode layer is formed on the p-type semiconductor layer 16. The transparent electrode layer is a kind of electrode contact layer, so that current can be well transmitted to the p-type semiconductor layer. Such a transparent electrode layer may be made of, for example, ITO, ZnO, RuOx, TiOx, IrOx, or the like as a transparent oxide film. When the basic laminated structure from the base substrate 11 to the transparent electrode layer is completed as described above, a part of the n-type semiconductor layer 14 is exposed by performing wet etching, for example, anisotropic wet etching, from the surface. After the etching process is performed, titanium (Ti), gold (Au), etc. are deposited on the n-type semiconductor layer 14 to form an n-type electrode, and nickel (Ni), platinum (Pt), and gold ( Au) and the like are deposited to form a p-type electrode.

한편, 본 명세서와 도면에 개시된 본 발명의 실시예들은 이해를 돕기 위해 특정 예를 제시한 것에 지나지 않으며, 본 발명의 범위를 한정하고자 하는 것은 아니다. 여기에 개시된 실시예들 이외에도 본 발명의 기술적 사상에 바탕을 둔 다른 변형예들이 실시 가능하다는 것은, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 자명한 것이다.On the other hand, the embodiments of the present invention disclosed in the specification and drawings are merely presented specific examples to aid understanding, and are not intended to limit the scope of the present invention. In addition to the embodiments disclosed herein, it is apparent to those skilled in the art that other modifications based on the technical idea of the present invention may be implemented.

10 : 질화물계 반도체 소자
11 : 베이스 기판
12 : 질화물 핵 성장층
13 : 버퍼층
14 : n형 반도체층
14a : n형 클래드층
15 : 활성층
16a : p형 클래드층
16 : p형 반도체층
10: nitride semiconductor element
11: base substrate
12: nitride nucleus growth layer
13: buffer layer
14: n-type semiconductor layer
14a: n-type cladding layer
15: active layer
16a: p-type cladding layer
16: p-type semiconductor layer

Claims (9)

Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하여 질화물 층을 형성하는 질화물계 반도체 소자의 제조 방법으로,
상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 상기 질화물 층을 형성하는 것을 특징으로 질화물계 반도체 소자의 제조 방법.
In the method of manufacturing a nitride-based semiconductor device to form a nitride layer by supplying a Group V source and a plurality of Group III source,
And determining a total supply amount of the plurality of group III sources, and varyingly supplying at least one of the plurality of group III sources in a stepped or curved form to form the nitride layer.
제1항에 있어서, 상기 질화물 층은,
버퍼층, n형 반도체층, n형 클래드층, 활성층, p형 클래드층 및 p형 반도체층 중에 적어도 하나를 포함하는 것을 특징으로 하는 질화물계 반도체 소자의 제조 방법.
The method of claim 1, wherein the nitride layer,
A method of manufacturing a nitride based semiconductor device comprising at least one of a buffer layer, an n-type semiconductor layer, an n-type cladding layer, an active layer, a p-type cladding layer and a p-type semiconductor layer.
제1항에 있어서,
상기 복수의 Ⅲ족 소스는 B, Al, Ga, In 중에 적어도 두개를 함유하고, 상기 Ⅴ족 소스는 N을 함유하는 것을 특징으로 하는 질화물계 반도체 소자의 제조 방법.
The method of claim 1,
The plurality of group III sources contain at least two of B, Al, Ga, and In, and the group V source contains N.
제1항에 있어서,
상기 질화물 층의 소재는 AlxInyGazBwN(0≤x,y,z,w≤1, x+y+z+w=1)
인 것을 특징으로 하는 질화물계 반도체 소자의 제조 방법.
The method of claim 1,
The material of the nitride layer is Al x In y Ga z B w N (0≤x, y, z, w≤1, x + y + z + w = 1)
The manufacturing method of the nitride type semiconductor element characterized by the above-mentioned.
Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하여 형성한 복수의 질화물 층을 포함하는 질화물계 반도체 소자로,
상기 복수의 질화물 층 중 적어도 하나는 상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 형성한 것을 특징으로 질화물계 반도체 소자.
A nitride-based semiconductor device comprising a plurality of nitride layers formed by supplying a group V source and a plurality of group III sources,
And at least one of the plurality of nitride layers defines a total supply amount of the plurality of group III sources, and is formed by variably supplying at least one of the plurality of group III sources in a stepped or curved form.
베이스 기판을 준비하는 단계;
상기 베이스 기판 위에 버퍼층을 형성하는 버퍼층 형성 단계;
상기 버퍼층 위에 n형 반도체층을 형성하는 n형 반도체층 형성 단계;
상기 n형 반도체층 위에 활성층을 형성하는 활성층 형성 단계;
상기 활성층 위에 p형 반도체층을 형성하는 p형 반도체층 형성 단계;를 포함하며,
상기 버퍼층 형성 단계, 상기 n형 반도체층 형성 단계, 상기 활성층 형성 단계 및 상기 p형 반도체층 형성 단계 중에 적어도 하나의 단계에서,
Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하되, 상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 해당 층을 형성하는 것을 특징으로 질화물계 반도체 소자의 제조 방법.
Preparing a base substrate;
A buffer layer forming step of forming a buffer layer on the base substrate;
An n-type semiconductor layer forming step of forming an n-type semiconductor layer on the buffer layer;
An active layer forming step of forming an active layer on the n-type semiconductor layer;
A p-type semiconductor layer forming step of forming a p-type semiconductor layer on the active layer;
In at least one of the buffer layer forming step, the n-type semiconductor layer forming step, the active layer forming step and the p-type semiconductor layer forming step,
Supplying a Group V source and a plurality of Group III sources, determining a total supply amount of the plurality of Group III sources, and varyingly supplying at least one of the plurality of Group III sources in a stepped or curved manner to form a corresponding layer. Characterized in that the method for producing a nitride-based semiconductor device.
제6항에 있어서,
상기 활성층을 형성하기 전에 상기 n형 반도체층 위에 n형 클래드층을 형성하는 단계; 또는
상기 p형 반도체층을 형성하기 전에 상기 활성층 위에 p형 클래드층을 형성하는 단계;
중에 적어도 하나의 단계를 더 포함하며,
상기 n형 또는 p형 클래드층을 형성하는 단계는, Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하되, 상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 형성하는 것을 특징으로 질화물계 반도체 소자의 제조 방법.
The method of claim 6,
Forming an n-type cladding layer on the n-type semiconductor layer before forming the active layer; or
Forming a p-type cladding layer on the active layer before forming the p-type semiconductor layer;
At least one step of the more,
The forming of the n-type or p-type cladding layer may include supplying a Group V source and a plurality of Group III sources, determining a total supply amount of the plurality of Group III sources, and performing at least one of the plurality of Group III sources. A method of manufacturing a nitride-based semiconductor device, characterized in that formed by variable supply in the form of a die or curve.
베이스 기판;
상기 베이스 기판 위에 형성된 버퍼층;
상기 버퍼층 위에 형성된 n형 반도체층;
상기 n형 반도체층 위에 형성된 활성층;
상기 활성층 위에 형성된 p형 반도체층;를 포함하며,
상기 버퍼층, 상기 n형 반도체층, 상기 활성층 및 상기 p형 반도체층 중에 적어도 하나는, Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하되, 상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 해당 층을 형성한 것을 특징으로 질화물계 반도체 소자.
A base substrate;
A buffer layer formed on the base substrate;
An n-type semiconductor layer formed on the buffer layer;
An active layer formed on the n-type semiconductor layer;
It includes; a p-type semiconductor layer formed on the active layer,
At least one of the buffer layer, the n-type semiconductor layer, the active layer, and the p-type semiconductor layer supplies a Group V source and a plurality of Group III sources, and determines a total supply amount of the plurality of Group III sources, A nitride-based semiconductor device, wherein a layer is formed by variably supplying at least one group III source in a stepped or curved shape.
제7항에 있어서,
상기 n형 반도체층과 상기 활성층 사이에 형성된 n형 클래드층; 또는
상기 활성층과 상기 p형 반도체층 사이에 형성된 p형 클래드층;
중에 적어도 하나를 더 포함하며,
상기 n형 또는 p형 클래드층은, Ⅴ족 소스 및 복수의 Ⅲ족 소스를 공급하되, 상기 복수의 Ⅲ족 소스의 총 공급량을 정하고, 상기 복수의 Ⅲ족 소스 중 적어도 하나를 스텝형 또는 커브형으로 가변 공급하여 형성한 것을 특징으로 질화물계 반도체 소자.
The method of claim 7, wherein
An n-type cladding layer formed between the n-type semiconductor layer and the active layer; or
A p-type cladding layer formed between the active layer and the p-type semiconductor layer;
At least one of:
The n-type or p-type cladding layer supplies a Group V source and a plurality of Group III sources, determines a total supply amount of the plurality of Group III sources, and at least one of the plurality of Group III sources is stepped or curved. A nitride-based semiconductor device, characterized in that formed by variable supply.
KR1020100102892A 2010-10-21 2010-10-21 Nitride semiconductor device and method for manufacturing thereof KR20120041439A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020100102892A KR20120041439A (en) 2010-10-21 2010-10-21 Nitride semiconductor device and method for manufacturing thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100102892A KR20120041439A (en) 2010-10-21 2010-10-21 Nitride semiconductor device and method for manufacturing thereof

Publications (1)

Publication Number Publication Date
KR20120041439A true KR20120041439A (en) 2012-05-02

Family

ID=46262516

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100102892A KR20120041439A (en) 2010-10-21 2010-10-21 Nitride semiconductor device and method for manufacturing thereof

Country Status (1)

Country Link
KR (1) KR20120041439A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140026069A (en) * 2012-08-24 2014-03-05 엘지이노텍 주식회사 Light emitting device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140026069A (en) * 2012-08-24 2014-03-05 엘지이노텍 주식회사 Light emitting device

Similar Documents

Publication Publication Date Title
US8513694B2 (en) Nitride semiconductor device and manufacturing method of the device
RU2523747C2 (en) Boron-containing iii-nitride light-emitting device
JPWO2017057149A1 (en) Nitride semiconductor light emitting device
JP5322523B2 (en) Light emitting device and manufacturing method thereof
JP2013016711A (en) Manufacturing method of nitride semiconductor light-emitting element, wafer and nitride semiconductor light-emitting element
JPWO2009088084A1 (en) Semiconductor light emitting device
US20120138891A1 (en) METHOD FOR REDUCTION OF EFFICIENCY DROOP USING AN (Al,In,Ga)N/Al(x)In(1-x)N SUPERLATTICE ELECTRON BLOCKING LAYER IN NITRIDE BASED LIGHT EMITTING DIODES
JP2014096460A (en) Ultraviolet semiconductor light emitting element and manufacturing method thereof
JP2009302314A (en) GaN-BASED SEMICONDUCTOR DEVICE
JP2008118049A (en) GaN-BASED SEMICONDUCTOR LIGHT EMITTING DEVICE
JP5568009B2 (en) Semiconductor light emitting device and manufacturing method thereof
JP2008305967A (en) Device and method for manufacturing group iii nitride semiconductor layer, manufacturing method for group iii nitride semiconductor light-emitting element and group iii nitride semiconductor light-emitting element and lamp
JP2008288532A (en) Nitride semiconductor device
JP2018148130A (en) Semiconductor light-emitting device and method for manufacturing the same
KR100728132B1 (en) Light-emitting diode using current spreading layer
JP2019033284A (en) Semiconductor light-emitting device and method for manufacturing the same
JP2009076864A (en) Nitride-based light emitting device
JP2011187862A (en) Method of manufacturing group iii nitride semiconductor light emitting device
TW200832758A (en) GaN semiconductor light emitting element
JP2008118048A (en) GaN-BASED SEMICONDUCTOR LIGHT EMITTING DEVICE
KR20120041439A (en) Nitride semiconductor device and method for manufacturing thereof
JP2008227103A (en) GaN-BASED SEMICONDUCTOR LIGHT EMITTING ELEMENT
KR101442809B1 (en) Nitride semiconductor light emitting device and method for manufacturing thereof
KR101137513B1 (en) Nitride semiconductor light emitting device and method for manufacturing thereof
KR20160003378A (en) Light emitting structure and Light emitting device having the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20120917

Effective date: 20131216