KR20120028147A - Method for manufacturing three dimensional semiconductor memory device - Google Patents

Method for manufacturing three dimensional semiconductor memory device Download PDF

Info

Publication number
KR20120028147A
KR20120028147A KR1020100090186A KR20100090186A KR20120028147A KR 20120028147 A KR20120028147 A KR 20120028147A KR 1020100090186 A KR1020100090186 A KR 1020100090186A KR 20100090186 A KR20100090186 A KR 20100090186A KR 20120028147 A KR20120028147 A KR 20120028147A
Authority
KR
South Korea
Prior art keywords
substrate
thin film
forming
film
material films
Prior art date
Application number
KR1020100090186A
Other languages
Korean (ko)
Inventor
장경태
이명범
신승목
김진균
이승엽
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020100090186A priority Critical patent/KR20120028147A/en
Priority to US13/230,447 priority patent/US20120064682A1/en
Publication of KR20120028147A publication Critical patent/KR20120028147A/en
Priority to US14/593,221 priority patent/US9312270B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels

Abstract

PURPOSE: A method for manufacturing a three dimensional semiconductor memory device is provided to adjust stress of first and second material films, thereby reducing bending of a plate. CONSTITUTION: First material films(10) and second material films(20) are alternatively laminated on a substrate. The numbers of the first and second material films are 2n wherein n is an integer. Semiconductor patterns penetrate a thin film structure. A trench exposing a substrate between the semiconductor patterns is formed by patterning the thin film structure. Recess areas are formed between second material films by eliminating the first material films exposed to the trench. Conductive patterns are locally formed on the recess areas.

Description

3차원 반도체 장치의 제조 방법{Method for manufacturing three dimensional semiconductor memory device}Method for manufacturing three dimensional semiconductor memory device

본 발명은 3차원 반도체 장치의 제조 방법에 관한 것으로서, 더욱 상세하게는 복수의 박막들이 연속적으로 적층되는 3차원 반도체 장치의 제조 방법에 관한 것이다. The present invention relates to a method for manufacturing a three-dimensional semiconductor device, and more particularly, to a method for manufacturing a three-dimensional semiconductor device in which a plurality of thin films are continuously stacked.

소비자가 요구하는 우수한 성능 및 저렴한 가격을 충족시키기 위해 반도체 메모리 장치의 집적도를 증가시키는 것이 요구되고 있다. 반도체 메모리 장치의 경우, 그 집적도는 제품의 가격을 결정하는 중요한 요인이기 때문에, 특히 증가된 집적도가 요구되고 있다. 종래의 2차원 또는 평면적 반도체 메모리 장치의 경우, 그 집적도는 단위 메모리 셀이 점유하는 면적에 의해 주로 결정되기 때문에, 미세 패턴 형성 기술의 수준에 크게 영향을 받는다. 하지만, 패턴의 미세화를 위해서는 초고가의 장비들이 필요하기 때문에, 2차원 반도체 메모리 장치의 집적도는 증가하고는 있지만 여전히 제한적이다. There is a demand for increasing the density of semiconductor memory devices in order to meet the high performance and low price demanded by consumers. In the case of semiconductor memory devices, since the degree of integration is an important factor in determining the price of a product, an increased degree of integration is particularly required. In the case of the conventional two-dimensional or planar semiconductor memory device, since the degree of integration is mainly determined by the area occupied by the unit memory cell, it is greatly influenced by the level of the fine pattern formation technique. However, since expensive equipment is required for pattern miniaturization, the degree of integration of a two-dimensional semiconductor memory device is increasing but is still limited.

이러한 한계를 극복하기 위한, 3차원적으로 배열되는 메모리 셀들을 구비하는 3차원 반도체 메모리 장치들이 제안되고 있다. 그러나, 3차원 반도체 메모리 장치의 대량 생산을 위해서는, 비트당 제조 비용을 2차원 반도체 메모리 장치의 그것보다 줄일 수 있으면서 신뢰성 있는 제품 특성을 구현할 수 있는 공정 기술이 요구되고 있다. In order to overcome this limitation, three-dimensional semiconductor memory devices having three-dimensionally arranged memory cells have been proposed. However, for mass production of 3D semiconductor memory devices, a process technology capable of realizing reliable product characteristics while reducing manufacturing cost per bit than that of 2D semiconductor memory devices is required.

본원 발명이 해결하고자 하는 과제는 신뢰성 및 생산성을 보다 향상시킬 수 있는 3차원 반도체 장치의 제조 방법을 제공하는데 있다. The problem to be solved by the present invention is to provide a method for manufacturing a three-dimensional semiconductor device that can further improve the reliability and productivity.

본 발명이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The problem to be solved by the present invention is not limited to the above-mentioned problem, and other tasks not mentioned will be clearly understood by those skilled in the art from the following description.

상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 일 실시예에 따른 3차원 반도체 장치의 제조 방법은 기판 상에 적어도 2n(n은 2 이상의 정수)개의 제 1 및 제 2 물질막들이 번갈아서 반복적으로 적층된 박막 구조체를 형성하되, 제 1 물질막은 0.1~10×109 dyne/㎠의 스트레스를 가질 수 있으며, 제 2 물질막은 -0.1~-10×109 dyne/㎠의 스트레스를 가질 수 있다. In order to achieve the above object, at least 2n (n is an integer of 2 or more) first and second material layers are alternately repeatedly stacked on a substrate. To form a thin film structure, wherein the first material film may have a stress of 0.1-10 × 10 9 dyne / cm 2, and the second material film may have a stress of −0.1 to 10 × 10 9 dyne / cm 2.

기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.Specific details of other embodiments are included in the detailed description and the drawings.

본 발명의 실시예들에 따르면, 박막 구조체를 구성하는 제 1 및 제 2 물질막들의 스트레스를 조절하여 기판에 가해지는 스트레스를 완화시킬 수 있다. 이에 따라, 제 1 및 제 2 물질막들의 균열을 방지할 수 있으며, 기판이 휘어지는 현상을 줄일 수 있다. 따라서, 3차원 반도체 장치의 제조 공정에서 공정 에러를 줄일 수 있으며, 나아가, 반도체 장치의 제조 공정의 생산성을 향상시킬 수 있다. According to embodiments of the present invention, the stress applied to the substrate may be alleviated by controlling the stresses of the first and second material layers constituting the thin film structure. As a result, cracking of the first and second material layers may be prevented, and a phenomenon of bending the substrate may be reduced. Therefore, the process error in the manufacturing process of the three-dimensional semiconductor device can be reduced, and further, the productivity of the manufacturing process of the semiconductor device can be improved.

도 1은 본 발명의 일 실시예에 따른 3차원 반도체 장치의 간략 회로도이다.
도 2는 본 발명의 일 실시예에 따른 3차원 반도체 장치를 나타내는 사사도이다.
도 4 및 도 5는 본 발명의 일 실시예에 따른 3차원 반도체 장치의 제조 방법을 개념적으로 설명하기 위한 도면들이다.
도 6 및 도 7은 본 발명의 다른 실시예들에 따른 3차원 반도체 장치의 제조 방법에서 박막 구조체 형성 방법을 설명하기 위한 도면이다.
도 7 내지 도 14는 본 발명의 일 실시예에 따른 3차원 반도체 장치의 제조 방법 나타내는 사시도들이다.
도 15a 내지 도 15d는 도 14의 A 부분을 나타내는 도면들이다.
도 16 및 도 17은 본 발명의 다른 실시예들에 따른 3차원 반도체 장치를 나타내는 사시도들이다.
도 18은 본 발명의 실시예들에 따른 3차원 반도체 장치를 포함하는 메모리 시스템의 일 예를 나타내는 개략 블록도이다.
도 19는 본 발명의 실시예들에 따른 3차원 반도체 장치를 구비하는 메모리 카드의 일 예를 나타내는 개략 블록도이다.
도 20은 본 발명에 따른 3차원 반도체 장치를 장착하는 정보 처리 시스템의 일 예를 나타내는 개략 블록도이다.
1 is a simplified circuit diagram of a three-dimensional semiconductor device according to an embodiment of the present invention.
2 is a perspective view showing a three-dimensional semiconductor device according to an embodiment of the present invention.
4 and 5 are diagrams for conceptually describing a method of manufacturing a 3D semiconductor device according to an embodiment of the present invention.
6 and 7 illustrate a method of forming a thin film structure in a method of manufacturing a 3D semiconductor device according to other exemplary embodiments of the present inventive concept.
7 to 14 are perspective views illustrating a method of manufacturing a 3D semiconductor device according to an embodiment of the present invention.
15A to 15D are views illustrating part A of FIG. 14.
16 and 17 are perspective views illustrating a 3D semiconductor device according to other example embodiments of the inventive concept.
18 is a schematic block diagram illustrating an example of a memory system including a 3D semiconductor device according to example embodiments.
19 is a schematic block diagram illustrating an example of a memory card including a 3D semiconductor device according to example embodiments.
20 is a schematic block diagram illustrating an example of an information processing system equipped with a three-dimensional semiconductor device according to the present invention.

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전문에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.Advantages and features of the present invention, and methods for achieving them will be apparent with reference to the embodiments described below in detail in conjunction with the accompanying drawings. However, the present invention is not limited to the embodiments disclosed below, but may be implemented in various forms. It is provided to fully convey the scope of the invention to those skilled in the art, and the present invention is defined only by the scope of the claims. Like reference numerals refer to like elements throughout the specification.

본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다. 또한, 본 명세서에서, 어떤 막이 다른 막 또는 기판 상에 있다고 언급되는 경우에 그것은 다른 막 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 막이 개재될 수도 있다는 것을 의미한다. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. In the present specification, the singular form includes plural forms unless otherwise specified in the specification. As used herein, the terms 'comprises' and / or 'comprising' mean that the stated element, step, operation and / or element does not imply the presence of one or more other elements, steps, operations and / Or additions. Also, in this specification, when it is mentioned that a film is on another film or substrate, it means that it may be formed directly on another film or substrate, or a third film may be interposed therebetween.

또한, 본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 예를 들면, 직각으로 도시된 식각 영역은 라운드지거나 소정 곡률을 가지는 형태일 수 있다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다.In addition, the embodiments described herein will be described with reference to cross-sectional and / or plan views, which are ideal exemplary views of the present invention. In the drawings, the thicknesses of films and regions are exaggerated for effective explanation of technical content. Accordingly, shapes of the exemplary views may be modified by manufacturing techniques and / or tolerances. Accordingly, the embodiments of the present invention are not limited to the specific forms shown, but also include variations in forms generated by the manufacturing process. For example, the etched regions shown at right angles may be rounded or have a predetermined curvature. Accordingly, the regions illustrated in the figures have schematic attributes, and the shape of the regions illustrated in the figures is intended to illustrate a particular form of region of the device and not to limit the scope of the invention.

이하, 도면들을 참조하여 본 발명의 실시예들에 따른 3차원 반도체 장치 및 그 제조 방법에 대해 상세히 설명한다. Hereinafter, a three-dimensional semiconductor device and a manufacturing method thereof according to embodiments of the present invention will be described in detail with reference to the drawings.

본 발명의 실시예들에 따른 3차원 반도체 장치는 셀 어레이 영역, 주변회로 영역, 및 연결 영역을 포함할 수 있다. 셀 어레이 영역에는, 복수의 메모리 셀들 및 메모리 셀들로의 전기적 연결을 위한 비트라인들 및 워드라인들이 배치된다. 주변 회로 영역에는 메모리 셀들을 구동하고 메모리 셀들에 저장된 데이터를 판독하는 주변 회로들이 형성될 수 있다. 구체적으로, 주변 회로 영역(C/P)에는 워드라인 드라이버(driver), 센스 앰프(sense amplifier), 로우(row) 및 칼럼(column) 디코더들 및 제어 회로들이 배치될 수 있다. 연결 영역은 셀 어레이 영역과 주변 회로 회로 영역 사이에 배치될 수 있으며, 여기에는 워드 라인들과 주변 회로들을 전기적으로 연결하는 배선 구조체가 배치될 수 있다. The 3D semiconductor device according to example embodiments may include a cell array region, a peripheral circuit region, and a connection region. In the cell array region, a plurality of memory cells and bit lines and word lines for electrical connection to the memory cells are disposed. Peripheral circuits may be formed in the peripheral circuit area to drive memory cells and read data stored in the memory cells. Specifically, a word line driver, a sense amplifier, row and column decoders and control circuits may be disposed in the peripheral circuit area C / P. The connection area may be disposed between the cell array area and the peripheral circuit circuit area, and a wiring structure for electrically connecting word lines and peripheral circuits may be disposed therein.

도 1은 본 발명의 일 실시예에 따른 3차원 반도체 장치의 셀 어레이를 나타내는 간략 회로도이다. 도 2는 본 발명의 일 실시예에 따른 3차원 반도체 장치의 셀 어레이를 나타내는 사시도이다.1 is a simplified circuit diagram illustrating a cell array of a 3D semiconductor device according to an embodiment of the present invention. 2 is a perspective view illustrating a cell array of a 3D semiconductor device according to an embodiment of the present invention.

도 1을 참조하면, 일 실시예에 따른 3차원 반도체 메모리 장치의 셀 어레이는 공통 소오스 라인(CSL), 복수개의 비트라인들(BL) 및 공통 소오스 라인(CSL)과 비트라인들(BL) 사이에 배치되는 복수개의 셀 스트링들(CSTR)을 포함할 수 있다. Referring to FIG. 1, a cell array of a 3D semiconductor memory device according to an embodiment may include a common source line CSL, a plurality of bit lines BL, and a common source line CSL and bit lines BL. It may include a plurality of cell strings (CSTR) disposed in.

비트 라인들은 2차원적으로 배열되고, 그 각각에는 복수개의 셀 스트링들(CSTR)이 병렬로 연결된다. 셀 스트링들(CSTR)은 공통 소오스 라인(CSL)에 공통으로 연결될 수 있다. 즉, 복수의 비트 라인들과 하나의 공통 소오스 라인(CSL) 사이에 복수의 셀 스트링들(CSTR)이 배치될 수 있다. 일 실시예에 따르면, 공통 소오스 라인들(CSL)은 복수 개가 2차원적으로 배열될 수 있다. 여기서, 공통 소오스 라인들(CSL)에는 전기적으로 동일한 전압이 인가될 수 있으며, 또는 공통 소오스 라인들(CSL) 각각이 전기적으로 제어될 수도 있다. The bit lines are arranged two-dimensionally, and a plurality of cell strings CSTR are connected in parallel to each other. The cell strings CSTR may be commonly connected to the common source line CSL. That is, the plurality of cell strings CSTR may be disposed between the plurality of bit lines and one common source line CSL. According to an embodiment, a plurality of common source lines CSL may be two-dimensionally arranged. Here, the same voltage may be applied to the common source lines CSL, or each of the common source lines CSL may be electrically controlled.

셀 스트링들(CSTR) 각각은 공통 소오스 라인(CSL)에 접속하는 접지 선택 트랜지스터(GST), 비트라인(BL)에 접속하는 스트링 선택 트랜지스터(SST), 및 접지 및 스트링 선택 트랜지스터들(GST, SST) 사이에 배치되는 복수개의 메모리 셀 트랜지스터들(MCT)로 구성될 수 있다. 그리고, 접지 선택 트랜지스터(GST), 스트링 선택 트랜지스터(SST) 및 메모리 셀 트랜지스터들(MCT)은 직렬로 연결될 수 있다. Each of the cell strings CSTR includes a ground select transistor GST connected to a common source line CSL, a string select transistor SST connected to a bit line BL, and ground and string select transistors GST and SST. ) May be formed of a plurality of memory cell transistors MCT. In addition, the ground select transistor GST, the string select transistor SST, and the memory cell transistors MCT may be connected in series.

공통 소오스 라인(CSL)은 접지 선택 트랜지스터들(GST)의 소오스들에 공통으로 연결될 수 있다. 이에 더하여, 공통 소오스 라인(CSL)과 비트 라인들(BL) 사이에 배치되는, 접지 선택 라인(GSL), 복수개의 워드라인들(WL0-WL3) 및 복수개의 스트링 선택 라인들(SSL)이 접지 선택 트랜지스터(GST), 메모리 셀 트랜지스터들(MCT) 및 스트링 선택 트랜지스터들(SST)의 게이트 전극들로서 각각 사용될 수 있다. 또한, 메모리 셀 트랜지스터들(MCT) 각각은 데이터 저장 요소(data storage element)를 포함한다.The common source line CSL may be connected in common to the sources of the ground select transistors GST. In addition, the ground select line GSL, the plurality of word lines WL0-WL3 and the plurality of string select lines SSL, which are disposed between the common source line CSL and the bit lines BL, are grounded. The gate transistors of the select transistor GST, the memory cell transistors MCT, and the string select transistors SST may be used, respectively. In addition, each of the memory cell transistors MCT includes a data storage element.

도 2를 참조하면, 공통 소오스 라인(CSL)은 기판(100) 상에 배치되는 도전성 박막 또는 기판(100) 내에 형성되는 불순물 영역일 수 있다. 비트라인들(BL)은 기판(100)으로부터 이격되어 그 상부에 배치되는 도전성 패턴들(예를 들면, 금속 라인)일 수 있다. 비트 라인들(BL)은 2차원적으로 배열되고, 그 각각에는 복수개의 셀 스트링들(CSTR)이 병렬로 연결된다. 이에 따라 셀 스트링들(CSTR)은 공통 소오스 라인(CSL) 또는 기판(100) 상에 2차원적으로 배열된다. Referring to FIG. 2, the common source line CSL may be a conductive thin film disposed on the substrate 100 or an impurity region formed in the substrate 100. The bit lines BL may be conductive patterns (eg, metal lines) spaced apart from the substrate 100 and disposed on the bit lines BL. The bit lines BL are two-dimensionally arranged, and a plurality of cell strings CSTR are connected in parallel to each other. Accordingly, the cell strings CSTR are two-dimensionally arranged on the common source line CSL or the substrate 100.

셀 스트링들(CSTR) 각각은, 공통 소오스 라인(CSL)과 비트라인들(BL) 사이에 배치되는 복수 개의 접지 선택 라인들(GSL1, GSL2), 복수개의 워드라인들(WL0-WL3) 및 복수 개의 스트링 선택 라인들(SSL1, SSL2)을 포함한다. 일 실시예에서, 복수 개의 스트링 선택 라인들(SSL1, SSL2)은 도 2의 스트링 선택 라인들(SSL)을 구성할 수 있으며, 복수 개의 접지 선택 라인들(GSL1, GSL2)은 도 2의 접지 선택 라인들(GSL)을 구성할 수 있다. 그리고, 접지 선택 라인들(GSL1, GSL2), 워드라인들(WL0-WL3) 및 스트링 선택 라인들(SSL1, SSL2)은 기판(100) 상에 적층된 도전 패턴들일 수 있다. Each of the cell strings CSTR includes a plurality of ground select lines GSL1 and GSL2, a plurality of word lines WL0-WL3, and a plurality of disposed between the common source line CSL and the bit lines BL. String select lines SSL1 and SSL2. In one embodiment, the plurality of string select lines SSL1 and SSL2 may constitute the string select lines SSL of FIG. 2, and the plurality of ground select lines GSL1 and GSL2 may select the ground select of FIG. 2. Lines GSL may be configured. The ground select lines GSL1 and GSL2, the word lines WL0-WL3, and the string select lines SSL1 and SSL2 may be conductive patterns stacked on the substrate 100.

또한, 셀 스트링들(CSTR) 각각은 공통 소오스 라인(CSL)으로부터 수직하게 연장되어 비트 라인(BL)에 접속하는 반도체 기둥(또는 수직 반도체 패턴; PL)을 포함할 수 있다. 반도체 기둥들(PL)은 접지 선택 라인들(GSL1, GSL2), 워드라인들(WL0-WL3) 및 스트링 선택 라인들(SSL1, SSL2)을 관통하도록 형성될 수 있다. 다시 말해, 반도체 기둥들(PL)은 기판(100) 상에 적층된 복수 개의 도전 패턴들을 관통할 수 있다. 이에 더하여, 반도체 기둥(PL)은 몸체부(B) 및 몸체부(B)의 일단 또는 양단에 형성되는 불순물 영역들(D)을 포함할 수 있다. 예를 들면, 드레인 영역(D)이 반도체 기둥(PL)의 상단(즉, 몸체부(B)와 비트라인(BL) 사이)에 형성될 수 있다. In addition, each of the cell strings CSTR may include a semiconductor pillar (or a vertical semiconductor pattern PL) extending vertically from the common source line CSL and connected to the bit line BL. The semiconductor pillars PL may be formed to penetrate the ground select lines GSL1 and GSL2, the word lines WL0-WL3, and the string select lines SSL1 and SSL2. In other words, the semiconductor pillars PL may pass through a plurality of conductive patterns stacked on the substrate 100. In addition, the semiconductor pillar PL may include a body portion B and impurity regions D formed at one or both ends of the body portion B. For example, the drain region D may be formed at an upper end of the semiconductor pillar PL (that is, between the body portion B and the bit line BL).

워드라인들(WL0-WL3)과 반도체 기둥들(PL) 사이에는 데이터 저장막(DS)이 배치될 수 있다. 일 실시예에 따르면, 데이터 저장막(DS)은 전하저장막일 수 있다. 예를 들면, 데이터 저장막(DS)은 트랩 절연막, 부유 게이트 전극 또는 도전성 나노 도트들(conductive nano dots)을 포함하는 절연막 중의 한가지일 수 있다. The data storage layer DS may be disposed between the word lines WL0-WL3 and the semiconductor pillars PL. In example embodiments, the data storage layer DS may be a charge storage layer. For example, the data storage layer DS may be one of an insulating layer including a trap insulating layer, a floating gate electrode, or conductive nano dots.

접지 선택 라인들(GSL1, GSL2)과 반도체 기둥들(PL) 사이 또는 스트링 선택 라인들(SSL1, SSL2)과 반도체 기둥(PL) 사이에는, 트랜지스터의 게이트 절연막으로 사용되는 유전막이 배치될 수 있다. 여기서, 유전막은 데이터 저장막(DS)과 동일한 물질로 형성될 수도 있으며, 통상적인 모오스펫(MOSFET)을 위한 게이트 절연막(예를 들면, 실리콘 산화막)일 수도 있다. A dielectric film may be disposed between the ground select lines GSL1 and GSL2 and the semiconductor pillars PL or between the string select lines SSL1 and SSL2 and the semiconductor pillar PL. Here, the dielectric film may be formed of the same material as the data storage film DS, or may be a gate insulating film (for example, a silicon oxide film) for a conventional MOSFET.

이와 같은 구조에서, 반도체 기둥들(PL)은, 접지 선택 라인들(GSL1, GSL2), 워드라인들(WL0-WL3) 및 스트링 선택 라인들(SSL1, SSL2)과 함께, 반도체 기둥(PL)을 채널 영역으로 사용하는 모오스 전계 효과 트랜지스터(MOSFET)를 구성할 수 있다. 이와 달리, 반도체 기둥들(PL)은, 접지 선택 라인들(GSL1, GSL2), 워드라인들(WL0-WL3) 및 스트링 선택 라인들(SSL1, SSL2)과 함께, 모오스 커패시터(MOS capacitor)를 구성할 수 있다. In this structure, the semiconductor pillars PL may be formed together with the ground select lines GSL1 and GSL2, the word lines WL0-WL3, and the string select lines SSL1 and SSL2. A MOS field effect transistor (MOSFET) used as a channel region can be configured. In contrast, the semiconductor pillars PL together with the ground select lines GSL1 and GSL2, the word lines WL0-WL3, and the string select lines SSL1 and SSL2 form a MOS capacitor. can do.

이러한 경우, 접지 선택 라인들(GSL1, GSL2), 복수개의 워드라인들(WL0-WL3) 및 복수개의 스트링 선택 라인들(SSL1, SSL2)은 선택 트랜지스터 및 셀 트랜지스터의 게이트 전극들로서 각각 사용될 수 있다. 그리고, 접지 선택 라인들(GSL1, GSL2), 워드라인들(WL0-WL3) 및 스트링 선택 라인들(SSL1, SSL2)에 인가되는 전압으로부터의 기생 전계(fringe field)에 의해 반도체 기둥들(PL)에 반전 영역들(inversion regions)이 형성될 수 있다. 여기서, 반전 영역의 최대 거리(또는 폭)는 반전영역을 생성시키는 워드라인들 또는 선택 라인들의 두께보다 클 수 있다. 이에 따라, 반도체 기둥에 형성되는 반전 영역들은 수직적으로 중첩되어, 공통 소오스 라인(CSL)으로부터 선택된 비트라인을 전기적으로 연결하는 전류 통로를 형성한다. In this case, the ground select lines GSL1 and GSL2, the plurality of word lines WL0-WL3, and the string select lines SSL1 and SSL2 may be used as gate electrodes of the select transistor and the cell transistor, respectively. The semiconductor pillars PL may be formed by parasitic fields from voltages applied to the ground select lines GSL1 and GSL2, the word lines WL0-WL3, and the string select lines SSL1 and SSL2. Inversion regions may be formed. Here, the maximum distance (or width) of the inversion area may be greater than the thickness of the word lines or the selection lines for generating the inversion area. Accordingly, the inversion regions formed in the semiconductor pillars vertically overlap each other to form a current path electrically connecting the bit lines selected from the common source line CSL.

즉, 셀 스트링(CSTR)은 하부 및 상부 선택 라인들(GSL1, GSL2, SSL1, SSL2)에 의해 구성되는 접지 및 스트링 트랜지스터들과 워드 라인들(WL0-WL3)에 의해 구성되는 셀 트랜지스터들(도 2의 MCT)이 직렬 연결된 구조를 가질 수 있다. That is, the cell string CSTR includes ground and string transistors constituted by the lower and upper select lines GSL1, GSL2, SSL1, and SSL2, and cell transistors constituted by the word lines WL0-WL3 (FIG. MCT of 2) may have a structure connected in series.

도 1 및 도 2를 참조하여 설명된 3차원 반도체 메모리 장치의 동작에 대해 간단히 설명하면 다음과 같다. 본 발명의 실시예들에 따른 3차원 반도체 메모리 장치의 동작 방법은 이에 제한되지 않으며 다양하게 변형될 수 있다. The operation of the 3D semiconductor memory device described with reference to FIGS. 1 and 2 will be briefly described as follows. The operation method of the 3D semiconductor memory device according to example embodiments is not limited thereto and may be variously modified.

먼저, 메모리 셀들에 데이터를 기입하는 프로그램 동작에 대해 설명한다. 동일층에 위치하는 워드 라인들(WL0-WL3)에 동일한 전압이 인가되며, 서로 다른 층에 위치하는 워드 라인들(WL0-WL3)에는 서로 다른 전압들이 인가될 수 있다. 그리고, 선택된 메모리 셀을 포함하는 층의 워드 라인들(WL0-WL3)에는 프로그램 전압(V- PGM)이 인가되고, 비선택된 층의 워드 라인들(WL0-WL3)에는 패스 전압(VPASS)이 인가된다. 여기서, 프로그램 전압(VPGM)은 약 10~20V의 고전압이며, 패스 전압(VPASS) 전압은 메모리 셀 트랜지스터들을 턴-온시킬 수 있는 전압이다. 또한, 선택된 메모리 셀 트랜지스터과 연결된 비트 라인(BL)에는 0V가 인가되며, 다른 비트 라인들(BL)에는 Vcc 전압(즉, 전원 전압)이 인가된다. 그리고, 접지 선택 라인들(GSL)에는 0V(즉, 접지 전압)가 인가되어, 접지 선택 트랜지스터들 모두 턴-오프된다. 나아가, 선택된 스트링 선택 라인(SSL)에는 Vcc 전압이 인가되고, 비선택된 스트링 선택 라인(SSL)에는 0V가 인가된다. 이와 같은 전압 조건에서, 선택된 스트링 선택 트랜지스터(SST)와 선택된 셀 스트링(CSTR)에 포함된 메모리 셀 트랜지스터들(MCT)이 턴 온될 수 있다. 그러므로, 선택된 셀 스트링(CSTR)에 포함된 메모리 셀 트랜지스터들(MCT)의 채널은 선택된 비트 라인(BL)과 등전위(즉, 0V)를 갖는다. 이때, 선택된 메모리 셀 트랜지스터(MCT)의 워드 라인(WL0-WL3)에 고전압의 프로그램 전압(VPGM)이 인가되기 때문에, F-N 터널링 현상이 발생하여 선택된 메모리 셀 트랜지스터에 데이터가 기입될 수 있다. First, a program operation of writing data into memory cells will be described. The same voltage may be applied to the word lines WL0-WL3 positioned on the same layer, and different voltages may be applied to the word lines WL0-WL3 positioned on different layers. The program voltage V - PGM is applied to the word lines WL0-WL3 of the layer including the selected memory cell, and the pass voltage V PASS is applied to the word lines WL0-WL3 of the unselected layer. Is approved. Here, the program voltage V PGM is a high voltage of about 10 to 20 V, and the pass voltage V PASS is a voltage that can turn on the memory cell transistors. In addition, 0 V is applied to the bit line BL connected to the selected memory cell transistor, and a Vcc voltage (ie, a power supply voltage) is applied to the other bit lines BL. In addition, 0 V (ie, a ground voltage) is applied to the ground select lines GSL, so that the ground select transistors are all turned off. Furthermore, a Vcc voltage is applied to the selected string select line SSL, and 0 V is applied to the unselected string select line SSL. Under such a voltage condition, the selected string select transistor SST and the memory cell transistors MCT included in the selected cell string CSTR may be turned on. Therefore, the channel of the memory cell transistors MCT included in the selected cell string CSTR has an equipotential (ie, 0V) with the selected bit line BL. In this case, since the high voltage program voltage V PGM is applied to the word lines WL0-WL3 of the selected memory cell transistor MCT, an FN tunneling phenomenon may occur and data may be written to the selected memory cell transistor.

이어서, 메모리 셀들에 기입된 데이터를 독출하는 읽기 동작에 대해 설명한다. 동일층에 위치하는 워드 라인들(WL0-WL3)에 동일한 전압이 인가되며, 서로 다른 층에 위치하는 워드 라인들(WL0-WL3)에는 서로 다른 전압들이 인가될 수 있다. 구체적으로, 읽기 동작을 위해, 선택된 메모리 셀 트랜지스터(MCT)과 연결된 워드 라인(WL0-WL3)에 0V가 인가되며, 다른 층에 위치하는 비선택된 메모리 셀 트랜지스터들(MCT)의 워드 라인들(WL0-WL3)에는 읽기 전압(Vread)이 인가된다. 여기서, 읽기 전압(Vread)은 비선택된 메모리 셀 트랜지스터들을 턴-온시킬 수 있는 전압이다. 그리고, 선택된 비트 라인(BL)에는 약 0.4 ~ 0.9V의 비트 라인 전압이 인가될 수 있으며, 다른 비트 라인들(BL)에는 0V가 인가된다. 그리고, 공통 소오스 라인(CSL)에는 0V가 인가되고, 접지 선택 라인들(GSL)에 읽기 전압(Vread)이 인가되어, 선택된 메모리 셀 트랜지스터(MCT)의 채널이 공통 소오스 라인(CSL)과 연결될 수 있다. 또한, 선택된 스트링 선택 라인(SSL)에 읽기 전압(Vread)이 인가되고, 비선택된 스트링 선택 라인(SSL)에 0V가 인가된다. 이와 같은 전압 조건에서, 선택된 메모리 셀에 데이터(0 또는 1)에 따라 메모리 셀 트랜지스터(MCT)가 턴-온 또는 턴-오프될 수 있다. 선택된 메모리 셀 트랜지스터(MCT)가 턴-온되면, 셀 스트링(CSTR)에는 전류 흐름이 발생할 수 있으며, 셀 스트링(CSTR)에 흐르는 전류 변화를 선택된 비트 라인(BL)을 통해 검출할 수 있다. Next, a read operation for reading data written to the memory cells will be described. The same voltage may be applied to the word lines WL0-WL3 positioned on the same layer, and different voltages may be applied to the word lines WL0-WL3 positioned on different layers. Specifically, for the read operation, 0V is applied to the word line WL0-WL3 connected to the selected memory cell transistor MCT, and word lines WL0 of the unselected memory cell transistors MCT positioned in another layer. Read voltage Vread is applied to -WL3). The read voltage Vread is a voltage capable of turning on unselected memory cell transistors. In addition, a bit line voltage of about 0.4 to 0.9 V may be applied to the selected bit line BL, and 0 V may be applied to the other bit lines BL. In addition, 0V is applied to the common source line CSL, and a read voltage Vread is applied to the ground select lines GSL so that the channel of the selected memory cell transistor MCT is connected to the common source line CSL. have. In addition, a read voltage Vread is applied to the selected string select line SSL, and 0 V is applied to the unselected string select line SSL. Under such a voltage condition, the memory cell transistor MCT may be turned on or turned off according to data 0 or 1 in the selected memory cell. When the selected memory cell transistor MCT is turned on, current flow may occur in the cell string CSTR, and a change in current flowing through the cell string CSTR may be detected through the selected bit line BL.

예를 들어, 선택된 메모리 셀 트랜지스터(MCT)에 전자들이 저장된 경우에, 선택된 메모리 셀 트랜지스터(MCT)는 턴-오프되고, 선택된 비트 라인(BL)의 전압이 공통 소오스 영역(CSL)으로 전달되지 않는다. 이와는 달리, 선택된 메모리 셀 트랜지스터(MCT)에 전자들이 저장되지 않은 경우, 선택된 메모리 셀은 읽기전압에 의하여 턴-온되고, 비트 라인(BL)의 전압은 공통 소오스 라인(CSL)으로 전달될 수 있다.For example, when electrons are stored in the selected memory cell transistor MCT, the selected memory cell transistor MCT is turned off, and the voltage of the selected bit line BL is not transferred to the common source region CSL. . In contrast, when electrons are not stored in the selected memory cell transistor MCT, the selected memory cell may be turned on by a read voltage, and the voltage of the bit line BL may be transferred to the common source line CSL. .

다음으로, 3차원 반도체 장치의 소거 동작에 대하여 설명한다. 일 실시예에 따르면, 메모리 셀 트랜지스터(MCT)에 저장된 전하를 반도체 기둥(PL)으로 방출하여 소거할 수 있다. 다른 실시예에 따르면, 데이터 저장막에 저장된 전하와 반대 타입의 전하를 데이터 저장막에 주입하여 소거할 수도 있다. 또 다른 실시예에 따르면, 메모리 셀 트랜지스터들 중에서 하나를 선택하여 소거하거나, 블록 단위의 메모리 셀 트랜지스터들(MCT)을 동시에 소거할 수도 있다.Next, the erase operation of the three-dimensional semiconductor device will be described. In example embodiments, the charge stored in the memory cell transistor MCT may be emitted to the semiconductor pillar PL to be erased. According to another exemplary embodiment, charges of a type opposite to those stored in the data storage layer may be injected into the data storage layer to be erased. According to another exemplary embodiment, one of the memory cell transistors may be selected and erased, or the memory cell transistors MCT in a block unit may be simultaneously erased.

이와 같은 본 발명의 일 실시예에 따르면, 3차원적으로 배열된 워드 라인들을 형성하기 위해, 기판(100) 상에 복수의 제 1 및 제 2 물질막들(10, 20)이 번갈아서 반복적으로 적층된 박막 구조체(ST)가 형성될 수 있다. 여기서, 제 1 및 제 2 물질막들은 적어도 2n(n은 2이상의 정수)층 이상 연속적으로 적층될 수 있다. According to one embodiment of the present invention, in order to form three-dimensionally arranged word lines, a plurality of first and second material layers 10 and 20 are alternately repeatedly stacked on the substrate 100. Thin film structure ST may be formed. Here, the first and second material films may be sequentially stacked on at least 2n (n is an integer of 2 or more) layers.

이러한 박막 구조체(ST)는 기판(100)(즉, 웨이퍼)에 스트레스를 제공할 수 있으며, 이로 인해, 도 3에 도시된 것처럼, 위 또는 아래로 휘어지는 현상이 발생할 수 있다. 그리고, 기판(100)에 가해지는 스트레스가 증가함에 따라 기판(100)의 휨(warpage) 현상이 심해지며, 제 1 및 제 2 물질막들(10, 20) 사이에서 균열(crack)이 발생될 수 있다. 또한, 기판(100)이 휘어짐에 따라 후속 공정을 진행하는데 공정 마진이 변동되거나, 반도체 설비에서의 공정 에러를 발생시킬 수 있다.  The thin film structure ST may provide stress to the substrate 100 (that is, a wafer), and as a result, the thin film structure ST may be bent upward or downward, as shown in FIG. 3. In addition, as the stress applied to the substrate 100 increases, warpage of the substrate 100 becomes severe, and cracks may occur between the first and second material layers 10 and 20. Can be. In addition, as the substrate 100 is bent, a process margin may be changed in a subsequent process or a process error may occur in a semiconductor facility.

한편, 본 발명의 실시예들에 따르면, 박막 구조체(ST)를 구성하는 제 1 및 제 2 물질막들(10, 20)의 스트레스를 조절하여 박막 구조체(ST)에 의해 기판(100)에 가해지는 스트레스를 완화시킬 수 있다. 이에 따라, 제 1 및 제 2 물질막들(10, 20)의 갈라짐을 방지할 수 있으며, 기판(100)의 휨 현상을 억제할 수 있다. 따라서, 3차원 반도체 장치의 제조 공정에서 공정 에러를 줄일 수 있으며, 나아가, 반도체 장치의 제조 공정의 생산성을 향상시킬 수 있다. Meanwhile, according to embodiments of the present invention, the stress of the first and second material films 10 and 20 constituting the thin film structure ST is controlled and applied to the substrate 100 by the thin film structure ST. Losing stress can be alleviated. Accordingly, cracking of the first and second material layers 10 and 20 may be prevented, and warpage of the substrate 100 may be suppressed. Therefore, the process error in the manufacturing process of the three-dimensional semiconductor device can be reduced, and further, the productivity of the manufacturing process of the semiconductor device can be improved.

이하, 도 4 내지 도 6을 참조하여, 본 발명의 실시예들에 따른 3차원 반도체 장치의 제조 방법에서 박막 구조체를 형성하는 방법에 대해 상세히 설명한다. Hereinafter, a method of forming a thin film structure in a method of manufacturing a 3D semiconductor device according to embodiments of the present invention will be described in detail with reference to FIGS. 4 to 6.

도 4를 참조하면, 기판(100) 상에 제 1 물질막들(10) 및 제 2 물질막들(20)을 번갈아서 반복적으로 적층하여 박막 구조체(도 3의 ST)를 형성할 수 있다. Referring to FIG. 4, the first material layers 10 and the second material layers 20 may be alternately repeatedly stacked on the substrate 100 to form a thin film structure (ST of FIG. 3).

제 1 물질막들(10)과 제 2 물질막들(20)은 인장 스트레스 및/또는 압축 스트레스를 갖는 막일 수 있다. 일 실시예에 따르면, 제 1 물질막들(10)과 제 2 물질막들(20)은 서로 반대되는 타입의 스트레스를 가질 수 있다. 예를 들어, 제 1 물질막들(10)은 인장 스트레스를 갖는 막일 수 있으며, 제 2 물질막들(20)은 압축 스트레스를 갖는 막일 수 있다. 즉, 일 실시예에서 박막 구조체는 번갈아 반복적으로 적층된 인장 스트레스막과 압축 스트레스막을 포함할 수 있다. 일 실시예에 따르면, 제 1 물질막들(10) 및 제 2 물질막들(20)은 케미컬 용액을 이용한 습식 식각 공정에서의 높은 식각 선택비를 가지며, 식각 가스를 이용한 건식 식각 공정에서 낮은 식각 선택비를 가질 수 있다. 또한, 제 1 물질막들(10)과 제 2 물질막들(20)을 동일한 두께를 가질 수도 있으며, 제 1 물질막(10)과 제 2 물질막(20)의 두께가 서로 다를 수도 있다. The first material layers 10 and the second material layers 20 may be films having tensile stress and / or compressive stress. According to an embodiment, the first material layers 10 and the second material layers 20 may have opposite types of stresses. For example, the first material films 10 may be a film having a tensile stress, and the second material films 20 may be a film having a compressive stress. That is, in one embodiment, the thin film structure may include a tensile stress film and a compressive stress film that are alternately repeatedly stacked. According to an embodiment, the first material layers 10 and the second material layers 20 have a high etching selectivity in a wet etching process using a chemical solution and a low etching rate in a dry etching process using an etching gas. Can have a selectivity ratio. In addition, the first material layers 10 and the second material layers 20 may have the same thickness, and the thicknesses of the first material layer 10 and the second material layer 20 may be different from each other.

제 1 및 제 2 물질막들(10, 20)은 열적 화학기상증착(Thermal CVD), 플라즈마 인핸스드(Plasma enhanced CVD), 물리적 화학기상증착(physical CVD) 또는 원자층 증착(Atomic Layer Deposition; ALD) 기술을 이용하여 증착될 수 있다. The first and second material films 10 and 20 may be formed by thermal CVD, plasma enhanced CVD, physical CVD, or atomic layer deposition (ALD). ) May be deposited using the technique.

일 실시예에서, 제 1 및 제 2 물질막들(10, 20)은 반응 가스에 플라즈마를 발생시켜 플라즈마 분위기에서 증착될 수 있다. 예를 들어, 제 1 및 제 2 물질막들(10, 20)은 리모트 플라즈마(Remote plasma CVD) 방식, 마이크로웨이브 플라즈마(Microwave plasma CVD)방식, ICP(inductively coupled plasma) 방식, 이중 주파수 CCP(dual frequency capacitively coupled plasma) 방식, 헬리콘파 플라즈마(helicon plasma CVD) 또는 고밀도 플라즈마(high density plasma) 방식의 플라즈마 증착 설비를 이용하여 수행될 수 있다.In one embodiment, the first and second material films 10 and 20 may be deposited in a plasma atmosphere by generating a plasma in the reaction gas. For example, the first and second material layers 10 and 20 may be remote plasma CVD, microwave plasma CVD, inductively coupled plasma, dual frequency CCP. The plasma deposition apparatus may be performed using a frequency capacitively coupled plasma method, a helicon plasma CVD, or a high density plasma method.

제 1 물질막들(10)은 실리콘막, 실리콘 산화막, 실리콘 카바이드, 실리콘 산질화막 및 실리콘 질화막 중의 적어도 하나일 수 있다. 제 2 물질막들(20)은 실리콘막, 실리콘 산화막, 실리콘 카바이드막, 실리콘 산질화막, 및 실리콘 질화막 중의 적어도 하나이되, 제 1 물질막(10)과 다른 물질일 수 있다. The first material layers 10 may be at least one of a silicon layer, a silicon oxide layer, silicon carbide, a silicon oxynitride layer, and a silicon nitride layer. The second material layers 20 may be at least one of a silicon film, a silicon oxide film, a silicon carbide film, a silicon oxynitride film, and a silicon nitride film, and may be made of a material different from that of the first material film 10.

제 1 물질막들(10)은 실리콘 질화막으로 형성될 수 있으며, 제 2 물질막들(20)은 실리콘 산화막으로 형성될 수 있다. 그리고, 제 2 물질막(20)을 구성하는 실리콘 산화막은 예를 들어, 고밀도플라즈마(HDP) 산화막, TEOS(TetraEthylOrthoSilicate), PE-TEOS(Plasma Enhanced TetraEthylOrthoSilicate), O3-TEOS(O3-Tetra Ethyl Ortho Silicate), USG(Undoped Silicate Glass), PSG(PhosphoSilicate Glass), BSG(Borosilicate Glass), BPSG(BoroPhosphoSilicate Glass), FSG(Fluoride Silicate Glass), SOG(Spin On Glass), TOSZ(Tonen SilaZene) 또는 이들의 조합을 사용할 수 있다.The first material layers 10 may be formed of a silicon nitride layer, and the second material layers 20 may be formed of a silicon oxide layer. The silicon oxide film constituting the second material film 20 may be, for example, a high density plasma (HDP) oxide film, TEE (TetraEthylOrthoSilicate), PE-TEOS (Plasma Enhanced TetraEthylOrthoSilicate), or O 3 -TEOS (O 3 -Tetra Ethyl). Ortho Silicate (USG), Undoped Silicate Glass (USG), PhosphoSilicate Glass (PSG), Borosilicate Glass (BSG), BoroPhosphoSilicate Glass (BPSG), Fluoride Silicate Glass (FSG), Spin On Glass (SOG), Tonen SilaZene (TOSZ) or these Can be used in combination.

박막 구조체에서, 제 1 물질막들(10)을 LP-CVD 또는 열적-CVD 방법으로 형성하는 경우, 기판(100)에 가해지는 인장 스트레스가 증가될 수 있다. 이에 따라 기판(100)의 휨(warpage) 현상이 심해지며, 제 1 및 제 2 물질막들(10, 20) 사이에서 균열(crack)이 발생될 수 있다. In the thin film structure, when the first material films 10 are formed by the LP-CVD or thermal-CVD method, the tensile stress applied to the substrate 100 may be increased. As a result, warpage of the substrate 100 may be severe, and cracks may occur between the first and second material layers 10 and 20.

또한, LP-CVD 방법을 이용하여 실리콘 질화막을 형성하는 경우, 배치식 타입(batch type)의 챔버 내에서 형성되기 때문에 기판(100)의 전면 및 후면에 실리콘 질화막이 증착될 수 있다. 이에 따라, 후속 공정을 진행하기 위해 기판(100)의 후면에 증착된 실리콘 질화막을 제거하는 공정이 요구된다. In addition, when the silicon nitride film is formed using the LP-CVD method, since the silicon nitride film is formed in a batch type chamber, the silicon nitride film may be deposited on the front and rear surfaces of the substrate 100. Accordingly, a process of removing the silicon nitride film deposited on the rear surface of the substrate 100 is required to proceed with the subsequent process.

한편, 일 실시예에 따르면, 제 1 및 제 2 물질막들(10, 20)은 플라즈마 강화 화학기상증착(PE-CVD) 기술을 이용하여 형성될 수 있다. 플라즈마 강화 화학기상증착(PE-CVD) 기술을 이용하여 제 1 및 제 2 물질막들(10, 20)을 형성하는 경우, 제 1 및 제 2 물질막들(10, 20)의 스트레스는 반응 물질의 조성 및/또는 두께에 따라 달라질 수 있다. 또한, 제 1 및 제 2 물질막들(10, 20)의 스트레스는 PE-CVD 공정에서의 증착 온도, RF 파워, 압력, 반응 가스 혼합율, 캐리어 가스의 농도 및 반응 타입 등의 증착 변수의 변화에 따라 달라질 수 있다. Meanwhile, according to an embodiment, the first and second material films 10 and 20 may be formed using plasma enhanced chemical vapor deposition (PE-CVD) technology. When the first and second material films 10 and 20 are formed by using plasma enhanced chemical vapor deposition (PE-CVD) technology, the stress of the first and second material films 10 and 20 may be reduced. It may vary depending on the composition and / or thickness of the. In addition, the stresses of the first and second material films 10 and 20 may be affected by changes in deposition parameters such as deposition temperature, RF power, pressure, reaction gas mixing rate, carrier gas concentration, and reaction type in the PE-CVD process. It may vary.

또한, 매엽식 타입(single type)의 챔버 내에서 PE-CVD 공정을 수행함으로써 배치식 타입(batch type)의 챔버 내에서 박막 구조체를 형성함에 따라 기판(100)의 후면에 형성되는 박막 구조체를 제거하는 공정을 생략할 수 있다. In addition, the thin film structure formed on the rear surface of the substrate 100 is removed by forming the thin film structure in a batch type chamber by performing a PE-CVD process in a single type chamber. The process of making it can be skipped.

일 실시예에 따르면, 제 1 물질막(10)인 실리콘 질화막은 인장 스트레스를 가질 수 있으며, 제 2 물질막(20)인 실리콘 산화막은 압축 스트레스를 가질 수 있다. 즉, 박막 구조체는 인장 스트레스막과 압축 스트레스막이 번갈아 반복적으로 적층되어 형성될 수 있다.According to an embodiment, the silicon nitride film, which is the first material film 10, may have a tensile stress, and the silicon oxide film, which is the second material film 20, may have a compressive stress. That is, the thin film structure may be formed by alternately stacking a tensile stress film and a compressive stress film.

일 실시예에 따르면, 실리콘 질화막 및 실리콘 산화막을 번갈아 반복적으로 적층하는 것은, PE-CVD 공정을 수행하는 밀폐된 챔버 내에서 인-시츄(in-situ)로 진행될 수 있다. 상세하게, 박막 구조체를 형성하는 것은, 실리콘 소오스 가스 및 질소 소오스 가스를 이용하여 실리콘 질화막을 형성하는 단계, 1차 퍼지 단계, 실리콘 소오스 가스 및 산소 소오스 가스를 이용하여 실리콘 산화막을 형성하는 단계 및 2차 퍼지 단계를 순서대로 반복하는 것을 포함한다. According to one embodiment, alternately stacking the silicon nitride film and the silicon oxide film alternately may proceed in-situ in a closed chamber that performs a PE-CVD process. In detail, forming the thin film structure may include forming a silicon nitride film using a silicon source gas and a nitrogen source gas, forming a silicon oxide film using a first purge step, a silicon source gas, and an oxygen source gas, and 2 Iteratively repeating the secondary purge steps.

보다 상세히 설명하면, PE-CVD 방법을 이용하여 실리콘 질화막을 형성할 때, 실리콘 질화막은 실리콘 소스 가스와 질소 소스 가스를 이용하여 증착될 수 있다. 여기서, 실리콘 소스 가스로는 SiH4, Si2H6 SiH3Cl, 및 SiH2Cl2으로 이루어진 그룹에서 선택된 적어도 어느 하나가 이용될 수 있으며, 질소 소스 가스로는 NH3 및/또는 N2가 이용될 수 있다. 또한, 캐리어 가스로서 N2 및/또는 He 가스가 이용될 수 있다.In more detail, when the silicon nitride film is formed using the PE-CVD method, the silicon nitride film may be deposited using a silicon source gas and a nitrogen source gas. Here, at least one selected from the group consisting of SiH 4 , Si 2 H 6 SiH 3 Cl, and SiH 2 Cl 2 may be used as the silicon source gas, and NH 3 and / or N 2 may be used as the nitrogen source gas. Can be. In addition, N 2 and / or He gas may be used as the carrier gas.

이와 같이 증착 공정에 의해 형성된 실리콘 질화막은 수소(hydrogen)를 함유(contain)할 수 있으며, Si-N 본딩, N-H 본딩, Si-H 본딩 및 Si-O 본딩을 포함할 수 있다. 그리고, 실리콘 질화막의 스트레스는 수소 함유량 및 N-H본딩/Si-H본딩의 비율에 따라 달라질 수 있다. 상세하게, N-H본딩/Si-H본딩의 비율이 감소할수록 인장 스트레스가 커지며, N-H본딩/Si-H본딩의 비율이 증가될수록 압축 스트레스가 증가될 수 있다. 나아가, 실리콘 질화막 내에서, 수소의 함유량 및 N-H본딩/Si-H본딩의 비율은 실리콘 질화막의 증착 온도에 따라 달라질 수 있다. 구체적으로, 실리콘 질화막 형성시 증착 온도가 증가함에 따라, 실리콘 질화막 내 수소의 함유량 및 N-H본딩/Si-H본딩의 비율이 감소될 수 있다.  The silicon nitride film formed by the deposition process as described above may contain hydrogen, and may include Si-N bonding, N-H bonding, Si-H bonding, and Si-O bonding. In addition, the stress of the silicon nitride film may vary depending on the hydrogen content and the ratio of N—H bonding / Si—H bonding. In detail, the tensile stress increases as the ratio of N-H bonding / Si-H bonding decreases, and the compressive stress may increase as the ratio of N-H bonding / Si-H bonding increases. Further, in the silicon nitride film, the content of hydrogen and the ratio of N-H bonding / Si-H bonding may vary depending on the deposition temperature of the silicon nitride film. Specifically, as the deposition temperature increases when the silicon nitride film is formed, the content of hydrogen and the ratio of N—H bonding / Si—H bonding in the silicon nitride film may be reduced.

PE-CVD 방법을 이용하여 실리콘 산화막을 형성할 때, 실리콘 산화막은 실리콘 소스 가스와 산소 소스 가스를 이용하여 증착될 수 있다. 여기서, 실리콘 소스 가스로는 SiH4, Si2H6 SiH3Cl, SiH2Cl2 및 TEOS으로 이루어진 그룹에서 선택된 적어도 어느 하나가 이용될 수 있으며, 산소 소스 가스로는 N2O, O2 및/또는 O3(ozone)가 이용될 수 있다. 이와 같은 증착 공정에 의해 형성된 실리콘 산화막은 Si-O 및 Si-OH 본딩을 포함할 수 있으며, Si-OH 본딩이 감소할수록 압축 스트레스가 증가될 수 있다.When forming a silicon oxide film using the PE-CVD method, the silicon oxide film may be deposited using a silicon source gas and an oxygen source gas. Here, at least one selected from the group consisting of SiH 4 , Si 2 H 6 SiH 3 Cl, SiH 2 Cl 2, and TEOS may be used as the silicon source gas, and N 2 O, O 2 may be used as the oxygen source gas. And / or O 3 (ozone) may be used. The silicon oxide film formed by such a deposition process may include Si-O and Si-OH bonding, and compressive stress may increase as the Si-OH bonding decreases.

일 실시예에 따르면, 실리콘 질화막 약 250℃ 내지 650℃의 증착 온도에서 형성될 수 있다. 또한, 실리콘 질화막은 밀폐된 챔버 내에 SiH4 가스를 약 10~100sccm, NH3 가스를 약 10~100sccm, N2 가스를 약 1~5slm으로 제공하고, 약 50~10,000W로 RF 파워(RF power)를 제공하여 형성될 수 있다. 이와 같이 형성된 실리콘 질화막은 약 1 내지 5의 N-H본딩/Si-H본딩의 비율을 가질 수 있으며, 약 0.1~10×109 dyne/㎠의 스트레스를 가질 수 있다. According to one embodiment, the silicon nitride film may be formed at a deposition temperature of about 250 ℃ to 650 ℃. In addition, the silicon nitride film provides about 10 to 100 sccm of SiH 4 gas, about 10 to 100 sccm of NH 3 gas, and about 1 to 5 slm of N 2 gas, and about 50 to 10,000 W in an airtight chamber. Can be formed. The silicon nitride film thus formed may have a ratio of NH bonding / Si—H bonding of about 1 to 5, and may have a stress of about 0.1 to 10 × 10 9 dyne / cm 2.

일 실시예에 따르면, 실리콘 산화막은 약 250℃ 내지 650℃의 증착 온도에서 형성될 수 있으며, 밀폐된 챔버 내에 SiH4 가스를 약 10~100sccm, N2O 가스 또는 O2 가스를 약 10~100sccm 제공하고, 약 50~10,000W로 RF 파워(RF power)를 제공하여 형성될 수 있다. 이와 같이 형성된 실리콘 산화막은 약 -0.1~-10×109 dyne/㎠의 스트레스를 가질 수 있다. According to an embodiment, the silicon oxide film may be formed at a deposition temperature of about 250 ° C. to 650 ° C., and the SiH 4 gas is about 10-100 sccm, the N 2 O gas, or the O 2 gas is about 10-100 sccm in a sealed chamber. It may be formed by providing an RF power to about 50 ~ 10,000W. The silicon oxide film formed as described above may have a stress of about −0.1 to −10 × 10 9 dyne / cm 2.

이와 같이, 인장 스트레스막 및 압축 스트레스막들이 번갈아 반복적으로 적층하여 박막 구조체를 형성함으로써, 박막 구조체에 의해 기판(100)에 가해지는 스트레스가 조절될 수 있다. 즉, 도 4에 도시된 실시예에서, 기판(100)에 가해지는 스트레스는, 제 1 물질막들(10)의 인장 스트레스와, 제 2 물질막들(20)의 압축 스트레스에 의해 상쇄될 수 있다. 이에 따라, 박막 구조체에 의해 기판(100)에 가해지는 스트레스가 감소되어 도 3에 도시된 것처럼 기판(100)이 휘어지는 것을 억제할 수 있다. 그리고, 일 실시예에 따르면, 기판(100) 상에 박막 구조체를 형성한 후, 기판(100)의 휨 정도(즉, 기판(100)의 중심과 기판(100)의 가장자리 사이에서의 높이차(warpage height, 도 3의 WH)는 약 ±200㎛이하로 감소될 수 있다. As such, the tensile stress film and the compressive stress film may be alternately repeatedly stacked to form a thin film structure, thereby controlling the stress applied to the substrate 100 by the thin film structure. That is, in the embodiment shown in FIG. 4, the stress applied to the substrate 100 may be canceled by the tensile stress of the first material films 10 and the compressive stress of the second material films 20. have. Accordingly, the stress applied to the substrate 100 by the thin film structure is reduced, and thus the bending of the substrate 100 can be suppressed as shown in FIG. 3. According to one embodiment, after the thin film structure is formed on the substrate 100, the degree of warpage of the substrate 100 (that is, the height difference between the center of the substrate 100 and the edge of the substrate 100) warpage height (WH) of FIG. 3 may be reduced to about ± 200 μm or less.

한편, 다른 실시예에 따르면, 실리콘 질화막으로 이루어진 제 1 물질막(10)이 압축 스트레스를 가질 수 있으며, 실리콘 산화막으로 이루어진 제 2 물질막(20)이 인장 스트레스를 가질 수도 있다. 예를 들어, PE-CVD 기술을 이용하여 실리콘 질화막을 형성할 때, PE-CVD 공정에서의 온도, RF 파워, 압력, 반응 가스 혼합율 및 반응 타입 등의 증착 변수의 변화시켜, N-H본딩/Si-H 본딩의 비율이 약 5 내지 20인 실리콘 질화막을 형성할 수 있다. 이와 같이 본딩 비율이 증가됨에 따라, 실리콘 질화막은 압축 스트레스를 가질 수 있다.Meanwhile, according to another embodiment, the first material film 10 made of the silicon nitride film may have a compressive stress, and the second material film 20 made of the silicon oxide film may have a tensile stress. For example, when forming a silicon nitride film using PE-CVD technology, NH bonding / Si- is changed by changing deposition parameters such as temperature, RF power, pressure, reaction gas mixing rate and reaction type in the PE-CVD process. A silicon nitride film having a ratio of H bonding of about 5 to 20 can be formed. As the bonding ratio is increased, the silicon nitride film may have a compressive stress.

한편, 또 다른 실시예에서, 실리콘 질화막의 인장 스트레스를 감소시키기 위해, 수소 처리 공정을 실시하여 실리콘 질화막 내의 수소 함유량을 증가시킬 수 있다. 이와 반대로, 실리콘 질화막에 의해 기판(100)에 가해지는 인장 스트레스를 증가시키기 위해, 질소라디칼을 이용한 플라즈마 처리 공정이 수행될 수 있다. 이에 따라, 실리콘 질화막 내에서 Si-N 본딩이 치밀해질 수 있다. Meanwhile, in another embodiment, in order to reduce the tensile stress of the silicon nitride film, a hydrogenation process may be performed to increase the hydrogen content in the silicon nitride film. On the contrary, in order to increase the tensile stress applied to the substrate 100 by the silicon nitride film, a plasma treatment process using nitrogen radicals may be performed. Accordingly, Si-N bonding can be denser in the silicon nitride film.

또한, 일 실시예에서, 제 2 물질막(20)으로서 압축 스트레스 갖는 실리콘 산화막을 형성한 후, 탈수소화 공정을 수행하여 실리콘 산화막의 압축 스트레스를 감소시킬 수 있다. 탈수소화 공정은 예를 들어, 탈수소화 가스 분위기에서 플라즈마 처리, UV 처리 또는 열처리하는 것을 포함하며, 탈수소화 가스로는 N2, O2, O3, N2O 또는 이들의 조합을 사용할 수 있다. 이와 같이, 실리콘 산화막에 대해 탈수소화 공정을 수행하면, 실리콘 산화막 내의 Si-OH 본딩이 감소되어 수소 이온이 줄어들 수 있으며, 탈수소화된 실리콘 산화막 내에 형성되는 보이드에 의해 인장 스트레스가 증가될 수 있다. In addition, in one embodiment, after forming the silicon oxide film having a compressive stress as the second material layer 20, a dehydrogenation process may be performed to reduce the compressive stress of the silicon oxide film. The dehydrogenation process includes, for example, plasma treatment, UV treatment or heat treatment in a dehydrogenation gas atmosphere, and N 2 , O 2 , O 3 , N 2 O or a combination thereof may be used as the dehydrogenation gas. As such, when the dehydrogenation process is performed on the silicon oxide film, Si-OH bonding in the silicon oxide film may be reduced to reduce hydrogen ions, and tensile stress may be increased by voids formed in the dehydrogenated silicon oxide film.

또 다른 실시예에 따르면, 제 1 및 제 2 물질막들(10, 20)이 번갈아 반복적으로 적층된 박막 구조체를 형성하되, 제 1 물질막들(10)은 압축 스트레스를 갖는 실리콘 질화막으로 형성되고, 제 2 물질막들(20)은 PSG(PhosphoSilicate Glass), BSG(Borosilicate Glass), BPSG(BoroPhosphoSilicate Glass)와 같은 실리콘 산화막으로 형성될 수 있다. 여기서, 압축 스트레스를 갖는 실리콘 질화막에 Ge이온과 같은 불순물을 주입하거나, UV 처리 공정이 수행될 수 있다. 이에 따라, 실리콘 질화막의 압축 스트레스가 완화될 수 있다. 이에 따라, 압축 스트레스를 갖는 실리콘 질화막을 형성한 후, 압축 스트레스를 완화시키는 공정들을 수행합으로써, 제 1 및 제 2 물질막들이 번갈아서 반복적으로 형성된 박막 구조체의 스트레스를 완화시킬 수 있다. According to another embodiment, a thin film structure in which the first and second material films 10 and 20 are alternately stacked repeatedly is formed, and the first material films 10 are formed of a silicon nitride film having a compressive stress. The second material layers 20 may be formed of a silicon oxide layer such as PSG (PhosphoSilicate Glass), BSG (Borosilicate Glass), or BPSG (BoroPhosphoSilicate Glass). Here, an impurity such as Ge ions may be injected into the silicon nitride film having a compressive stress, or a UV treatment process may be performed. Accordingly, the compressive stress of the silicon nitride film can be alleviated. Accordingly, after the silicon nitride film having the compressive stress is formed, the stresses of the thin film structure in which the first and second material layers are repeatedly formed alternately may be alleviated by performing processes to alleviate the compressive stress.

도 5에 도시된 실시예에 따르면, 제 1 물질막들(10a, 10b)과 제 2 물질막들(110)이 번갈아 반복적으로 적층된 박막 구조체를 형성하되, 제 1 물질막들(10a, 10b)은 인장 또는 압축 스트레스를 가질 수 있다. 예를 들어, 제 1 물질막들(10a, 10b)은 기판(100) 상에 제 2 물질막(110)을 개재하여 적층되되, 이 때, 제 1 물질막들(10a, 10b)은 인장 스트레스를 갖는 실리콘 질화막과, 압축 스트레스를 갖는 실리콘 질화막이 번갈아 적층될 수 있다. 그리고, 제 2 물질막들(110)은 PSG(PhosphoSilicate Glass), BSG(Borosilicate Glass), BPSG(BoroPhosphoSilicate Glass)와 같은 실리콘 산화막으로 형성될 수 있다. 즉, 인장 스트레스를 갖는 실리콘 질화막, 실리콘 산화막, 압축 스트레스를 갖는 실리콘 질화막, 및 실리콘 산화막이 기판(100) 상에 순차적으로 그리고 반복적으로 형성될 수 있다. 이에 따라, 복수의 제 1 및 제 2 물질막들(10a, 10b, 110)로 구성된 박막 구조체에 의해 기판(100)에 가해지는 스트레스를 완화시킬 수 있다. According to the embodiment illustrated in FIG. 5, a thin film structure in which the first material films 10a and 10b and the second material films 110 are alternately stacked is formed, and the first material films 10a and 10b are formed. ) May have tensile or compressive stress. For example, the first material films 10a and 10b are stacked on the substrate 100 via the second material film 110, wherein the first material films 10a and 10b are tensile stressed. The silicon nitride film having and the silicon nitride film having a compressive stress may be alternately stacked. The second material layers 110 may be formed of a silicon oxide layer such as PSG (PhosphoSilicate Glass), BSG (Borosilicate Glass), or BPSG (BoroPhosphoSilicate Glass). That is, a silicon nitride film having a tensile stress, a silicon oxide film, a silicon nitride film having a compressive stress, and a silicon oxide film may be sequentially and repeatedly formed on the substrate 100. Accordingly, the stress applied to the substrate 100 may be alleviated by the thin film structure including the plurality of first and second material layers 10a, 10b, and 110.

도 6에 도시된 실시예에 따르면, 제 1 및 제 2 물질막들(10a, 10b, 110)이 번갈아 반복적으로 적층된 박막 구조체를 형성하되, 제 1 물질막들(10a, 10b)은 인장 또는 압축 스트레스가질 수 있다. 여기서, 박막 구조체의 하부를 구성하는 제 1 물질막들(10a, 10b)은 기판(100)에 인장 스트레스를 가질 수 있으며, 박막 구조체의 상부를 구성하는 제 1 물질막들(10a, 10b)은 기판(100)에 압축 스트레스를 가질 수 있다. 이를 위해, 제 1 물질막들(10a, 10b)이 PE-CVD 기술을 이용하여 형성된 실리콘 질화막으로 형성될 수 있으며, 박막 구조체의 하부를 구성하는 제 1 물질막들(10a, 10b)은 N-H본딩/Si-H본딩의 비율이 약 1~5일 수 있으며, 박막 구조체의 상부를 구성하는 제 1 물질막들(10a, 10b)은 N-H본딩/Si-H본딩의 비율이 약 5~110일 수 있다. 나아가, 박막 구조체의 하부를 구성하는 제 1 물질막들(10a, 10b)은 제 1 증착 온도에서 형성될 수 있으며, 박막 구조체의 상부를 구성하는 제 1 물질막들(10a, 10b)은 제 1 증착 온도보다 낮은 제 2 증착 온도에서 형성될 수 있다. According to the embodiment shown in FIG. 6, a thin film structure in which the first and second material films 10a, 10b and 110 are alternately stacked is formed, and the first material films 10a and 10b are tensile or It can be a compressive stress. Here, the first material layers 10a and 10b constituting the lower portion of the thin film structure may have a tensile stress on the substrate 100, and the first material layers 10a and 10b constituting the upper portion of the thin film structure may be The substrate 100 may have a compressive stress. To this end, the first material films 10a and 10b may be formed of a silicon nitride film formed using a PE-CVD technique, and the first material films 10a and 10b constituting the lower part of the thin film structure may be NH bonded. The ratio of / Si-H bonding may be about 1 to 5, and the ratio of NH bonding / Si-H bonding may be about 5 to 110 in the first material layers 10a and 10b constituting the upper portion of the thin film structure. have. Furthermore, the first material films 10a and 10b constituting the lower part of the thin film structure may be formed at a first deposition temperature, and the first material films 10a and 10b constituting the upper part of the thin film structure may be formed of a first material. It may be formed at a second deposition temperature lower than the deposition temperature.

본 발명의 실시예들에서처럼, 제 1 및 제 2 물질막들(10, 20)을 반복적으로 적층하는 동안, 기판(100)의 휨 정도에 따라 증착 조건이 변화될 수 있다. 예를 들어, PE-CVD 기술을 이용하여 제 1 및 제 2 물질막들(10, 20)을 증착할 때, 온도, RF 파워, 압력, 반응 가스 혼합율 및 반응 타입 등의 증착 변수가 조절될 수 있다. 이하, 도 7 내지 도 14를 참조하여, 본 발명 실시예들에 따른 박막 구조체의 형성 방법을 이용한 3차원 반도체 메모리 장치의 제조 방법을 설명한다.As in the embodiments of the present invention, while repeatedly stacking the first and second material layers 10 and 20, deposition conditions may be changed according to the degree of warpage of the substrate 100. For example, when depositing the first and second material films 10 and 20 using PE-CVD technology, deposition parameters such as temperature, RF power, pressure, reactant gas mixing rate and reaction type may be adjusted. have. Hereinafter, a method of manufacturing a 3D semiconductor memory device using the method of forming the thin film structure according to the exemplary embodiments of the present invention will be described with reference to FIGS. 7 to 14.

도 7 내지 도 14는 본 발명의 일 실시예에 따른 3차원 반도체 메모리 장치의 제조 방법 나타내는 사시도들이다. 7 to 14 are perspective views illustrating a method of manufacturing a 3D semiconductor memory device according to an embodiment of the present invention.

도 7을 참조하면, 기판(100) 상에 희생막들(SC1~SC8) 및 절연막들(111~118)이 번갈아 적층된 박막 구조체(ST)를 형성한다.Referring to FIG. 7, the sacrificial layers SC1 ˜ SC8 and the insulating layers 111 ˜ 118 are alternately stacked on the substrate 100.

기판(100)은 반도체 특성을 갖는 물질(예를 들면, 실리콘 웨이퍼, 실리콘막, 게르마늄막, 실리콘 게르마늄막), 절연성 물질(예를 들면, 절연막(산화물, 질화물 등), 유리) 및 절연성 물질에 의해 덮인 반도체 중의 하나일 수 있다. The substrate 100 may be formed of a material having semiconductor characteristics (for example, a silicon wafer, a silicon film, a germanium film, a silicon germanium film), an insulating material (for example, an insulating film (oxide, nitride, etc.), glass), and an insulating material. It may be one of the semiconductors covered by.

희생막들(SC1~SC8) 및 절연막들(111~118)은, 도시된 것처럼, 교대로 그리고 반복적으로 적층될 수 있다. 희생막들(SC1~SC8) 및 절연막들(111~118)은 식각 선택성을 가질 수 있도록 선택된 물질들로 형성될 수 있다. 예를 들어, 절연막들(111~118)은 실리콘막, 실리콘 산화막, 실리콘 카바이드 및 실리콘 질화막 중의 적어도 하나일 수 있으며, 희생막들(SC1~SC8)은 실리콘막, 실리콘 산화막, 실리콘 카바이드 및 실리콘 질화막 중에서 선택되는 절연막과 다른 물질일 수 있다. 이 실시예에 따르면, 희생막들(Sc1~SC8)은 도 3 내지 도 6을 참조하여 설명된 제 1 물질막일 수 있으며, 절연막들(111~118)은 제 2 물질막일 수 있다. 또한, 이 실시예에서, 희생막들(SC1~SC8) 및 절연막들(111~118)은, 적어도 2n(n은 2 이상의 정수)층 이상 적층될 수 있다. The sacrificial layers SC1 to SC8 and the insulating layers 111 to 118 may be alternately and repeatedly stacked as illustrated. The sacrificial layers SC1 to SC8 and the insulating layers 111 to 118 may be formed of materials selected to have an etch selectivity. For example, the insulating layers 111 to 118 may be at least one of a silicon film, a silicon oxide film, a silicon carbide, and a silicon nitride film, and the sacrificial films SC1 to SC8 may be a silicon film, a silicon oxide film, a silicon carbide film, and a silicon nitride film. It may be a material different from the insulating film selected from among. According to this embodiment, the sacrificial layers Sc1 to SC8 may be the first material layer described with reference to FIGS. 3 to 6, and the insulating layers 111 to 118 may be second material layers. Further, in this embodiment, the sacrificial films SC1 to SC8 and the insulating films 111 to 118 may be stacked at least 2n (n is an integer of 2 or more).

일 실시예에 따르면, 희생막들(SC1~SC8)은 서로 동일한 두께로 형성될 수 있다. 이와 달리, 희생막들(SC1~SC8) 중 최하층의 상부 희생막(SC1)과 최상층의 상부 희생막(SC8)은 그것들 사이에 위치한 희생막들(SC2~SC7)에 비해 두껍게 형성될 수 있다. 이 경우에, 최하층 및 최상층의 희생막들 (SC1, SC8) 사이의 희생막들(SC2~SC7)은 서로 동일한 두께로 형성될 수 있다. In example embodiments, the sacrificial layers SC1 ˜ SC8 may have the same thickness. In contrast, the upper sacrificial layer SC1 at the lowermost layer and the upper sacrificial layer SC8 at the uppermost layer of the sacrificial layers SC1 to SC8 may be formed thicker than the sacrificial layers SC2 to SC7 disposed therebetween. In this case, the sacrificial layers SC2 to SC7 between the lowermost and uppermost sacrificial layers SC1 and SC8 may have the same thickness.

일 실시예에 따르면, 절연막들(111~118) 중에서 최상부의 절연막(118)은 그 아래의 절연막들(111~117)에 비하여 두껍게 형성될 수 있다. 그리고 최상부의 절연막(118) 아래의 절연막들(111~117)은 서로 동일한 두께로 형성될 수 있다. 또한, 절연막들(111~118) 중에서 소정 층에 형성되는 절연막들(112, 116)은, 도면에 도시된 것처럼, 다른 절연막들(111, 113, 114, 115, 117) 보다 두껍게 형성될 수 있다.According to an embodiment, the uppermost insulating layer 118 of the insulating layers 111 to 118 may be thicker than the insulating layers 111 to 117 below. In addition, the insulating layers 111 to 117 below the upper insulating layer 118 may have the same thickness. In addition, the insulating layers 112 and 116 formed on a predetermined layer among the insulating layers 111 to 118 may be formed thicker than the other insulating layers 111, 113, 114, 115, and 117, as shown in the drawing. .

또한, 최하층의 희생막(SC1)과 기판(100) 사이에는 버퍼 절연막(101)이 형성될 수 있다. 버퍼 절연막(101)은 다른 절연막들(111~118)보다 얇께 형성될 수 있으며, 열산화 공정을 통해 형성되는 실리콘 산화막일 수 있다.In addition, a buffer insulating layer 101 may be formed between the lowermost sacrificial layer SC1 and the substrate 100. The buffer insulating film 101 may be formed thinner than the other insulating films 111 ˜ 118 and may be a silicon oxide film formed through a thermal oxidation process.

도 8을 참조하면, 박막 구조체(ST)를 패터닝하여 기판(100)을 노출시키는 개구부들(131)을 형성한다. Referring to FIG. 8, the thin film structure ST is patterned to form openings 131 exposing the substrate 100.

구체적으로, 개구부들(131)을 형성하는 단계는, 박막 구조체(ST) 상에 개구부들(131)의 평면적 위치를 정의하는 마스크 패턴(미도시)을 형성하는 단계와, 마스크 패턴을 식각 마스크로 사용하여 박막 구조체(ST)를 이방성 식각하는 단계를 포함할 수 있다. Specifically, the forming of the openings 131 may include forming a mask pattern (not shown) defining a planar position of the openings 131 on the thin film structure ST, and using the mask pattern as an etching mask. And anisotropically etching the thin film structure ST.

개구부들(131)은 희생막들(SC1~SC8) 및 절연막들(111~118)의 측벽들을 노출시키도록 형성될 수 있다. 또한, 일 실시예에 따르면, 개구부들(131)은 버퍼 절연막(101)을 관통하여 기판(100)의 상부면을 노출시키도록 형성될 수 있다. 또한, 개구부들(131)을 형성하는 동안 오버 식각(over etch)에 의해 개구부(131)에 노출되는 기판(100)의 상부면이 소정 깊이 리세스될 수도 있다. 그리고, 개구부(131)는 이방성 식각 공정에 의해 기판(100)으로부터의 거리에 따라 다른 폭을 가질 수 있다.The openings 131 may be formed to expose sidewalls of the sacrificial layers SC1 to SC8 and the insulating layers 111 to 118. In addition, according to an embodiment, the openings 131 may be formed to penetrate the buffer insulating film 101 to expose the top surface of the substrate 100. In addition, an upper surface of the substrate 100 exposed to the opening 131 by over etching may be recessed to a predetermined depth while the openings 131 are formed. The openings 131 may have different widths according to distances from the substrate 100 by an anisotropic etching process.

일 실시예에 따르면, 개구부들(131) 각각은 도 2에 도시된 바와 같이, 원통형 또는 직육면체의 홀 형태로 형성될 수 있으며, xy 평면 상에 2차원적으로 그리고 규칙적으로 형성될 수 있다. 즉, 개구부들(131)을 x축 및 y축 각각에서 서로 이격되어 배치된다. 다른 실시예에 따르면, 수평적 모양에 있어서, 개구부들(131)은 y축 방향으로 연장된 라인 형태의 트렌치일 수도 있다. 라인 형태의 개구부들(131)은 서로 평행하게 형성될 수 있다. 또 다른 실시예에 따르면, 개구부들(131)은 도 17에 도시된 것처럼, y축 방향으로 지그재그(zig zag) 배치될 수도 있다. 그리고, 일 방향으로 인접한 개구부들(131) 간의 이격거리는 개구부의 폭보다 작거나 같을 수 있다. 이와 같이, 개구부들(131)이 지그재그 형태로 배치될 경우, 일정한 면적 내에 보다 많은 수의 개구부들(131)이 배치될 수 있다. According to one embodiment, each of the openings 131 may be formed in the shape of a cylindrical or cuboid hole, as shown in FIG. 2, and may be formed two-dimensionally and regularly on the xy plane. That is, the openings 131 are spaced apart from each other on the x and y axes, respectively. According to another embodiment, in the horizontal shape, the openings 131 may be trenches in the form of lines extending in the y-axis direction. The openings 131 having a line shape may be formed to be parallel to each other. According to another embodiment, the openings 131 may be arranged in a zig zag in the y-axis direction, as shown in FIG. 17. In addition, the separation distance between the openings 131 adjacent in one direction may be less than or equal to the width of the opening. As such, when the openings 131 are arranged in a zigzag shape, a larger number of openings 131 may be disposed within a predetermined area.

도 9를 참조하면, 개구부들(131) 내에 반도체 패턴(132)을 형성한다. 9, a semiconductor pattern 132 is formed in the openings 131.

상세하게, 반도체 패턴(132)은 개구부 내에 형성되어 기판(100)과 직접 접촉될 수 있으며, 기판(100)에 대해 실질적으로 수직할 수 있다. 반도체 패턴(132)은 예를 들어, 실리콘(Si), 게르마늄(Ge) 또는 이들의 혼합물을 포함할 수 있으며, 반도체 패턴(132)은 불순물이 도핑된 반도체일 수 있으며, 또는, 도핑되지 않은 상태의 진성 반도체(intrinsic semiconductor)일 수도 있다. 또한, 수평 반도체막은 단결정, 비정질(amorphous), 및 다결정(polycrystalline) 중에서 선택된 적어도 어느 하나를 포함하는 결정 구조를 가질 수 있다. In detail, the semiconductor pattern 132 may be formed in the opening to be in direct contact with the substrate 100 and may be substantially perpendicular to the substrate 100. The semiconductor pattern 132 may include, for example, silicon (Si), germanium (Ge), or a mixture thereof, and the semiconductor pattern 132 may be a semiconductor doped with impurities, or may be in an undoped state. May be an intrinsic semiconductor. In addition, the horizontal semiconductor film may have a crystal structure including at least one selected from single crystal, amorphous, and polycrystalline.

반도체 패턴(132)은 화학기상증착 기술 또는 원자층 증착 기술을 사용하여 개구부들(131) 내에 형성될 수 있다. 그리고, 증착 기술을 이용하여 반도체 패턴(132)을 형성하는 경우, 반도체 패턴(132)과 기판(100) 사이에는 결정구조 차이로 인한 불연속적인 경계면이 형성될 수도 있다. 또한, 일 실시예에 따르면, 반도체 패턴(132)은 비정질실리콘 또는 다결정실리콘을 증착한 후에 레이저 어닐링과 같은 열처리 공정을 통해 비정질실리콘 또는 다결정실리콘을 상전이시킴으로써 단결정 실리콘으로 형성될 수도 있다. 또한, 다른 실시예에 따르면, 개구부들(131)에 의해 노출된 기판(100)을 씨드층(seed layer)으로 이용하는 에피택시얼 공정을 수행하여, 개구부들(131) 내에 반도체 패턴(132)을 형성할 수도 있다. The semiconductor pattern 132 may be formed in the openings 131 using chemical vapor deposition or atomic layer deposition. In addition, when the semiconductor pattern 132 is formed using a deposition technique, a discontinuous interface due to a difference in crystal structure may be formed between the semiconductor pattern 132 and the substrate 100. In addition, according to an exemplary embodiment, the semiconductor pattern 132 may be formed of single crystal silicon by depositing amorphous silicon or polycrystalline silicon and then phase-transforming the amorphous silicon or polycrystalline silicon through a heat treatment process such as laser annealing. In addition, according to another exemplary embodiment, the semiconductor pattern 132 may be formed in the openings 131 by performing an epitaxial process using the substrate 100 exposed by the openings 131 as a seed layer. It may be formed.

또한, 반도체 패턴(132)은 개구부(131)의 폭의 절반 이하의 두께로 증착될 수 있다. 이러한 경우, 반도체 패턴(132)은 개구부(131)의 일부를 채우고 개구부의 중심 부분에 빈 영역을 정의할 수 있다. 또한, 반도체 패턴(132)의 두께(즉, 쉘의 두께)는 반도체 메모리 장치의 동작시 반도체막에 생성될 공핍 영역의 폭보다 얇거나 다결정 실리콘을 구성하는 실리콘 그레인들의 평균 길이보다 작을 수 있다. 즉, 반도체 패턴(132)은 개구부들(131) 내에 파이프 형태(pipe-shaped), 중공의 실린더 형태(hollow cylindrical shape), 또는 컵(cup) 모양으로 형성될 수 있다. 그리고, 반도체 패턴(132)에 의해 정의되는 빈 영역 내에는 매립 절연 패턴(134)이 채워질 수 있다. 매립 절연 패턴(134)은 갭필 특성이 우수한 절연물질로 형성될 수 있다. 예를 들어, 매립 절연 패턴(134)은 고밀도 플라즈마 산화막, SOG막(Spin On Glass layer) 및/또는 CVD 산화막등으로 형성될 수 있다. In addition, the semiconductor pattern 132 may be deposited to a thickness less than half the width of the opening 131. In this case, the semiconductor pattern 132 may fill a portion of the opening 131 and define an empty area in the center portion of the opening. In addition, the thickness of the semiconductor pattern 132 (that is, the thickness of the shell) may be thinner than the width of the depletion region to be generated in the semiconductor film during operation of the semiconductor memory device or smaller than the average length of the silicon grains constituting the polycrystalline silicon. That is, the semiconductor pattern 132 may be formed in a pipe-shaped, hollow cylindrical shape, or cup shape in the openings 131. The buried insulating pattern 134 may be filled in the empty area defined by the semiconductor pattern 132. The buried insulating pattern 134 may be formed of an insulating material having excellent gap fill characteristics. For example, the buried insulation pattern 134 may be formed of a high density plasma oxide film, a spin on glass layer, and / or a CVD oxide film.

또한, 반도체 패턴(132)은 증착 공정에 의해 원통형의 개구부(131) 내에 완전히 채워져 원기둥 형태를 가질 수도 있다. 이러한 경우, 반도체 패턴(132)을 증착한 후에 반도체 패턴(132)에 대한 평탄화 공정이 수행될 수 있다. In addition, the semiconductor pattern 132 may be completely filled in the cylindrical opening 131 by a deposition process to have a cylindrical shape. In this case, after the semiconductor pattern 132 is deposited, the planarization process for the semiconductor pattern 132 may be performed.

한편, 개구부들(131)이 라인 형태로 형성된 경우, 도 16에 도시된 바와 같이, 개구부(131) 내에는 반도체 패턴들(132)이 그것들 사이에 절연 패턴들(111~118)을 개재하여 형성될 수 있다. 이와 같이 반도체 패턴들(132)을 형성하는 것은, 개구부들(131) 내에 차례로 반도체막 및 매립 절연막을 형성하고, 반도체막 및 매립 절연막을 패터닝하여 개구부(131) 내에 직사각형태의 평면을 갖는 반도체 패턴(132)을 형성할 수 있다. 그리고, 반도체 패턴(132)은 U자 형태의 모양을 가질 수 있다. Meanwhile, when the openings 131 are formed in a line shape, as illustrated in FIG. 16, semiconductor patterns 132 are formed in the openings 131 with insulating patterns 111 ˜ 118 therebetween. Can be. As described above, the semiconductor patterns 132 may be formed by sequentially forming a semiconductor film and a buried insulating film in the openings 131, and patterning the semiconductor film and the buried insulating film to have a rectangular plane in the opening 131. 132 may be formed. The semiconductor pattern 132 may have a U-shaped shape.

도 10을 참조하면, 반도체 패턴들(132)을 형성한 후에, 인접하는 반도체 패턴들132) 사이에 기판(100)을 노출시키는 트렌치들(140)을 형성한다. Referring to FIG. 10, after the semiconductor patterns 132 are formed, the trenches 140 exposing the substrate 100 are formed between the adjacent semiconductor patterns 132.

구체적으로, 트렌치들(140)을 형성하는 단계는, 박막 구조체(ST) 상에 트렌치들(140)의 평면적 위치를 정의하는 마스크 패턴(미도시)을 형성하는 단계와, 마스크 패턴을 식각 마스크로 사용하여 박막 구조체(ST)를 이방성 식각하는 단계를 포함할 수 있다. Specifically, forming the trenches 140 may include forming a mask pattern (not shown) defining a planar position of the trenches 140 on the thin film structure ST, and using the mask pattern as an etch mask. And anisotropically etching the thin film structure ST.

트렌치(140)는 반도체 패턴들(132)로부터 이격되어, 희생막들(SC1~SC8) 및 절연막들(111~118)의 측벽들을 노출시키도록 형성될 수 있다. 수평적 모양에 있어서, 트렌치(140)는 라인 형태 또는 직사각형으로 형성될 수 있으며, 수직적 깊이에 있어서, 트렌치(140)는 기판(100)의 상부면을 노출시키도록 형성될 수 있다.또한, 트렌치(140)는 이방성 식각 공정에 의해 기판(100)으로부터의 거리에 따라 다른 폭을 가질 수 있다. 또한, 트렌치들(140)을 형성하는 동안 오버 식각(over etch)에 의해 트렌치(140)에 노출되는 기판(100)의 상부면이 소정 깊이 리세스될 수 있다. The trench 140 may be spaced apart from the semiconductor patterns 132 to expose sidewalls of the sacrificial layers SC1 to SC8 and the insulating layers 111 to 118. In the horizontal shape, the trench 140 may be formed in a line shape or a rectangle, and in the vertical depth, the trench 140 may be formed to expose the top surface of the substrate 100. 140 may have a different width depending on the distance from the substrate 100 by an anisotropic etching process. In addition, an upper surface of the substrate 100 exposed to the trench 140 by over etching may be recessed to a predetermined depth while the trenches 140 are formed.

트렌치들(140)을 형성함에 따라, 박막 구조체는 y축 방향을로 연장된 라인 형태를 가질 수 있다. 그리고, 하나의 라인 형태의 박막 구조체에는 y축 방향으로 배열된 복수의 반도체 패턴들(132)이 관통할 수 있다. 이와 같이, 트렌치들(140)에 의해 라인 형태를 갖는 박막 구조체는 반도체 패턴(132)과 인접한 내측벽과, 트렌치(140)에 노출된 외측벽을 가질 수 있다. 즉, 교대로 그리고 반복적으로 적층된 희생 패턴들(SC1~SC8) 및 절연 패턴들(111~118)이 기판(100) 상에 형성될 수 있다.As the trenches 140 are formed, the thin film structure may have a line shape extending in the y-axis direction. In addition, the plurality of semiconductor patterns 132 arranged in the y-axis direction may pass through the thin film structure having one line shape. As described above, the thin film structure having a line shape by the trenches 140 may have an inner wall adjacent to the semiconductor pattern 132 and an outer wall exposed to the trench 140. That is, sacrificial patterns SC1 to SC8 and insulating patterns 111 to 118 that are alternately and repeatedly stacked may be formed on the substrate 100.

한편, 일 실시예에 따르면, 트렌치들(140)을 형성한 후에, 기판(100) 내에 불순물 영역(105)이 형성될 수 있다. 불순물 영역(105)은 트렌치(140)가 형성된 박막 구조체를 이온 마스크로 사용하는 이온 주입 공정을 통해 형성될 수 있다. 그리고, 불순물 영역(105)은 불순물의 확산에 의해 박막 구조체의 하부 영역의 일부분과 중첩될 수 있다. 또한, 불순물 영역(105)은 기판(100)의 도전형과 반대되는 도전형을 가질 수 있다. Meanwhile, after forming the trenches 140, an impurity region 105 may be formed in the substrate 100. The impurity region 105 may be formed through an ion implantation process using the thin film structure having the trench 140 as an ion mask. The impurity region 105 may overlap a portion of the lower region of the thin film structure by diffusion of impurities. In addition, the impurity region 105 may have a conductivity type opposite to that of the substrate 100.

도 11을 참조하면, 트렌치들(140)에 노출된 희생 패턴들(SC1~SC8)을 제거하여, 절연 패턴들(111~118) 사이에 리세스 영역들(142)을 형성한다. Referring to FIG. 11, the sacrificial patterns SC1 ˜ SC8 exposed to the trenches 140 may be removed to form recess regions 142 between the insulating patterns 111 ˜ 118.

리세스 영역들(142)은 절연 패턴들(111~118) 사이의 희생 패턴들(SC1~SC8)을 제거함으로써 형성될 수 있다. 즉, 리세스 영역들(142)은 트렌치(140)로부터 절연 패턴들(111~118) 사이로 수평적으로 연장될 수 있으며, 반도체 패턴(132)의 측벽 일부분들을 노출시킬 수 있다. 그리고, 최하부에 형성된 리세스 영역(142)은 버퍼 절연막(101)에 의해 정의될 수 있다. 이와 같이 형성되는 리세스 영역(142)의 수직적 두께(z축 방향으로의 길이)는 도 2에서 희생막들(SC1~SC8)을 증착할 때 희생막들(SC1~SC8)의 증착 두께에 의해 정의될 수 있다. The recess regions 142 may be formed by removing the sacrificial patterns SC1 to SC8 between the insulating patterns 111 to 118. That is, the recess regions 142 may extend horizontally from the trench 140 between the insulating patterns 111 to 118, and may expose portions of sidewalls of the semiconductor pattern 132. The lowermost recessed region 142 may be defined by the buffer insulating layer 101. The vertical thickness (length in the z-axis direction) of the recess region 142 formed as described above is defined by the deposition thickness of the sacrificial films SC1 to SC8 when the sacrificial films SC1 to SC8 are deposited in FIG. 2. Can be defined.

구체적으로, 리세스 영역들(142)을 형성하는 단계는, 절연 패턴들(111~118)에 대해 식각 선택성을 갖는 식각 레서피를 사용하여 희생 패턴들(SC1~SC8)을 등방적으로 식각하는 단계를 포함할 수 있다. 여기서, 희생 패턴들(SC1~SC8)은 등방성 식각 공정에 의해 완전히 제거될 수 있다. 예를 들어, 희생 패턴들(SC1~SC8)이 실리콘 질화막이고, 절연 패턴들(111~118)이 실리콘 산화막인 경우, 식각 단계는 인산을 포함하는 식각액을 사용하여 수행될 수 있다. In detail, the forming of the recess regions 142 may be performed by isotropically etching the sacrificial patterns SC1 ˜ SC8 using an etch recipe having etch selectivity with respect to the insulating patterns 111 ˜ 118. It may include. Here, the sacrificial patterns SC1 ˜ SC8 may be completely removed by an isotropic etching process. For example, when the sacrificial patterns SC1 to SC8 are silicon nitride layers and the insulating patterns 111 to 118 are silicon oxide layers, the etching step may be performed using an etchant including phosphoric acid.

도 12를 참조하면, 리세스 영역들(142) 내에 정보 저장막(150)을 형성한다.Referring to FIG. 12, the information storage layer 150 is formed in the recess regions 142.

정보 저장막(150)은 리세스 영역들(142)이 형성된 박막 구조체를 실질적으로 컨포말하게 덮도록 형성될 수 있다. 정보 저장막(150)은 우수한 단차 도포성을 제공할 수 있는 증착 기술(예를 들면, 화학기상증착 또는 원자층 증착 기술)을 사용하여 형성될 수 있다. 그리고, 정보 저장막(150)은 리세스 영역들(142) 두께의 절반보다 얇은 두께로 형성될 수 있다. 즉, 리세스 영역(142)에 노출된 반도체 패턴(132)의 측벽들에 정보 저장막(150)이 형성될 수 있으며, 정보 저장막(150)은 리세스 영역(142)을 정의하는 절연 패턴들(111~118)의 하부면 및 상부면으로 연장될 수 있다. 또한, 증착 공정에 의해 형성되는 정보 저장막(150)은 라인 형태의 박막 구조체 사이에 노출된 기판(100)의 표면 및 최상층 절연 패턴(118)의 상면에도 형성될 수 있으며, 절연 패턴들(111~118)의 측벽들을 덮을 수도 있다. 그리고, 정보 저장막(150)은 최하층의 리세스 영역(142)에 의해 노출되는 기판(100, 또는 버퍼 절연막(101))의 상면을 덮을 수 있다. 즉, 도 15a 내지 도 15c에 도시된 것처럼, 정보 저장막(152)이 리세스 영역들(142)이 형성된 박막 구조체의 표면에 컨포말하게 형성될 수 있다.The information storage layer 150 may be formed to substantially conformally cover the thin film structure in which the recess regions 142 are formed. The information storage film 150 may be formed using a deposition technique (eg, chemical vapor deposition or atomic layer deposition technique) that may provide excellent step coverage. The information storage layer 150 may be formed to have a thickness thinner than half of the thickness of the recess regions 142. That is, the information storage layer 150 may be formed on sidewalls of the semiconductor pattern 132 exposed to the recess region 142, and the information storage layer 150 may have an insulating pattern defining the recess region 142. It may extend to the lower surface and the upper surface of the field (111 ~ 118). In addition, the information storage layer 150 formed by the deposition process may be formed on the surface of the substrate 100 and the upper surface of the uppermost insulating pattern 118 exposed between the thin film structures in the form of lines, and the insulating patterns 111. May cover sidewalls of 118). The information storage layer 150 may cover the upper surface of the substrate 100 or the buffer insulating layer 101 exposed by the recessed region 142 of the lowermost layer. That is, as shown in FIGS. 15A to 15C, the information storage layer 152 may be conformally formed on the surface of the thin film structure in which the recess regions 142 are formed.

다른 실시예에 따르면, 도 15b에 도시된 것처럼, 수직적으로 인접한 절연 패턴들(111~118) 사이에 정보 저장 패턴(154)이 국소적으로 형성되어, 수직적으로 인접하는 다른 정보 저장 패턴들(154)과 분리될 수 있다. 이와 같이 정보 저장 패턴(154)들이 수직적으로 서로 분리된 경우 정보 저장 패턴(154)에 트랩된 전하들이 인접한 다른 정보 저장 패턴(154)으로 이동(spreading)하는 것을 방지할 수 있다. 정보 저장 패턴(154)이 수직적으로 인접한 절연 패턴들(111~118) 사이에 국소적으로 형성되는 경우에도, 최하층의 정보 저장 패턴(154)은 버퍼 절연막(101, 또는 기판(100))의 상면과 직접 접촉될 수도 있다. According to another embodiment, as shown in FIG. 15B, the information storage pattern 154 is locally formed between the vertically adjacent insulating patterns 111 to 118 so that the other information storage patterns 154 are vertically adjacent to each other. ) Can be separated. As such, when the information storage patterns 154 are vertically separated from each other, the charges trapped in the information storage pattern 154 may be prevented from being spread to other adjacent information storage patterns 154. Even when the information storage pattern 154 is locally formed between the vertically adjacent insulating patterns 111 to 118, the lowermost information storage pattern 154 is formed on the upper surface of the buffer insulating film 101 or the substrate 100. It may also be in direct contact with.

일 실시예에 따르면, 정보 저장막(150)은 전하저장막일 수 있다. 예를 들면, 전하 저장막은 전하 트랩 절연막, 플로팅 게이트 전극 또는 도전성 나노 도트들(conductive nano dots)을 포함하는 절연막 중의 한가지일 수 있다. 그리고, 정보 저장막(150)이 전하 저장막인 경우, 정보 저장막(150)에 저장되는 정보는 반도체 패턴(132)과 게이트 전극들(도 10의 WL) 사이의 전압 차이에 의해 유발되는 파울러-노던하임 터널링을 이용하여 변경될 수 있다. 한편, 정보 저장막(150)은 다른 동작 원리에 기초하여 정보를 저장하는 것이 가능한 박막(예를 들면, 상변화 메모리를 위한 박막 또는 가변저항 메모리를 위한 박막)일 수도 있다.According to an embodiment, the information storage layer 150 may be a charge storage layer. For example, the charge storage layer may be one of a charge trap insulating layer, a floating gate electrode, or an insulating layer including conductive nano dots. In addition, when the information storage layer 150 is a charge storage layer, the information stored in the information storage layer 150 is a Fowler caused by the voltage difference between the semiconductor pattern 132 and the gate electrodes WL of FIG. 10. Can be changed using Northernheim tunneling Meanwhile, the information storage film 150 may be a thin film (for example, a thin film for the phase change memory or a thin film for the variable resistance memory) capable of storing information based on other operating principles.

일 실시예에 따르면, 도 15a 및 도 15c에 도시된 바와 같이, 정보 저장막(150)은 차례로 적층되는 블록킹 절연막(152a), 전하트랩막(152b) 및 터널 절연막(152c)을 포함할 수 있다. 블록킹 절연막(152a)은 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 및 고유전막들 중의 적어도 하나를 포함할 수 있으며, 복수의 막들로 구성될 수 있다. 이때, 고유전막은 실리콘 산화막보다 높은 유전 상수를 갖는 절연성 물질들을 의미하며, 탄탈륨 산화막, 티타늄 산화막, 하프늄 산화막, 지르코늄 산화막, 알루미늄 산화막, 이트륨 산화막, 니오븀 산화막, 세슘 산화막, 인듐 산화막, 이리듐 산화막, BST막 및 PZT막을 포함할 수 있다. 터널 절연막(152c)은 블록킹 절연막(152a)보다 낮은 유전 상수를 갖는 물질로 형성될 수 있으며, 예를 들어, 산화물, 질화물 또는 산화질화물 등에서 선택된 적어도 하나를 포함할 수 있다. 전하 트랩막(152b)은 전하 트랩 사이트들이 풍부한 절연성 박막(예를 들면, 실리콘 질화막)이거나, 도전성 그레인들을 포함하는 절연성 박막일 수 있다. 일 실시예에 따르면, 터널 절연막(152c)은 실리콘 산화막이고, 전하 트랩막(152b)은 실리콘 질화막이고, 블록킹 절연막(152a)은 알루미늄 산화막을 포함하는 절연막일 수 있다. According to an embodiment, as shown in FIGS. 15A and 15C, the information storage layer 150 may include a blocking insulating layer 152a, a charge trap layer 152b, and a tunnel insulating layer 152c that are sequentially stacked. . The blocking insulating layer 152a may include at least one of a silicon oxide film, a silicon nitride film, a silicon oxynitride film, and a high dielectric film, and may include a plurality of films. In this case, the high dielectric film refers to insulating materials having a dielectric constant higher than that of silicon oxide film, and include tantalum oxide film, titanium oxide film, hafnium oxide film, zirconium oxide film, aluminum oxide film, yttrium oxide film, niobium oxide film, cesium oxide film, indium oxide film, iridium oxide film, and BST. Film and PZT film. The tunnel insulating layer 152c may be formed of a material having a lower dielectric constant than the blocking insulating layer 152a and may include, for example, at least one selected from an oxide, a nitride, an oxynitride, and the like. The charge trap film 152b may be an insulating thin film rich in charge trap sites (eg, silicon nitride film) or an insulating thin film including conductive grains. According to an embodiment, the tunnel insulating film 152c may be a silicon oxide film, the charge trap film 152b may be a silicon nitride film, and the blocking insulating film 152a may be an insulating film including an aluminum oxide film.

한편, 다른 실시예에 따르면, 블록킹 절연막(152a)은 제 1 블록킹 절연막 및 제 2 블록킹 절연막으로 구성될 수도 있다. 여기서, 제 1 및 제 2 블록킹 절연막들은 서로 다른 물질로 형성될 수 있으며, 제 1 및 제 2 블록킹 절연막들 중의 하나는 터널 절연막보다 작고 전하 트랩막보다 큰 밴드 갭을 갖는 물질들 중의 한가지일 수 있다. 예를 들어, 제 1 블록킹 절연막은 알루미늄 산화막 및 하프늄 산화막 등과 같은 고유전막들 중의 하나이고, 제 2 블록킹 절연막은 제 1 블록킹 절연막보다 작은 유전 상수를 갖는 물질일 수 있다. 다른 실시예에 따르면, 제 2 블록킹 절연막은 고유전막들 중의 하나이고, 제 1 블록킹 절연막은 상기 제 2 블록킹 절연막보다 작은 유전 상수를 갖는 물질일 수 있다.Meanwhile, according to another exemplary embodiment, the blocking insulating layer 152a may be formed of a first blocking insulating layer and a second blocking insulating layer. Here, the first and second blocking insulating layers may be formed of different materials, and one of the first and second blocking insulating layers may be one of materials having a band gap smaller than that of the tunnel insulating layer and larger than the charge trap layer. . For example, the first blocking insulating layer may be one of high dielectric layers such as an aluminum oxide layer and a hafnium oxide layer, and the second blocking insulating layer may be a material having a dielectric constant smaller than that of the first blocking insulating layer. In example embodiments, the second blocking insulating layer may be one of the high dielectric layers, and the first blocking insulating layer may be a material having a dielectric constant smaller than that of the second blocking insulating layer.

또 다른 실시예에 따르면, 차례로 적층된 블록킹 절연막(152a), 전하 트랩막(152b) 및 터널 절연막(152c)으로 구성된 정보 저장막(150)에서, 터널 절연막(152c) 및 전하 트랩막(152b)은 도 15c에 도시된 것처럼, 반도체 패턴(132)에 인접한 박막 구조체의 내벽을 가로질러 형성될 수 있다. 즉, 터널 절연막(152c) 및 전하 트랩막(152b)은 반도체 패턴(132)을 형성하기 전에 개구부의 내벽에 먼저 형성될 수도 있다. 그리고, 블록킹 절연막(152a)은 리세스 영역들(142)을 형성한 후에 리세스 영역(142) 내에 컨포말하게 형성될 수 있다. 이에 따라, 블록킹 절연막(152a)은 절연 패턴의 상부면 및 하부면과 직접 접촉될 수 있다. 한편, 리세스 영역들(142)을 형성한 후에, 전하 트랩막(152b)과 블록킹 절연막(152a)이 리세스 영역(142) 내에 컨포말하게 형성될 수도 있다. According to another embodiment, in the information storage film 150 composed of the blocking insulating film 152a, the charge trap film 152b, and the tunnel insulating film 152c, which are sequentially stacked, the tunnel insulating film 152c and the charge trap film 152b. 15 may be formed across the inner wall of the thin film structure adjacent to the semiconductor pattern 132. That is, the tunnel insulating film 152c and the charge trap film 152b may be formed first on the inner wall of the opening before forming the semiconductor pattern 132. The blocking insulating layer 152a may be conformally formed in the recess region 142 after the recess regions 142 are formed. Accordingly, the blocking insulating layer 152a may directly contact the upper and lower surfaces of the insulating pattern. Meanwhile, after the recess regions 142 are formed, the charge trap layer 152b and the blocking insulating layer 152a may be conformally formed in the recess region 142.

이어서, 도 12 및 도 13을 참조하면, 정보 저장막(150)이 형성된 리세스 영역들(142) 각각에 게이트 전극들(WL)을 형성한다. 또한, 게이트 전극들(WL)을 형성시 기판(100) 내에 공통 소오스 도전 라인(CSL)을 함께 형성한다. Next, referring to FIGS. 12 and 13, gate electrodes WL are formed in each of the recess regions 142 in which the information storage layer 150 is formed. In addition, when forming the gate electrodes WL, the common source conductive line CSL is formed together in the substrate 100.

게이트 전극(WL)이 정보 저장막(150)이 컨포말하게 형성된 리세스 영역(142) 내에 형성됨에 따라, 게이트 전극(WL)의 수직적 두께는 리세스 영역(142)의 수직적 두께보다 감소될 수 있다. 이와 같이 게이트 전극들(WL)의 두께 감소는 게이트 전극(WL)의 저항(resistance)을 증가시킬 수 있다. 그러므로, 3차원 반도체 메모리 장치의 집적도 및 전기적 특성을 향상시키기 위해, 게이트 전극(WL)을 구성하는 물질의 비저항(resistivity)을 감소시키는 것이 필요하다. As the gate electrode WL is formed in the recess region 142 in which the information storage layer 150 is conformally formed, the vertical thickness of the gate electrode WL may be reduced than the vertical thickness of the recess region 142. have. As such, the thickness reduction of the gate electrodes WL may increase the resistance of the gate electrode WL. Therefore, in order to improve the integration and electrical characteristics of the three-dimensional semiconductor memory device, it is necessary to reduce the resistivity of the material constituting the gate electrode WL.

일 실시예에서, 게이트 전극들(WL) 및 공통 소오스 도전 라인들(CSL)은 낮은 비저항을 갖는 금속물질(예를 들어, 텅스텐)로 형성될 수 있다. 그리고, 공통 소오스 라인(CSL)은 기판(100) 내에 형성된 불순물 영역(105)일 수 있다. 그런데, 공통 소오스 라인(CSL)이 기판(100) 내에 형성되는 불순물 영역인 경우, 저항을 일정하게 유지하기 어렵고 공통 소오스 라인(CSL)의 저항이 높을 수 있다. In an embodiment, the gate electrodes WL and the common source conductive lines CSL may be formed of a metal material having low resistivity (eg, tungsten). The common source line CSL may be an impurity region 105 formed in the substrate 100. However, when the common source line CSL is an impurity region formed in the substrate 100, it may be difficult to keep the resistance constant and the resistance of the common source line CSL may be high.

다른 실시예에서, 공통 소오스 라인(CSL)은 기판(100) 내의 불순물 영역(105)과, 공통 소오스 실리사이드막(184)으로 구성될 수 있다. 금속 실리사이드를 포함하는 공통 소오스 도전 라인(CSL)은 불순물 영역(105)으로 이루어진 공통 소오스 도전 라인보다 저항이 감소될 수 있다. 또한, 실시예들에서, 공통 소오스 도전 라인(CSL)을 구성하는 공통 소오스 실리사이드막(184)은, 기판(100) 상에 적층된 게이트 전극(WL)을 이루는 게이트 실리사이드막(182)과 동시에 형성될 수 있다. In another embodiment, the common source line CSL may be formed of the impurity region 105 in the substrate 100 and the common source silicide layer 184. The common source conductive line CSL including the metal silicide may have a lower resistance than the common source conductive line including the impurity region 105. Further, in embodiments, the common source silicide film 184 constituting the common source conductive line CSL is formed simultaneously with the gate silicide film 182 constituting the gate electrode WL stacked on the substrate 100. Can be.

이하, 도 12 및 도 13을 참조하여, 게이트 전극들(WL) 및 공통 소오스 라인(CSL)을 형성하는 방법을 상세히 설명한다. Hereinafter, a method of forming the gate electrodes WL and the common source line CSL will be described in detail with reference to FIGS. 12 and 13.

게이트 전극들(WL)을 형성하는 것은, 데이터 저장막(150)이 형성된 리세스 영역들 및 트렌치 내에 게이트 도전막(170)을 형성하는 것과, 트렌치 내에서 게이트 도전막(170)을 제거하여 수직적으로 서로 분리된 게이트 전극들(WL)을 형성하는 것을 포함한다. The gate electrodes WL may be formed by forming the gate conductive layer 170 in the recesses and the trenches in which the data storage layer 150 is formed, and by removing the gate conductive layer 170 in the trench. Forming gate electrodes WL separated from each other.

게이트 도전막(170)은 우수한 단차 도포성을 제공할 수 있는 증착 기술(예를 들면, 화학기상증착 또는 원자층 증착 기술)을 사용하여 형성될 수 있다. 이에 따라, 게이트 도전막(170)은 리세스 영역들을 채우면서 트렌치 내에 컨포말하게 형성될 수 있다. 구체적으로, 게이트 도전막(170)은 리세스 영역의 두께의 절반 이상의 두께로 증착될 수 있다. 그리고, 트렌치의 평면적 폭이 리세스 영역의 두께보다 큰 경우, 게이트 도전막(170)은 트렌치의 일부를 채우고 트렌치의 중심 부분에 빈 영역을 정의할 수 있다. 이 때, 빈 영역은 위로 개방될 수 있다. The gate conductive layer 170 may be formed using a deposition technique (eg, chemical vapor deposition or atomic layer deposition technique) that may provide excellent step coverage. Accordingly, the gate conductive layer 170 may be conformally formed in the trench while filling the recess regions. In detail, the gate conductive layer 170 may be deposited to a thickness greater than or equal to half the thickness of the recess region. When the planar width of the trench is greater than the thickness of the recess region, the gate conductive layer 170 may fill a portion of the trench and define an empty region in the center portion of the trench. At this time, the empty area may be opened upward.

게이트 도전막(170)은 도핑된 폴리실리콘, 텅스텐, 금속 질화막들 및 금속 실리사이드들 중의 적어도 하나를 포함할 수 있다. 일 실시예에 따르면, 게이트 도전막(170)을 형성하는 것은, 배리어 금속막(예를 들어, 금속 질화물) 및 금속막(예를 들어, 텅스텐)을 순차적으로 형성하는 것을 포함한다. 한편, 본 발명의 기술적 사상은 플래시 메모리 장치에 한정적으로 적용되는 것이 아니므로, 게이트 도전막(170)은 물질 및 구조 등에서 다양하게 변형될 수 있다.The gate conductive layer 170 may include at least one of doped polysilicon, tungsten, metal nitride layers, and metal silicides. According to one embodiment, forming the gate conductive layer 170 includes sequentially forming a barrier metal layer (eg, metal nitride) and a metal layer (eg, tungsten). On the other hand, since the technical idea of the present invention is not limited to the flash memory device, the gate conductive layer 170 may be modified in various materials and structures.

이어서, 트렌치 내에 채워진 게이트 도전막(170)을 이방성 식각하여 수직적으로 분리된 게이트 전극들(WL)을 형성한다. Subsequently, the gate conductive layer 170 filled in the trench is anisotropically etched to form vertically separated gate electrodes WL.

구체적으로, 트렌치에서 게이트 도전막(170)을 제거하는 것은, 박막 구조체(ST)를 구성하는 최상부의 절연막 또는 그 상부에 추가적으로 형성되는 하드 마스크 패턴(미도시)을 식각 마스크로 사용하여, 게이트 도전막(170)을 이방성 식각하는 단계를 포함할 수 있다. 게이트 도전막(170)을 이방성 식각할 때, 기판(100)의 상면과 접하는 데이터 저장막(150)은 식각 정지막으로 이용될 수 있다. Specifically, removing the gate conductive layer 170 from the trench may be performed by using a hard mask pattern (not shown) formed on the uppermost insulating layer or the upper portion of the thin film structure ST as an etching mask. And anisotropically etch the film 170. When anisotropically etching the gate conductive layer 170, the data storage layer 150 in contact with the top surface of the substrate 100 may be used as an etch stop layer.

일 실시예에 따르면, 수직적으로 분리된 게이트 전극들(WL)을 형성하기 위해, 기판(100)의 상면을 덮는 데이터 저장막(150)을 노출시키는 트렌치가 형성될 수 있다. 이와 달리, 게이트 도전막(170)을 이방성 식각함에 따라 트렌치에 기판(100)의 상면이 노출될 수 있으며, 도면에 도시된 것처럼, 기판(100)의 상면이 리세스될 수도 있다.In example embodiments, a trench for exposing the data storage layer 150 covering the top surface of the substrate 100 may be formed to form the vertically separated gate electrodes WL. Alternatively, the anisotropic etching of the gate conductive layer 170 may expose the upper surface of the substrate 100 to the trench, and as shown in the figure, the upper surface of the substrate 100 may be recessed.

다른 실시예에 따르면, 게이트 전극들(WL)은, 빈 영역을 갖는 게이트 도전막(170)에 대해 등방성 식각 공정을 수행하여 형성될 수 있다. 등방성 식각 공정은 게이트 전극들(WL)이 서로 분리될 때까지 수행될 수 있다. 즉, 등방성 식각 공정에 의해 절연막들의 측벽들 및 기판(100) 상면의 데이터 저장막(150)이 노출될 수 있다. 여기서, 빈 영역을 통해 등방성 식각 공정이 수행됨에 따라 빈 영역의 측벽 및 바닥 부분의 게이트 도전막(170)이 실질적으로 동시에 식각될 수 있다. 빈 영역을 통해 등방성 식각 공정을 수행함에 따라 박막 구조체(ST)의 상부와 기판(100) 상부에서 게이트 도전막(170)이 균일하게 식각 될 수 있다. 이에 따라, 게이트 전극들(WL)의 수평적 두께가 균일할 수 있다. 또한, 등방성 식각 공정시 공정 시간에 따라, 게이트 전극들(WL)의 수평적 두께가 달라질 수 있다. 예를 들어, 게이트 전극들(WL)은 리세스 영역의 일부분을 채우도록 형성될 수 있다. 이와 같이 형성된 게이트 전극들(WL) 각각은 도 15a 내지 도 15c에 도시된 것처럼, 금속 패턴(163a) 및 금속 패턴(182)과 데이터 저장막(152) 사이에 개재된 배리어 금속 패턴(162)을 포함할 수 있다. According to another embodiment, the gate electrodes WL may be formed by performing an isotropic etching process on the gate conductive layer 170 having the empty area. The isotropic etching process may be performed until the gate electrodes WL are separated from each other. That is, the sidewalls of the insulating layers and the data storage layer 150 on the upper surface of the substrate 100 may be exposed by an isotropic etching process. Here, as the isotropic etching process is performed through the empty region, the gate conductive layer 170 of the sidewall and the bottom portion of the empty region may be etched substantially simultaneously. As the isotropic etching process is performed through the empty region, the gate conductive layer 170 may be uniformly etched on the thin film structure ST and the substrate 100. Accordingly, horizontal thicknesses of the gate electrodes WL may be uniform. In addition, the horizontal thicknesses of the gate electrodes WL may vary according to a process time during the isotropic etching process. For example, the gate electrodes WL may be formed to fill a portion of the recess region. Each of the gate electrodes WL formed as described above may include the metal pattern 163a and the barrier metal pattern 162 interposed between the metal pattern 163 and the data storage layer 152 as shown in FIGS. 15A through 15C. It may include.

일 실시예에 따르면, 리세스 영역들 각각에 국소적으로 형성된 게이트 전극들(WL)은 게이트 구조체를 구성할 수 있다. 즉, 서로 인접하는 트렌치들 사이에 게이트 구조체가 형성될 수 있다. 이와 같이, 트렌치들을 형성함에 따라, 일 실시예에서 게이트 구조체는 일 방향으로 연장된 라인 형태를 가질 수 있다. 그리고, 하나의 게이트 구조체에는 일 방향으로 배열된 복수의 반도체 패턴(132)들이 관통할 수 있다. 그리고, 게이트 전극들(WL)은 트렌치에 인접한 외측벽들 및 반도체 패턴(132)에 인접한 내측벽들을 갖는다. 이러한 게이트 전극들(WL)의 내측벽들은 반도체 패턴(132)을 둘러싸거나, 반도체 패턴(132)의 일측벽을 가로지를 수 있다. 이와 달리, 하나의 블록 내에 포함되는 게이트 전극들(WL)은 워드라인 콘택 영역(WCTR)에서 서로 연결되어, 빗 모양(comb-shape) 또는 손가락 모양(finger-shape)으로 형성될 수 있다. In example embodiments, gate electrodes WL locally formed in each of the recess regions may form a gate structure. That is, the gate structure may be formed between trenches adjacent to each other. As such, as the trenches are formed, in one embodiment, the gate structure may have a line shape extending in one direction. In addition, a plurality of semiconductor patterns 132 arranged in one direction may pass through one gate structure. The gate electrodes WL have outer walls adjacent to the trench and inner walls adjacent to the semiconductor pattern 132. Inner walls of the gate electrodes WL may surround the semiconductor pattern 132 or may cross one side wall of the semiconductor pattern 132. Alternatively, the gate electrodes WL included in one block may be connected to each other in the word line contact region WCTR and may be formed in a comb-shape or a finger-shape.

이 실시예에 따르면, 적층된 게이트 전극들(WL)은 도 2에서 설명한 스트링 선택 라인(SSL), 접지 선택 라인(GSL) 및 워드라인들(WL)로 사용될 수 있다. 예를 들면, 게이트 전극들(WL)의 최상부층 및 최하부층은 각각 스트링 선택 라인(SSL) 및 접지 선택 라인(GSL)으로 사용되고, 이들 사이의 게이트 전극들(WL)은 워드라인들(WL)로 사용될 수 있다. According to this embodiment, the stacked gate electrodes WL may be used as the string select line SSL, the ground select line GSL, and the word lines WL described with reference to FIG. 2. For example, the top and bottom layers of the gate electrodes WL are used as the string selection line SSL and the ground selection line GSL, respectively, and the gate electrodes WL therebetween are word lines WL. Can be used as

또는, 도 3을 참조하여 설명한 것처럼, 최상부에 배치된 두 층의 게이트 전극들(WL)이 스트링 선택 라인(도 2의 SSL)으로 사용될 수 있고, 최하부에 배치된 두 층의 게이트 전극들(WL)이 접지 선택 라인(도 2의 GSL)으로 사용될 수도 있다. 스트링 선택 라인(도 2의 SSL) 또는 접지 선택 라인(도 2의 GSL)으로 사용되는 게이트 전극들(WL)은 수평적으로 분리될 수 있으며, 이 경우, 동일한 높이에는 전기적으로 분리된 복수의 스트링 선택 라인들(도 2의 SSL) 또는 접지 선택 라인들(도 2의 GSL)이 배치될 수 있다. Alternatively, as described with reference to FIG. 3, two layers of gate electrodes WL disposed at the top may be used as a string select line (SSL in FIG. 2), and two layers of gate electrodes WL disposed at the bottom thereof. ) May be used as the ground select line (GSL in FIG. 2). The gate electrodes WL used as the string select line (SSL in FIG. 2) or the ground select line (GSL in FIG. 2) may be horizontally separated, in which case a plurality of strings are electrically separated at the same height. Select lines (SSL in FIG. 2) or ground select lines (GSL in FIG. 2) may be disposed.

한편, 게이트 구조체를 형성한 후, 도 15a에 도시된 것처럼, 절연 패턴들(111~118)의 측벽들 및 기판(100) 표면에 형성된 데이터 저장막(150)을 선택적으로 제거하는 공정이 더 수행될 수 있다. 데이터 저장막(150)을 제거하는 공정은, 게이트 도전막(170)에 대해 식각 선택비를 갖는 식각 가스 또는 식각 용액을 이용할 수 있다. 예를 들어, 등방성 식각 공정을 통해, 절연막들 측벽의 데이터 저장막(150)을 제거하는 경우, HF, O3/HF, 인산, 황산 및 LAL과 같은 식각 용액이 이용될 수 있다. 또한, 데이터 저장막(150)을 제거하기 위해, 불화물(fluoride) 계열의 식각 용액과, 인산 또는 황산 용액이 순차적으로 이용될 수도 있다. Meanwhile, after the gate structure is formed, a process of selectively removing sidewalls of the insulating patterns 111 to 118 and the data storage layer 150 formed on the surface of the substrate 100 is further performed as shown in FIG. 15A. Can be. In the process of removing the data storage layer 150, an etching gas or an etching solution having an etching selectivity with respect to the gate conductive layer 170 may be used. For example, when the data storage layer 150 on the sidewalls of the insulating layers is removed through an isotropic etching process, an etching solution such as HF, O 3 / HF, phosphoric acid, sulfuric acid, and LAL may be used. In addition, in order to remove the data storage layer 150, a fluoride-based etching solution and a phosphoric acid or sulfuric acid solution may be sequentially used.

도 14를 참조하면, 게이트 전극들(WL)을 형성한 후에, 게이트 전극들(WL) 사이의 기판(100)으로 불순물을 이온주입하여 공통 소오스 라인으로 이용되는 불순물 영역들(105)이 형성될 수 있다. Referring to FIG. 14, after the gate electrodes WL are formed, impurity regions 105 used as a common source line may be formed by implanting impurities into the substrate 100 between the gate electrodes WL. Can be.

구체적으로, 불순물 영역들(105)은 기판(100) 상의 게이트 구조체들을 이온주입 마스크로 사용하는 이온 주입 공정을 통해 형성될 수 있다. 이에 따라, 불순물 영역(105)은 트렌치의 수평적 모양처럼, 일 방향으로 연장된 라인 형태일 수 있다. 그리고, 불순물 영역(105)은 불순물의 확산에 의해 게이트 구조체의 하부 영역의 일부분과 중첩될 수 있다. 또한, 불순물 영역은 기판(100)의 도전형과 반대되는 도전형을 가질 수 있다.In detail, the impurity regions 105 may be formed through an ion implantation process using gate structures on the substrate 100 as an ion implantation mask. Accordingly, the impurity region 105 may be in the form of a line extending in one direction, such as a horizontal shape of the trench. The impurity region 105 may overlap a portion of the lower region of the gate structure by diffusion of impurities. In addition, the impurity region may have a conductivity type opposite to that of the substrate 100.

그리고, 불순물 영역(105)을 형성시 트렌치(140)의 바닥면 상에 위치한 정보 저장막(150) 또는 트렌치(140)의 바닥면 상의 정보저장막은 이온 주입 버퍼막으로 사용될 수 있다. 다른 실시예에 따르면, 불순물 영역(105)은 도 10를 참조하여 설명한 것처럼, 트렌치(140)를 형성한 후에, 트렌치(140) 아래의 기판(100) 내에 형성될 수도 있다. When the impurity region 105 is formed, the information storage film 150 located on the bottom surface of the trench 140 or the information storage film on the bottom surface of the trench 140 may be used as an ion implantation buffer film. According to another embodiment, the impurity region 105 may be formed in the substrate 100 under the trench 140 after the trench 140 is formed, as described with reference to FIG. 10.

또한, 일 실시예에 따르면, 기판(100) 내의 불순물 영역(105)을 금속막(180)과 반응시켜 금속 실리사이드을 형성하는 실리사이드 공정(silicidation process)이 수행될 수 있다. In addition, according to an embodiment, a silicide process may be performed to react the impurity region 105 in the substrate 100 with the metal film 180 to form a metal silicide.

이어서, 도 14를 참조하면, 트렌치들(140) 내에 게이트 분리 절연 패턴(190)을 형성한다. 14, a gate isolation insulating pattern 190 is formed in the trenches 140.

게이트 분리 절연 패턴(190)을 형성하는 단계는, 절연성 물질들 중의 적어도 하나로, 미반응 금속막이 제거된 트렌치들(140)을 채우는 단계를 포함한다. 일 실시예에 따르면, 게이트 분리 절연 패턴(190)은 실리콘 산화막, 실리콘 질화막 및 실리콘 산화질화막 중의 적어도 한가지일 수 있다. 한편, 다른 실시예에 따르면, 트렌치들(140) 내에 게이트 분리 절연 패턴(190)을 형성하기 전에, 게이트 및 공통 소오스 실리사이드막들(182, 184)의 산화를 방지하기 위한 캡핑막이 형성될 수도 있다. 캡핑막은 절연성 질화물로 형성될 수 있으며, 예를 들어, 실리콘 질화막으로 형성될 수 있다.Forming the gate isolation insulating pattern 190 may include filling the trenches 140 in which the unreacted metal film is removed with at least one of insulating materials. In an embodiment, the gate isolation insulating pattern 190 may be at least one of a silicon oxide film, a silicon nitride film, and a silicon oxynitride film. According to another exemplary embodiment, before forming the gate isolation insulating pattern 190 in the trenches 140, a capping layer may be formed to prevent oxidation of the gate and the common source silicide layers 182 and 184. . The capping film may be formed of an insulating nitride, for example, a silicon nitride film.

게이트 분리 절연 패턴(190)을 형성한 후에는, 반도체 패턴(132)의 상부 부분에 반도체 패턴(132)와 반대되는 도전형의 불순물을 주입하여 드레인 영역(D)을 형성할 수 있다. 이와 달리, 드레인 영역(D)은 도 4에서 설명된 트렌치들(140)을 형성하기 전에 반도체 패턴(132) 상부에 형성될 수도 있다. After the gate isolation insulating pattern 190 is formed, the drain region D may be formed by implanting a conductive type impurity opposite to the semiconductor pattern 132 into the upper portion of the semiconductor pattern 132. Alternatively, the drain region D may be formed on the semiconductor pattern 132 before forming the trenches 140 described with reference to FIG. 4.

이어서, 게이트 전극들(WL)의 상부에 반도체 패턴들(132)을 전기적으로 연결하는 비트 라인들(BL)이 형성될 수 있다. 비트라인들(BL)은 도시된 것처럼 라인 형태로 형성된 게이트 전극들(WL)을 가로지르는 방향을 따라 형성될 수 있다. 그리고, 비트 라인들(BL)은 콘택 플러그에 의해 반도체 패턴들(132) 상의 드레인 영역(D)과 연결될 수도 있다. Subsequently, bit lines BL may be formed on the gate electrodes WL to electrically connect the semiconductor patterns 132. The bit lines BL may be formed along a direction crossing the gate electrodes WL formed in a line shape as shown. In addition, the bit lines BL may be connected to the drain regions D on the semiconductor patterns 132 by contact plugs.

도 18은 본 발명의 실시예들의 제조 방법에 따라 제조된 반도체 메모리 장치를 포함하는 메모리 시스템의 일 예를 나타내는 개략 블록도이다. 18 is a schematic block diagram illustrating an example of a memory system including a semiconductor memory device manufactured according to a method of manufacturing embodiments of the present invention.

도 18을 참조하면, 메모리 시스템(1100)은 PDA, 포터블(portable) 컴퓨터, 웹 타블렛(web tablet), 무선 전화기(wireless phone), 모바일 폰(mobile phone), 디지털 뮤직 플레이어(digital music player), 메모리 카드(memory card), 또는 정보를 무선환경에서 송신 및/또는 수신할 수 있는 모든 소자에 적용될 수 있다.Referring to FIG. 18, the memory system 1100 may include a PDA, a portable computer, a web tablet, a wireless phone, a mobile phone, a digital music player, It can be applied to a memory card or any device capable of transmitting and / or receiving information in a wireless environment.

메모리 시스템(1100)은 컨트롤러(1110), 키패드(keypad), 키보드 및 디스플레이와 같은 입출력 장치(1120), 메모리(1130), 인터페이스(1140), 및 버스(1150)를 포함한다. 메모리(1130)와 인터페이스(1140)는 버스(1150)를 통해 상호 소통된다.The memory system 1100 includes an input / output device 1120 such as a controller 1110, a keypad, a keyboard and a display, a memory 1130, an interface 1140, and a bus 1150. Memory 1130 and interface 1140 are in communication with one another via bus 1150.

컨트롤러(1110)는 적어도 하나의 마이크로 프로세서, 디지털 시그널 프로세서, 마이크로 컨트롤러, 또는 그와 유사한 다른 프로세스 장치들을 포함한다. 메모리(1130)는 컨트롤러에 의해 수행된 명령을 저장하는 데에 사용될 수 있다. 입출력 장치(1120)는 시스템(1100) 외부로부터 데이터 또는 신호를 입력받거나 또는 시스템(1100) 외부로 데이터 또는 신호를 출력할 수 있다. 예를 들어, 입출력 장치(1120)는 키보드, 키패드 또는 디스플레이 소자를 포함할 수 있다.The controller 1110 includes at least one microprocessor, digital signal processor, microcontroller, or other similar process device. Memory 1130 may be used to store instructions performed by the controller. The input / output device 1120 may receive data or a signal from the outside of the system 1100 or output data or a signal to the outside of the system 1100. For example, the input / output device 1120 may include a keyboard, a keypad, or a display device.

메모리(1130)는 본 발명의 실시예들에 따른 비휘발성 메모리 소자를 포함한다. 메모리(1130)는 또한 다른 종류의 메모리, 임의의 수시 접근이 가능한 휘발성 메모리, 기타 다양한 종류의 메모리를 더 포함할 수 있다.The memory 1130 includes a nonvolatile memory device according to embodiments of the present invention. The memory 1130 may also further include other types of memory, volatile memory that can be accessed at any time, and various other types of memory.

인터페이스(1140)는 데이터를 통신 네트워크로 송출하거나, 네트워크로부터 데이터를 받는 역할을 한다.The interface 1140 serves to transmit data to and receive data from the communication network.

도 19는 본 발명의 실시예들의 제조 방법에 따라 제조된 반도체 메모리 장치를 구비하는 메모리 카드의 일 예를 나타내는 개략 블록도이다. 19 is a schematic block diagram illustrating an example of a memory card including a semiconductor memory device manufactured according to a method of manufacturing embodiments of the present invention.

도 19를 참조하면, 고용량의 데이터 저장 능력을 지원하기 위한 메모리 카드(1200)는 본 발명에 따른 플래시 메모리 장치(1210)를 장착한다. 본 발명에 따른 메모리 카드(1200)는 호스트(Host)와 플래시 메모리 장치(1210) 간의 제반 데이터 교환을 제어하는 메모리 컨트롤러(1220)를 포함한다. Referring to FIG. 19, a memory card 1200 for supporting a high capacity of data storage capability includes a flash memory device 1210 according to the present invention. The memory card 1200 according to the present invention includes a memory controller 1220 that controls the exchange of all data between the host and the flash memory device 1210.

SRAM(1221)은 프로세싱 유닛(1222)의 동작 메모리로써 사용된다. 호스트 인터페이스(1223)는 메모리 카드(1200)와 접속되는 호스트의 데이터 교환 프로토콜을 구비한다. 에러 정정 블록(1224)은 멀티 비트 플래시 메모리 장치(1210)로부터 독출된 데이터에 포함되는 에러를 검출 및 정정한다. 메모리 인터페이스(1225)는 본 발명의 플래시 메모리 장치(1210)와 인터페이싱 한다. 프로세싱 유닛(1222)은 메모리 컨트롤러(1220)의 데이터 교환을 위한 제반 제어 동작을 수행한다. 비록 도면에는 도시되지 않았지만, 본 발명에 따른 메모리 카드(1200)는 호스트(Host)와의 인터페이싱을 위한 코드 데이터를 저장하는 ROM(미도시됨) 등이 더 제공될 수 있음은 이 분야의 통상적인 지식을 습득한 자들에게 자명하다. The SRAM 1221 is used as the operating memory of the processing unit 1222. The host interface 1223 includes a data exchange protocol of a host that is connected to the memory card 1200. Error correction block 1224 detects and corrects errors contained in data read from multi-bit flash memory device 1210. The memory interface 1225 interfaces with the flash memory device 1210 of the present invention. The processing unit 1222 performs various control operations for exchanging data of the memory controller 1220. Although it is not shown in the drawing, the memory card 1200 according to the present invention may be further provided with a ROM (not shown) or the like for storing code data for interfacing with a host, To those who have learned.

도 20은 본 발명의 실시예들의 제조 방법에 따라 제조된 반도체 메모리 장치를 장착하는 정보 처리 시스템의 일 예를 나타내는 개략 블록도이다. 20 is a schematic block diagram illustrating an example of an information processing system equipped with a semiconductor memory device manufactured according to the manufacturing method of the embodiments of the present invention.

도 20을 참조하면, 모바일 기기나 데스크 톱 컴퓨터와 같은 정보 처리 시스템에 본 발명의 플래시 메모리 시스템(1310)이 장착된다. 본 발명에 따른 정보 처리 시스템(1300)은 플래시 메모리 시스템(1310)과 각각 시스템 버스(760)에 전기적으로 연결된 모뎀(1320), 중앙처리장치(1330), 램(1340), 유저 인터페이스(1350)를 포함한다. 플래시 메모리 시스템(1310)은 앞서 언급된 메모리 시스템 또는 플래시 메모리 시스템과 실질적으로 동일하게 구성될 것이다. 플래시 메모리 시스템(1310)에는 중앙처리장치(1330)에 의해서 처리된 데이터 또는 외부에서 입력된 데이터가 저장된다. 여기서, 상술한 플래시 메모리 시스템(1310)이 반도체 디스크 장치(SSD)로 구성될 수 있으며, 이 경우 정보 처리 시스템(1300)은 대용량의 데이터를 플래시 메모리 시스템(1310)에 안정적으로 저장할 수 있다. 그리고 신뢰성의 증대에 따라, 플래시 메모리 시스템(1310)은 에러 정정에 소요되는 자원을 절감할 수 있어 고속의 데이터 교환 기능을 정보 처리 시스템(1300)에 제공할 것이다. 도시되지 않았지만, 본 발명에 따른 정보 처리 시스템(1300)에는 응용 칩셋(Application Chipset), 카메라 이미지 프로세서(Camera Image Processor: CIS), 입출력 장치 등이 더 제공될 수 있음은 이 분야의 통상적인 지식을 습득한 자들에게 자명하다.20, the flash memory system 1310 of the present invention is mounted in an information processing system such as a mobile device or a desktop computer. The information processing system 1300 according to the present invention includes a modem 1320, a central processing unit 1330, a RAM 1340, and a user interface 1350 electrically connected to a flash memory system 1310 and a system bus 760, respectively. It includes. The flash memory system 1310 may be configured substantially the same as the above-described memory system or flash memory system. The flash memory system 1310 stores data processed by the CPU 1330 or data externally input. In this case, the above-described flash memory system 1310 may be configured as a semiconductor disk device (SSD), in which case the information processing system 1300 can stably store a large amount of data in the flash memory system 1310. As the reliability increases, the flash memory system 1310 can save resources required for error correction and provide a high-speed data exchange function to the information processing system 1300. Although not shown, the information processing system 1300 according to the present invention may be further provided with an application chipset, a camera image processor (CIS), an input / output device, and the like. Self-explanatory to those who have learned.

또한, 본 발명에 따른 플래시 메모리 장치 또는 메모리 시스템은 다양한 형태들의 패키지로 실장 될 수 있다. 예를 들면, 본 발명에 따른 플래시 메모리 장치 또는 메모리 시스템은 PoP(Package on Package), Ball grid arrays(BGAs), Chip scale packages(CSPs), Plastic Leaded Chip Carrier(PLCC), Plastic Dual In-Line Package(PDIP), Die in Waffle Pack, Die in Wafer Form, Chip On Board(COB), Ceramic Dual In-Line Package(CERDIP), Plastic Metric Quad Flat Pack(MQFP), Thin Quad Flatpack(TQFP), Small Outline(SOIC), Shrink Small Outline Package(SSOP), Thin Small Outline(TSOP), Thin Quad Flatpack(TQFP), System In Package(SIP), Multi Chip Package(MCP), Wafer-level Fabricated Package(WFP), Wafer-Level Processed Stack Package(WSP) 등과 같은 방식으로 패키지화되어 실장될 수 있다.In addition, the flash memory device or the memory system according to the present invention may be mounted in various types of packages. For example, a flash memory device or a memory system according to the present invention may be a package on package (PoP), ball grid arrays (BGAs), chip scale packages (CSPs), plastic leaded chip carrier (PLCC), plastic dual in-line package. (PDIP), Die in Waffle Pack, Die in Wafer Form, Chip On Board (COB), Ceramic Dual In-Line Package (CERDIP), Plastic Metric Quad Flat Pack (MQFP), Thin Quad Flatpack (TQFP), Small Outline ( SOIC), Shrink Small Outline Package (SSOP), Thin Small Outline (TSOP), Thin Quad Flatpack (TQFP), System In Package (SIP), Multi Chip Package (MCP), Wafer-level Fabricated Package (WFP), Wafer- It can be packaged and mounted in the same manner as Level Processed Stack Package (WSP).

이상, 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.Although the embodiments of the present invention have been described above with reference to the accompanying drawings, those skilled in the art to which the present invention belongs may be embodied in other specific forms without changing the technical spirit or essential features of the present invention. You will understand that. Therefore, it should be understood that the embodiments described above are exemplary in all respects and not restrictive.

Claims (9)

기판 상에 (적어도) 2n(n은 2 이상의 정수)개의 제 1 및 제 2 물질막들이 번갈아서 반복적으로 적층된 박막 구조체를 형성하되,
상기 제 1 물질막은 상기 기판에 0.1~10×109 dyne/㎠의 스트레스를 인가하고, 상기 제 2 물질막은 -0.1~-10×109 dyne/㎠의 스트레스를 인가하는 3차원 반도체 장치의 제조 방법.
On the substrate (at least) 2n (n is an integer of 2 or more) to form a thin film structure in which the first and second material films are alternately stacked,
Fabrication of a three-dimensional semiconductor device in which the first material film applies a stress of 0.1 ~ 10 × 10 9 dyne / ㎠ to the substrate, the second material film applies a stress of -0.1 ~-10 × 10 9 dyne / ㎠ Way.
제 1 항에 있어서,
상기 박막 구조체를 관통하는 반도체 패턴들을 형성하고,
상기 박막 구조체를 패터닝하여 상기 반도체 패턴들 사이에서 상기 기판을 노출시키는 트렌치를 형성하고,
상기 트렌치에 노출된 상기 제 1 물질막들을 제거하여 상기 제 2 물질막들 사이에 리세스 영역들을 형성하고,
상기 리세스 영역들 내에 국소적으로 도전 패턴들을 형성하는 것을 더 포함하는 3차원 반도체 장치의 제조 방법.
The method of claim 1,
Forming semiconductor patterns penetrating the thin film structure,
Patterning the thin film structure to form a trench that exposes the substrate between the semiconductor patterns,
Removing the first material layers exposed to the trench to form recess regions between the second material layers,
And forming conductive patterns locally in the recess regions.
제 2 항에 있어서,
상기 도전 패턴들을 형성하기 전에,
상기 리세스 영역들 각각에 상기 반도체 패턴과 접촉하는 데이터 저장막을 형성하는 것을 더 포함하는 3차원 반도체 장치의 제조 방법.
The method of claim 2,
Before forming the conductive patterns,
And forming a data storage layer in contact with the semiconductor pattern in each of the recess regions.
제 1 항에 있어서,
상기 제 1 물질막들은 플라즈마 강화 화학기상증착(plasma enhanced chemical vapor deposition) 기술을 이용하여 증착된 실리콘 질화막이고,
상기 제 2 물질막들은 플라즈마 강화 화학기상증착 기술을 이용하여 증착된 실리콘 산화막인 3차원 반도체 장치의 제조 방법.
The method of claim 1,
The first material films are silicon nitride films deposited using plasma enhanced chemical vapor deposition technology.
And the second material films are silicon oxide films deposited using a plasma enhanced chemical vapor deposition technique.
제 4 항에 있어서,
상기 제 1 및 제 2 물질막들은 250℃ 내지 650℃의 증착 온도에서 형성하는 3차원 반도체 장치의 제조 방법.
The method of claim 4, wherein
The first and second material films are formed at a deposition temperature of 250 ° C to 650 ° C.
제 1 항에 있어서,
상기 박막 구조체를 형성하는 것은,
하나의 챔버 내에서 상기 제 1 물질막들 및 상기 제 2 물질막들을 형성하는 것인 3차원 반도체 장치의 제조 방법.
The method of claim 1,
Forming the thin film structure,
And forming the first material films and the second material films in one chamber.
제 1 항에 있어서,
상기 박막 구조체를 형성하는 것은,
상기 제 1 물질막들을 형성하는 챔버와 상기 제 2 물질막들을 형성하는 챔버를 번갈아 가면서 상기 기판 상에 제 1 및 제 2 물질막들을 형성하는 것인 3차원 반도체 장치의 제조 방법.
The method of claim 1,
Forming the thin film structure,
And forming first and second material films on the substrate by alternating the chamber for forming the first material films and the chamber for forming the second material films.
제 1 항에 있어서,
상기 박막 구조체를 형성하는 것은, 상기 기판의 중심과 상기 기판의 가장자리 간의 높이차를 ±200㎛로 조절하는 것인 3차원 반도체 장치의 제조 방법.
The method of claim 1,
Forming the thin film structure is a method of manufacturing a three-dimensional semiconductor device to adjust the height difference between the center of the substrate and the edge of the substrate to ± 200㎛.
제 1 항에 있어서,
상기 박막 구조체에 의해 상기 기판에 가해지는 스트레스는, 인장 스트레스를 갖는 상기 제 1 물질막들과, 압축 스트레스를 갖는 상기 제 2 물질막들에 의해 상쇄되는 3차원 반도체 장치의 제조 방법.
The method of claim 1,
The stress applied to the substrate by the thin film structure is canceled by the first material films having tensile stress and the second material films having compressive stress.
KR1020100090186A 2010-09-14 2010-09-14 Method for manufacturing three dimensional semiconductor memory device KR20120028147A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020100090186A KR20120028147A (en) 2010-09-14 2010-09-14 Method for manufacturing three dimensional semiconductor memory device
US13/230,447 US20120064682A1 (en) 2010-09-14 2011-09-12 Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US14/593,221 US9312270B2 (en) 2010-09-14 2015-01-09 Methods of manufacturing three-dimensional semiconductor memory devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100090186A KR20120028147A (en) 2010-09-14 2010-09-14 Method for manufacturing three dimensional semiconductor memory device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020180080921A Division KR101926048B1 (en) 2018-07-12 2018-07-12 Method for manufacturing three dimensional semiconductor memory device

Publications (1)

Publication Number Publication Date
KR20120028147A true KR20120028147A (en) 2012-03-22

Family

ID=46133146

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100090186A KR20120028147A (en) 2010-09-14 2010-09-14 Method for manufacturing three dimensional semiconductor memory device

Country Status (1)

Country Link
KR (1) KR20120028147A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150081393A (en) * 2014-01-03 2015-07-14 삼성전자주식회사 Vertical structure non-volatile memory device
KR20160070245A (en) * 2014-12-09 2016-06-20 삼성전자주식회사 Semiconductor device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070093030A1 (en) * 2003-12-08 2007-04-26 Buehrer Frederick W Reduction of boron diffusivity in pfets
KR100745360B1 (en) * 2006-08-30 2007-08-02 동부일렉트로닉스 주식회사 Method of improving adhesion force between layers
KR20080060388A (en) * 2006-12-27 2008-07-02 주식회사 하이닉스반도체 Semiconductor device for anti lifting and method for fabricating the same
US20080217663A1 (en) * 2007-03-06 2008-09-11 Doris Bruce B Enhanced Transistor Performance by Non-Conformal Stressed Layers
US7474004B2 (en) * 1997-04-04 2009-01-06 Elm Technology Corporation Three dimensional structure memory

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7474004B2 (en) * 1997-04-04 2009-01-06 Elm Technology Corporation Three dimensional structure memory
US20070093030A1 (en) * 2003-12-08 2007-04-26 Buehrer Frederick W Reduction of boron diffusivity in pfets
KR100745360B1 (en) * 2006-08-30 2007-08-02 동부일렉트로닉스 주식회사 Method of improving adhesion force between layers
KR20080060388A (en) * 2006-12-27 2008-07-02 주식회사 하이닉스반도체 Semiconductor device for anti lifting and method for fabricating the same
US20080217663A1 (en) * 2007-03-06 2008-09-11 Doris Bruce B Enhanced Transistor Performance by Non-Conformal Stressed Layers

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150081393A (en) * 2014-01-03 2015-07-14 삼성전자주식회사 Vertical structure non-volatile memory device
USRE48473E1 (en) 2014-01-03 2021-03-16 Samsung Electronics Co., Ltd. Vertical-type non-volatile memory devices having dummy channel holes
USRE49440E1 (en) 2014-01-03 2023-02-28 Samsung Electronics Co., Ltd. Vertical-type non-volatile memory devices having dummy channel holes
KR20160070245A (en) * 2014-12-09 2016-06-20 삼성전자주식회사 Semiconductor device

Similar Documents

Publication Publication Date Title
US11588032B2 (en) Three-dimensional semiconductor memory devices and methods of fabricating the same
US9312270B2 (en) Methods of manufacturing three-dimensional semiconductor memory devices
KR102046976B1 (en) Semiconductor memory device and method for fabricating the same
US9490130B2 (en) Method of manufacturing three-dimensional semiconductor memory device in which an oxide layer is formed at bottom of vertical structure of the device
US8450176B2 (en) Methods of manufacturing rewriteable three-dimensional semiconductor memory devices
US8569182B2 (en) Methods of fabricating three-dimensional semiconductor device
US9012320B2 (en) Three-dimensional semiconductor memory devices and methods of fabricating the same
KR101835114B1 (en) Three Dimensional Semiconductor Device And Method Of Fabricating The Same
KR101807247B1 (en) Method for manufacturing three dimensional semiconductor device
US20120070944A1 (en) Methods of Manufacturing Three Dimensional Semiconductor Devices
US20120003828A1 (en) Semiconductor memory device and method of forming the same
KR20110035525A (en) Nonvolatile memory devices and method for fabricating the same
KR20130007885A (en) Method for manufacturing three dimensional semiconductor device
KR20120130902A (en) Semiconductor memory device and method of forming the same
KR20110135692A (en) Three dimensional semiconductor memory device and method for manufacturing the same
KR20120047325A (en) Three dimensional semiconductor device and method for manufacturing the same
KR20110020533A (en) Method for fabricating rewriteable three dimensional memory device
KR20110132865A (en) Three dimensional semiconductor device and method for manufacturing the same
US8952448B2 (en) Three-dimensional semiconductor memory devices and methods for manufacturing same
US8445317B2 (en) Methods of fabricating semiconductor devices
KR101757454B1 (en) A method for forming a three dimensional non-volatile memory device
KR20120040761A (en) Method for manufacturing non-volatile memory device
KR20120028147A (en) Method for manufacturing three dimensional semiconductor memory device
KR20130084434A (en) Method of fabricating three dimensional semiconductor device
KR101926048B1 (en) Method for manufacturing three dimensional semiconductor memory device

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment