KR20110130257A - Method of forming a semiconductor device using photolithography - Google Patents

Method of forming a semiconductor device using photolithography Download PDF

Info

Publication number
KR20110130257A
KR20110130257A KR1020100049813A KR20100049813A KR20110130257A KR 20110130257 A KR20110130257 A KR 20110130257A KR 1020100049813 A KR1020100049813 A KR 1020100049813A KR 20100049813 A KR20100049813 A KR 20100049813A KR 20110130257 A KR20110130257 A KR 20110130257A
Authority
KR
South Korea
Prior art keywords
photoresist
pattern
film
opening
photoresist film
Prior art date
Application number
KR1020100049813A
Other languages
Korean (ko)
Inventor
박미라
김경미
박정주
이보희
김재호
김영호
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020100049813A priority Critical patent/KR20110130257A/en
Priority to US13/117,667 priority patent/US8551689B2/en
Publication of KR20110130257A publication Critical patent/KR20110130257A/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2008Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the reflectors, diffusers, light or heat filtering means or anti-reflective means used
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

PURPOSE: A method of forming a semiconductor device using a photolithography is provided to improve throughput by forming a much finer pattern using the same mask without an additional mask and reducing a setting time. CONSTITUTION: In a method of forming a semiconductor device using a photolithography, a reflection barrier layer and a first photoresist film are formed in the top of a substrate(S10). The first photoresist film is exposed to outside(S20). The first pattern including the first opening is formed by developing the first photoresist film(S30). The first pattern is plasma-processed(S40). A second photoresist film is formed in the first pattern(S50). The second photoresist film is exposed to outside(S60). A second pattern including the second opening is formed by developing the second photoresist film(S70).

Description

포토리쏘그래피를 이용한 반도체 소자의 형성 방법{METHOD OF FORMING A SEMICONDUCTOR DEVICE USING PHOTOLITHOGRAPHY}Method of forming semiconductor device using photolithography {METHOD OF FORMING A SEMICONDUCTOR DEVICE USING PHOTOLITHOGRAPHY}

본 발명은 포토리쏘그래피(photolithography)를 이용한 반도체 소자의 형성 방법에 관한 것으로서, 보다 상세하게는 포토리쏘그래피를 이용하여 미세한 패턴을 포함하는 반도체 소자를 형성하는 방법에 관한 것이다. 본 발명에 따르면, 단차 도포성(step coverage)이 우수하고 세밀한 치수를 가지는 패턴, 예를 들어 콘택 홀과 같은 개구를 구비하는 패턴을 포함하는 반도체 소자를 형성할 수 있다.The present invention relates to a method of forming a semiconductor device using photolithography, and more particularly, to a method of forming a semiconductor device including a fine pattern using photolithography. According to the present invention, it is possible to form a semiconductor device including a pattern having excellent step coverage and having fine dimensions, for example, a pattern having an opening such as a contact hole.

반도체 소자의 발전에 따라, 하이-엔드(high-end) 시장의 요구가 커지고면서, 또한 환경 친화적인 제품이 요구되면서 저전류 및 저전력의 반도체 소자의 개발이 중요해져 가고 있다. 이러한 업계의 요구를 충족시키기 위해서는 보다 집적도가 높고 세밀한 반도체 소자의 개발이 요구된다.With the development of semiconductor devices, the development of low-current and low-power semiconductor devices is becoming more important as the demand for high-end markets increases and environmentally friendly products are required. In order to meet the demands of the industry, the development of more integrated and detailed semiconductor devices is required.

이와 같이 고도로 집적화된 반도체 소자의 개발을 위해서는 미세 패턴을 형성하는 패턴 형성 기술의 개발이 필요하다. 이를 제어하는 광학의 기본 원리인 해상도(resolution)에 따르면 광원의 파장이 작을수록 분리할 수 있는 정도가 커진다. 종래에 사용 중인 노광 장비의 광원에 사용되는 KrF 레이저(laser)는 약 248nm 정도의 파장을 가지며, ArF 레이저는 약 193nm 정도의 파장을 갖지만, 보다 미세한 패턴을 형성하기 위해 더 짧은 파장의 광원이 필요하나 이에 감응하는 포토레지스트 물질과 포토리쏘그래피(또는 리쏘그래피) 장비의 개발과 및 세팅도 함께 수행되어야 한다.In order to develop a highly integrated semiconductor device, it is necessary to develop a pattern forming technology for forming a fine pattern. According to the resolution, which is the basic principle of the optics that control this, the smaller the wavelength of the light source, the greater the degree of separation. KrF lasers used for light sources of conventional exposure equipment have wavelengths of about 248 nm and ArF lasers have wavelengths of about 193 nm, but light sources with shorter wavelengths are required to form finer patterns. However, the development and setting of photoresist materials and photolithography (or lithography) equipment corresponding thereto should also be carried out.

이러한 문제점을 고려하여, 종래의 장비와 물질을 그대로 사용하면서도 보다 미세한 패턴을 구현하는 기술이 요망된다.In view of these problems, a technique for realizing finer patterns while using conventional equipment and materials is desired.

본 발명의 일 목적은 포토리쏘그래피를 이용하여 미세 콘택 홀 등의 개구를 갖는 고해상도의 패턴을 형성할 수 있는 방법을 제공하는 것이다.One object of the present invention is to provide a method capable of forming a high resolution pattern having openings such as fine contact holes using photolithography.

본 발명의 다른 목적은 전술한 패턴 형성 방법을 이용하여 미세 패턴을 구비하는 형성함으로 플래쉬(flash) 또는 디램(DRAM) 등의 반도체 소자를 형성하는 방법을 제공하는 것이다.Another object of the present invention is to provide a method of forming a semiconductor device such as a flash or DRAM by forming a fine pattern using the pattern forming method described above.

상술한 본 발명의 목적들은 병렬적이고 선택적인 것으로서, 본원의 특허 청구범위가 이를 모두 충족할 것을 의미하는 것은 아니다.The objects of the present invention described above are parallel and optional, and do not imply that the claims of the present application will all fulfill them.

본 발명의 일 측면(aspect)에 따른 포토리쏘그래피 이용한 반도체 소자의 형성 방법에 있어서, 저면 상에 반사 방지막 및 제1 포토레지스트막을 형성한다. 상기 제1 포토레지스트막을 노광한 다음, 현상하여 제1 개구를 포함하는 제1 패턴을 형성한다. 상기 제1 패턴을 플라스마 처리한 후, 상기 제1 패턴 상에 제2 포토레지스트막을 형성한다. 상기 제2 포토레지스트막을 노광하고, 현상하여 제2 개구를 포함하는 제2 패턴을 형성한다. 이때, 상기 제2 개구는 상기 제1 개구 보다 작은 치수를 가질 수 있다.In the method for forming a semiconductor device using photolithography according to an aspect of the present invention, an antireflection film and a first photoresist film are formed on a bottom surface thereof. The first photoresist film is exposed and then developed to form a first pattern including the first opening. After the plasma treatment of the first pattern, a second photoresist film is formed on the first pattern. The second photoresist film is exposed and developed to form a second pattern including a second opening. In this case, the second opening may have a smaller dimension than the first opening.

본 발명의 실시 태양에 있어서, 상기 제1 및 제2 패턴을 식각 마스크로 이용하여 상기 저면을 식각함으로써 미세한 개구를 갖는 저면 패턴을 형성할 수 있다.In an embodiment of the present invention, the bottom surface may be formed by etching the bottom surface by using the first and second patterns as an etching mask to form a bottom pattern having fine openings.

본 발명의 실시 태양에 따르면, 상기 제1 및 제2 포토레지스트막을 형성한 후에 각기 소프트 베이킹(soft baking)하는 단계를 추가적으로 포함할 수 있다. 또한, 상기 제1 및 제2 포토레지스트막을 현상한 후, 각기 하드 베이킹(hard baking)하는 단계를 추가적으로 포함할 수 있다. 한편, 상기 제1 및 제2 포토레지스트막을 노광한 후, 각기 베이킹하는 단계를 추가적으로 포함할 수 있다.According to an exemplary embodiment of the present invention, the method may further include soft baking after forming the first and second photoresist layers, respectively. The method may further include performing hard baking after developing the first and second photoresist layers. Meanwhile, the method may further include baking each of the first and second photoresist films and then baking the first and second photoresist films.

본 발명의 실시 태양에 있어서, 상기 플라스마 처리는 브롬화수소(HBr), 염화수소(HCl), 헬륨(He), 네온(Ne), 질소(N2) 및/또는 아르곤(Ar) 중에서 어느 하나 이상을 사용하여 수행될 수 있다. 본 발명의 일 측면에 있어서또한, 상기 플라스마 처리는 약 1초 내지 약 300초 동안 수행될 수 있다.In an embodiment of the present invention, the plasma treatment comprises one or more of hydrogen bromide (HBr), hydrogen chloride (HCl), helium (He), neon (Ne), nitrogen (N 2 ), and / or argon (Ar). Can be performed using. In one aspect of the invention, the plasma treatment may be performed for about 1 second to about 300 seconds.

본 발명의 실시 태양에 있어서, 상기 저면 패턴의 개구는 콘택 홀을 포함할 수 있으며, 상기 콘택 홀은 단면의 최소 치수가 약 30nm 이하일 수 있다.In an embodiment of the present disclosure, the opening of the bottom pattern may include a contact hole, and the contact hole may have a minimum dimension of about 30 nm or less in cross section.

본 발명의 실시 태양에 따르면, 상기 제1 및 제2 포토레지스트막은 각기 포지티브형 포토레지스트를 포함할 수 있다. 한편, 상기 제1 및 제2 포토레지스트막은 각기 네가티브형 포토레지스트를 포함할 수도 있다.According to an embodiment of the present invention, each of the first and second photoresist layers may include a positive photoresist. The first and second photoresist films may each include a negative photoresist.

본 발명의 실시 태양에 있어서, 상기 제1 및 제2 포토레지스트막은 각기 불화아르곤(ArF) 레이저 광원으로 노광할 수 있으며, 다른 파장의 광원도 사용할 수 있다. 또한, 상기 제1 및 제2 포토레지스트막은 각기 이멀젼 포토리쏘그래피 장치를 사용하여 노광될 수 있으며, 이러한 이멀젼 포토리쏘그래피 장치가 아닌 일반 포토리쏘그래피 장치를 사용할 수도 있다.In an embodiment of the present invention, each of the first and second photoresist films may be exposed to an argon fluoride (ArF) laser light source, and light sources of different wavelengths may also be used. In addition, the first and second photoresist films may be exposed using an emulsion photolithography apparatus, and a general photolithography apparatus other than such an emulsion photolithography apparatus may be used.

본 발명의 실시 태양에 따르면, 상기 반사 방지막은 유기 반사 방지막을 포함할 수 있으며, 광의 간섭을 이용하여 반사를 방지를 하는 막이라면 유기 반사 방지막 외에 국한되지 않을 수 있다.According to an embodiment of the present invention, the anti-reflection film may include an organic anti-reflection film, and may be not limited to the organic anti-reflection film as long as the film prevents reflection by using interference of light.

본 발명의 실시 태양에 있어서, 상기 플라스마 처리 및 상기 포토레지스트막의 형성을 적어도 2번 이상 반복 수행하여, 상기 저면 패턴의 개구에 비하여 보다 작은 치수를 갖는 개구를 포함하는 패턴을 형성할 수 있다.In an embodiment of the present invention, the plasma treatment and the formation of the photoresist film may be repeatedly performed at least two times to form a pattern including an opening having a smaller dimension than the opening of the bottom pattern.

본 발명의 실시 태양들에 따른 반도체 소자의 형성 방법은 플래시(flash) 소자 또는 디램(DRAM) 소자를 비롯한 다양한 반도체 장소자치를 제조하는 데 적용될 수 있다.The method of forming a semiconductor device according to embodiments of the present invention may be applied to fabricating various semiconductor localities including a flash device or a DRAM device.

본 발명에 따르면, 종래의 장비의 구성이나 설계의 변경 없이 보다 미세한 패턴, 예를 들어, 콘택 홀과 같은 미세 개구를 갖는 보다 미세한 패턴을 형성할 수 있다. 또한, 별도의 마스크의 제작 없이 동일한 마스크를 사용하면서 보다 미세한 패턴을 형성할 수 있다. 본 발명의 실시 태양(embodiment)에 의해 종래의 경우와 동일한 포토레지스트를 사용하여 보다 미세한 패턴을 형성할 수 있다. 이에 따라, 반도체 소자의 제조 공정이 간편해지고, 공정 조건을 세팅이하기 용이해지며, 세팅 시간이 감소하여 공정 쓰루풋(throughput)이 개선되면서, 소자를 제조하는 비용을 획기적으로 절감할 수 있다.According to the present invention, a finer pattern, for example, a finer pattern having fine openings such as contact holes can be formed without changing the configuration or design of the conventional equipment. In addition, a finer pattern may be formed while using the same mask without making a separate mask. According to the embodiment of the present invention, a finer pattern can be formed using the same photoresist as in the conventional case. Accordingly, the manufacturing process of the semiconductor device may be simplified, the process conditions may be easily set, the setting time may be reduced, and the process throughput may be improved, thereby significantly reducing the cost of manufacturing the device.

도 1은 본 발명의 실시예들에 따른 포토리쏘그래피를 방법을 이용한 저면의의 패터닝 방법을 설명하기 위한 공정 흐름도이다.
도 2 내지 도 13b는 본 발명의 실시예들에 따라 포지티브형 포토레지스트를 사용하는 포토리쏘그래피를 이용하여 저면 패턴을 형성하는 방법을 설명하기 위한 단면도들 및 평면도들이다.
도 14 내지 도 25b는 본 발명의 다른 실시예들에 따라 네가티브형 포토레지스트를 사용하는 포토리쏘그래피를 이용하여 저면의 패터닝하는 방법을 설명하기 위한 단면도 및 평면도들이다.
도 26은 본 발명에 따른 포토레지스트막, 반사 반지막 및 저면에 도달하는 광 파장의 반사 및 굴절 모습을 도시한 단면도이다.
도 27은 플라스마 처리 후의 포토레지스트막의 피크를 IR로 측정한 IR도이다.
도 28은 플라스마 처리 전 및 처리 후의 포토레지스트막 및 반사 방지막의 N-value 값을 대비한 그래프이다.
도 29는 플라스마 처리 전 및 처리 후의 포토레지스트막 및 반사 방지막의 K-value값을 대비한 그래프이다.
도 30은 플라스마 처리 전 및 처리 후의 포토레지스트막 및 반사 방지막의 반사도를 플로팅한 그래프이다.
도 31은 플라스마 처리 후의 2차 도포 후에 생성된 패턴의 두께를 플로팅한 그래프이다.
도 32a 및 도 32b는 제1 포토레지스트 패턴과 제2 포토레지스트 패턴을 보여주는 현미경 사진들이다.
1 is a process flow chart for explaining a bottom patterning method using a photolithography method according to embodiments of the present invention.
2 to 13B are cross-sectional views and plan views illustrating a method of forming a bottom pattern using photolithography using a positive photoresist according to embodiments of the present invention.
14-25B are cross-sectional views and plan views illustrating a method of patterning a bottom surface using photolithography using a negative photoresist according to other embodiments of the present invention.
Fig. 26 is a cross-sectional view showing the reflection and refraction of the light wavelength reaching the photoresist film, the reflective ring film and the bottom surface according to the present invention.
Fig. 27 is an IR diagram of the peak of the photoresist film after plasma treatment measured by IR.
28 is a graph comparing N-value values of the photoresist film and the anti-reflection film before and after plasma treatment.
29 is a graph comparing K-value values of the photoresist film and the anti-reflection film before and after plasma treatment.
30 is a graph plotting the reflectivity of the photoresist film and the anti-reflection film before and after the plasma treatment.
31 is a graph plotting the thickness of a pattern generated after the secondary coating after the plasma treatment.
32A and 32B are micrographs showing a first photoresist pattern and a second photoresist pattern.

용어의 정의Definition of Terms

본 명세서에 있어서, "포토리쏘그래피(photolithography)"란 포토마스크 또는 레티클에 제작된 회로도를 웨이퍼에 전사시켜 반도체 소자를 형성하는 공정을 의미하며, 약 10m마이크로 이내 치수를 가지는 미세 형상을 패터닝하는 방법을 통칭한다.In the present specification, "photolithography" refers to a process of transferring a circuit diagram manufactured on a photomask or a reticle onto a wafer to form a semiconductor device, and a method of patterning a fine shape having a dimension within about 10 m micro. Collectively.

"간섭"이란 둘 또는 여러 개의 파동이 만나서 세어지거나 약해지는 현상을 의미한다. "보강 간섭"은 두 파동의 위상차가 같아 진폭이 더 커지는 현상으로, 두 파원의 경로차가 반파장의 짝수배일 때 일어난다. "상쇄 간섭"은 두 파동의 위상차가 반대라서 진폭이 더 작아지는 현상으로, 두 파원으로부터 경로차가 반파장의 홀수배일 때 일어난다."Interference" means the phenomenon in which two or more waves meet and are counted or weakened. "Reinforcement interference" is a phenomenon in which the two waves have the same phase difference and have a larger amplitude, and occur when the path difference between the two waves is an even multiple of half wavelength. The "offset interference" is a phenomenon in which the amplitudes are smaller because the phase differences of the two waves are opposite, and occur when the path difference from the two sources is an odd multiple of half wavelength.

"회절"이란 파동의 진행 도중에 장애물을 만나거나 좁은 틈을 지날 때 장애물의 뒷부분까지 파동이 전달되는 현상을 의미하며, 파동이 진행하여 장애물의 모서리나 틈에 도달하면 그 부분의 파면상의 각점이 파원이 되어 새로운 파동을 만들며 진행하게 된다."Diffraction" refers to the phenomenon that the wave is transmitted to the back of the obstacle when it meets an obstacle or passes through a narrow gap in the course of the wave, and when the wave progresses and reaches the edge or the gap of the obstacle, each wave point on the wavefront of the portion is waved. This creates a new wave and proceeds.

"해상도"란 광학 기계로 식별되는 물체 위의 2점 사이의 거리 또는 시각을 말한다. 일반적으로 해상도는 다음의 식으로 표현된다. 여기서, k1은 포토레지스트 및 포토 설비 등에 좌우되는 고유 상수이고, 람다는 광원의 파장, NA 는 렌즈의 개구수를 의미한다."Resolution" refers to the distance or time between two points on an object identified by an optical machine. In general, the resolution is expressed by the following equation. Here, k1 is an intrinsic constant that depends on the photoresist, photo equipment, and the like, lambda is the wavelength of the light source, and NA is the numerical aperture of the lens.

[식][expression]

Figure pat00001
Figure pat00001

"고해상도"란 최소 치수가 약 60nm 이하의인 미세 치수를 결정하고, 제어할 수 있는 수준의 해상도를 의미한다.By "high resolution" is meant a level of resolution that can be determined and controlled to fine dimensions with a minimum dimension of about 60 nm or less.

"레티클" 또는 "마스크"란 반도체 기판 또는 공정이 진행된 기판 상에 2차원 평면의 모양을 예정하는 청사진으로 저면에 전달할 패턴을 포함한다. 이러한 레티클에는 다수의 다중 슬릿(slit)들을 포함하고 있어, 광의 진행에 회절과 간섭 등 다양한 광학 효과를 일으킨다.The term "reticle" or "mask" includes a pattern to be transferred to the bottom of the semiconductor substrate or the substrate on which the process has been progressed as a blueprint that defines the shape of the two-dimensional plane. These reticles contain a number of multiple slits, causing various optical effects, such as diffraction and interference, to the propagation of light.

"패턴"이란 레티클 또는 마스크에 설계된 모양을 의미하며 포토레지스트에 의해 전달되어 저면에 예정된 모양을 의미하며, "패터닝"이란 마스크에 설계된 모양을 저면에 옮기는 공정을 의미한다."Pattern" means the shape designed on the reticle or mask and means the shape intended to be transferred to the bottom by the photoresist, and "patterning" means the process of transferring the shape designed on the mask to the bottom.

"저면(底面)"이란, 패턴을 형성하고자 하는 대상면 또는 막을 의미한다. 패턴이 옮겨지는 면 또는 막을 의미하므로 반도체 기판뿐만 아니라 공정이 진행된 기판을 포함하며, 포토레지스트도 저면이 될 수 있다. 2차원적으로 평탄한 평면뿐만 아니라 굴곡 및 요철이 있는 면, 단일 물질층 외에도 복합면도 포함한다. 포토레지스트를 통하여 마스크의 패턴이 전사되므로 저면 위에 포토레지스트가 도포된다. 포토레지스트 외에 포토리쏘그래피를 수행하기 위해 필요한 면을 추가로 포함할 수 있다. 예를 들어, 저면 상의 반사로 인해 원치 않는 노광의 혼란을 제어하기 위해 반사 방지막(anti-reflective film or layer)을 저면 상에 추가로 도포할 수 있다."Bottom" means the object surface or film | membrane to which a pattern is to be formed. Since the pattern or the film is transferred, it includes not only a semiconductor substrate but also a substrate on which a process is performed, and a photoresist may also be a bottom surface. In addition to planes that are flat in two dimensions, curved and uneven surfaces, as well as a single layer of material, include composite surfaces. Since the pattern of the mask is transferred through the photoresist, a photoresist is applied on the bottom surface. In addition to the photoresist, it may further include a surface necessary for performing photolithography. For example, an anti-reflective film or layer may be further applied on the bottom to control unwanted exposure disturbances due to reflection on the bottom.

"하부면"이란 어느 층의 아래 측에 위치한 막을 의미하기 때문에, 본원에 명세서에서서는 저면이 하부면으로 불리는 경우도 있다.The term "lower surface" means a film located on a lower side of a layer, so in the present specification, the bottom surface is sometimes referred to as the lower surface.

"포토레지스트(photoresist)"란 광에 반응하여 노광된 부분과 비노광된 부분과 물성이 달라져, 마스크에 예정된 패턴의 정보를 저면에 전달할 수 있는 물질을 의미한다. 광에 반응하는 감광성 유기물, 예를 들어 감광성 고분자, 감광성 유기 고분자를 포함한다. 물성의 차이로는 현상하기 위한 약품(본 명세서에서는 이를 "현상액"이라 한다)에 대한 용해도의 차이를 포함한다. 포토레지스트의 종류로는 노광된 부분의 성질에 따라 포지티브형(positive type) 포토레지스트와 네가티브형(negative type) 포토레지스트로 분류될 수 있다. 포지티브형 포토레지스트란 광에 반응된 부분이 현상액에 의하여 제거되는 것이고, 네가티브형 포토레지스트란 광에 반응되지 않는 부분, 즉 비노광 부분이 현상액에 의하여 제거되는 포토레지스트를 의미한다.The term "photoresist" refers to a material capable of transferring the information of a predetermined pattern to a mask on the bottom surface by changing the exposed and unexposed portions and physical properties in response to light. Photosensitive organic substances that react to light, such as photosensitive polymers and photosensitive organic polymers. Differences in physical properties include differences in solubility in chemicals for development (in the present specification, "development"). The photoresist may be classified into a positive type photoresist and a negative type photoresist according to the properties of the exposed portion. The positive type photoresist is a portion in which a portion reacted with light is removed by a developer, and the negative type photoresist is a photoresist in which a portion which is not reacted with light, that is, a non-exposed portion, is removed by a developer.

"현상(development)"이란 약품(agent or reagent)을 통하여 원하는 패턴이 나타나도록 하는 공정을 의미한다.By "development" is meant a process by which a desired pattern appears through an agent or reagent.

"플라스마(plasma)"란 자유로이 운동하는 양하전 입자 및 음하전 입자가 중성기체와 섞여 전체적으로 중성인 상태를 의미하며, "플라스마 처리"란 플라스마의 에너지와 플라스마에 첨가된 물질을 이용한 조작을 의미한다."Plasma" refers to a state in which positively charged particles and negatively charged particles that are freely moving are generally neutral mixed with a neutral gas, and "plasma treatment" refers to an operation using energy of plasma and a substance added to the plasma.

"개구"란, 평면의 일부에 함몰(recess) 또는 관통된 모양을 의미하며, 그 상부는 폐쇄될 수도 있고 개방될 수 있다.By “opening” is meant a recessed or pierced shape in a portion of the plane, the top of which may be closed or open.

"변성"이란 플라스마 또는 열처리를 받은 후 막질의 물성이 변화된 현상을 의미하며, 광에 반응하여 이루어진 포토레지스트의 물성의 "변화"와는 구별된다.The term "modification" refers to a phenomenon in which the physical properties of the film are changed after being subjected to plasma or heat treatment, and are distinguished from the "change" in the physical properties of the photoresist made in response to light.

"베이킹(baking)" 또는 "베이크(bake)"란 막, 층, 또는 필름이나, 이를 포함하는 저면의 온도를 승온하는 공정을 의미한다.By "baking" or "bake" is meant a process of raising the temperature of a film, layer, or film, or of the bottom comprising the same.

"소프트 베이킹(soft baking)"이란 노광 전 온도를 승온하는 과정을 의미하고, "하드 베이킹(hard baking)"이란 현상 후에 온도를 승온하는 과정을 의미한다. 예를 들면, (후에 온도 정의, 소프트 베이킹 과정에서는 용매에 따라 차이가 있으나 약 30~110℃ 정도의 온도로 승온할 수 있으며, 하드 베이킹 과정에서는 약 80~ 160℃로 승온할 수 있다)."Soft baking" refers to a process of raising the temperature before exposure, and "hard baking" refers to a process of raising the temperature after development. For example, (after temperature definition, the soft baking process may vary depending on the solvent, but the temperature may be raised to about 30 to 110 ° C., and the hard baking may be heated to about 80 ° C. to 160 ° C.).

"노광 후 베이킹"이란 노광 공정 후에 온도를 승온하는 공정을 의미한다."Post-exposure bake" means a process of raising the temperature after the exposure process.

"치수(dimension)"란 패턴이 형성하는 모양의 폭, 길이, 깊이 등의 수치를 의미하며, "최소 치수"란 모양의 치수 중에서 가장 작은 치수를 의미한다."Dimension" means a value such as the width, length, depth, etc. of the shape formed by the pattern, and "minimum dimension" means the smallest of the dimensions of the shape.

상기 정의된 용어들 이외의 용어들은 해당 기술 분야에서 관용적으로 사용되고 있는 의미 또는 일반적으로 사용되고 있는 용어에 정의된 바에 따른다. 다시 말하면, 본 명세서에서 다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가지고 있다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥 상 가지는 의미와 일치하는 의미를 가지는 것으로 해석되어야 하며, 본 명세서에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.Terms other than the terms defined above are defined in the meanings commonly used in the art or in terms generally used. In other words, unless otherwise defined herein, all terms used herein, including technical or scientific terms, have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. have. Terms such as those defined in the commonly used dictionaries should be construed as having meanings consistent with the meanings in the context of the related art, and are not to be construed in ideal or excessively formal meanings unless expressly defined herein. Do not.

본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 다양한 실시예들을 본 명세서에 상세하게 설명한다. 그러나 이러한 실시예들은 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. 각 도면에 있어서, 동일하거나 유사한 참조 부호는 동일하거나 유사한 구성 요소를 나타낸다. "제1", "제2" 등의 용어는 동일 또는 유사한 특성 또는 물성을 갖는 구성 요소를 구별하여 지칭하기 위해 사용한다. 용어 "1차" 및/또는 "2차"는 공정의 진행 과정을 표시할 때 많이 사용되고, 제1 또는 제2는 구성 요소를 구별하기 위해 사용되지만, 상기 용어들은 하나의 구성 요소를 다른 구성 요소로부터 구별하는 목적으로만 사용되며, 각각 "1차", "2차" 등의 용어와 교환 가능하게 사용된다. 본 명세서에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 명세서에서, "포함하다", "포함된다", "갖는다", "구성한다" 또는 "함유한다" 등의 용어는 명세서 상에 기재된 특징, 숫자, 단계, 동작, 구성 요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성 요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.As the invention allows for various changes and numerous embodiments, various embodiments will be described in detail herein. However, these examples are not intended to limit the present invention to the specific disclosed form, it should be understood to include all modifications, equivalents, and substitutes included in the spirit and scope of the present invention. In each figure, the same or similar reference numerals represent the same or similar components. Terms such as "first" and "second" are used to distinguish and refer to components having the same or similar properties or properties. The terms "primary" and / or "secondary" are often used to indicate the progress of a process and the first or second is used to distinguish between components, but the terms refer to one component as another component. It is used only for the purpose of distinguishing from and used interchangeably with terms such as "primary" and "secondary", respectively. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. Singular expressions include plural expressions unless the context clearly indicates otherwise. In this specification, terms such as "comprise", "include", "have", "comprise" or "include", and the like refer to features, numbers, steps, operations, components, parts, or combinations thereof described on the specification. It is to be understood that one is intended to be present and does not exclude in advance the possibility of the presence or addition of one or more other features or numbers, steps, operations, components, parts or combinations thereof.

첨부된 도면에 있어서 보이는 저면, 층(막, 또는 필름) 또는 패턴들이 갖는 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대 과장하여 도시할 수 있다. 본 발명세서에 있어서, 각 층(막), 패턴 또는 구조물들이 기판, 각 층(막) 또는 패턴들의 "상에", "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 패턴 또는 구조물들이 직접 기판, 각 층(막) 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 패턴 또는 다른 구조물들이 기판 상에 추가적으로 형성될 수 있다.The dimensions of the bottom, layer (film, or film) or patterns shown in the accompanying drawings may be exaggerated and magnified than actual for clarity of the invention. In the present specification, each layer (film), pattern or structures is referred to as being formed on the substrate, each layer (film) or patterns "on", "upper" or "lower". Film), pattern or structures directly formed on or below the substrate, each layer (film) or patterns, or other layers (film), other patterns or other structures may be additionally formed on the substrate.

이하, 첨부한 도면을 참조하여 본 발명의 실시예들에 따른 포토리쏘그래피를 이용한 반도체 소자의 형성 방법에 대하여 상세하게 설명한다.Hereinafter, a method of forming a semiconductor device using photolithography according to embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 1은 본 발명의 실시예들에 따라 포토리쏘그래피를 이용하여 저면을 패터닝하는 방법을 설명하기 위한 흐름도이다.1 is a flowchart illustrating a method of patterning a bottom surface using photolithography according to embodiments of the present invention.

도 1을 참조하면, 막(또는 필름)이 형성되는 저면 상에 제1 포토레지스트를 도포한 후다(단계 S10), 상기 전면 상에 도포된 제1 포토레지스트를 노광시킨다(단계 S20). 본 발명에 있어서, 포토리쏘그래피 공정은 광을 레티클(마스크)에 통과시키고 렌즈를 거쳐 상기 저면을 패터닝하는 방법을 포함한다. 상기 저면 상에 형성되는 제1 포토레지스트는 광을 받는 부분의 특성이 변화하는 감광성 포토레지스트를 포함할 수 가 도포되어 있으며, 이러한 제1 포토레지스트를 통하여 노광 마스크의 패턴의 모양을 상기 저면에 전달사할 수 있다.Referring to FIG. 1, after applying a first photoresist on a bottom surface on which a film (or film) is formed (step S10), the first photoresist applied on the entire surface is exposed (step S20). In the present invention, the photolithography process includes a method of passing light through a reticle (mask) and patterning the bottom through a lens. The first photoresist formed on the bottom surface may be coated with a photosensitive photoresist in which the characteristics of the light receiving portion are changed. The first photoresist transmits the shape of the pattern of the exposure mask to the bottom surface through the first photoresist. I can buy it.

상기 제1 포토레지스트의 노광 단계를 통해 특성이 변화된 노광부와 비노광된 비노광부로 구분된 상기 제1 포토레지스트를 현상하여(단계 S30), 현상액의 용해도 또는 반응도의 차이에 의하여 상기 저면 상에 제1 패턴을 형성한다. 상기 제1 포토레지스트로부터가 소정의 모양이 나타난 제1 패턴이 형성되면, 이를 식각 마스크로 이용하는 식각 공정을 통해하여 노출된 저면(하층)이 부분적으로 제거되어 제1 패턴이 상기 저면에 전사되며, 이러한 저면니 원하는 패턴 형상으로 구현된다. 상기 식각 공정으로는 등방성 식각 공정보다 이방성 식각 공정이 유리하며, 이러한, 이방성 식각 공정으로서는 건식 식각(dry etching) 공정을 들 수 있다.The first photoresist, which is divided into an exposed part and an unexposed non-exposed part whose characteristics have been changed through the exposing step of the first photoresist, is developed (step S30), and is formed on the bottom surface by a difference in solubility or reactivity of the developer. A first pattern is formed. When a first pattern having a predetermined shape is formed from the first photoresist, an exposed bottom surface (lower layer) is partially removed through an etching process using the etching pattern, and the first pattern is transferred to the bottom surface. This bottom surface is implemented in a desired pattern shape. The anisotropic etching process is more advantageous than the isotropic etching process as the etching process, and the anisotropic etching process may include a dry etching process.

본 발명의 일 실시 태양에 있어서, 상기 제1 포토레지스트를 1차 현상하여 상기 제1 패턴을 형성한 후, 바로 상기 저면을 식각하지 않고, 다시 상기 제1 패턴 상에 제2 포토레지스트를 도포하고(단계 S50), 도포된 제2 포토레지스트를 노광한 다음(단계 S60), 노광된 제2 포토레지스트를 현상하여(단계 S70), 제2 패턴을 형성함으로써, 종래의 포토리쏘그래피 장비 및 포토레지스트가 구현할 수 있는 치수보다 더욱 세밀하고 정교한 패턴을 형성할 수 있다. 본 발명의 일 실시 태양에 따르면, 상기 저면 상에 상기 제1 패턴을 형성한 후에, 추가 처리를 수행하여 상기 제1 패턴과 반사 방지막의 어느 하나 또는 이들 모두를 변성시킨 후 2차적으로 제2 포토레지스트를 도포 및 노광 및 현상하여 최소 치수가 더욱 세밀한 패턴을 형성할 수 있다. 이러한 추가 처리로는 플라스마 처리(단계 S40)를 들 수 있다. 예를 들면, 상기 플라스마 처리는 브롬화수소(HBr), 염화수소(HCl) 등의 할로겐화수소의 플라스마 처리, 또는 아르곤(Ar), 헬륨(He), 네온(Ne), 질소(N2) 등 불활성 기체의 플라스마 처리를 포함할 수 있다. 본 발명에 있어서 최소 치수는 50nm 이하까지 구현가능하며 바람직하게는 30nm 이하, 더욱 바람직하게는 17nm 내지 50nm 의 최소 치수가 구현 가능하다. 본 발명의 있어서 플라스마의 처리 시간은 공정 조건에 의하여 변경될 수 있는 부분이나, 약 1초 내지 약 300초 동안 처리할 수 있다.In one embodiment of the present invention, after first developing the first photoresist to form the first pattern, the second photoresist is applied on the first pattern again without etching the bottom surface immediately. (Step S50), the applied second photoresist is exposed (step S60), and then the exposed second photoresist is developed (step S70) to form a second pattern, thereby forming a conventional photolithography apparatus and photoresist. It is possible to form a finer and more precise pattern than can be realized. According to one embodiment of the present invention, after the first pattern is formed on the bottom surface, further processing is performed to denature either or both of the first pattern and the anti-reflection film, and then secondarily to the second photo. The resist can be applied, exposed and developed to form a pattern with a finer minimum dimension. Such further processing includes plasma processing (step S40). For example, the plasma treatment is a plasma treatment of hydrogen halides such as hydrogen bromide (HBr) and hydrogen chloride (HCl), or an inert gas such as argon (Ar), helium (He), neon (Ne), nitrogen (N 2 ), and the like. Plasma treatment may be included. In the present invention, the minimum dimension may be implemented up to 50 nm or less, preferably 30 nm or less, more preferably 17 nm to 50 nm. In the present invention, the treatment time of the plasma may be changed by the processing conditions, but may be treated for about 1 second to about 300 seconds.

본 발명의 실시예들에 있어서, 상기 포토레지스트는 고분자인 레진을 포함하는 용액 또는 유체의 형태로 상기 저면 상에 도포되므로, 상기 본원 발명의 일 태양에 있어서, 포토레지스트가 도포되어 형성되는 포토레지스트막의 막질의 밀도를 높이기 위해, 용매를 제거하기 위해 상기 포토레지스트가 형성된 상기 저면을 승온하는 과정, 즉 상기 포토레지스트를 베이킹하는 과정을 포함할 수 있다.In the embodiments of the present invention, since the photoresist is applied on the bottom surface in the form of a solution or a fluid containing a resin that is a polymer, in one aspect of the present invention, a photoresist is formed by applying a photoresist In order to increase the density of the film quality of the film, the method may include heating the bottom surface of the photoresist on which the photoresist is formed to remove the solvent, that is, baking the photoresist.

상기 포토레지스트는 노광된 부분이 변화하여 현상액에 대한 용해도가 증가되는 포지티브형 포토레지스트와 노광된 부분, 즉 노광부가 변화하여 용해도가 감소되는 네가티브형 포토레지스트로 나눌 수 있다.The photoresist may be divided into a positive photoresist in which the exposed portion is changed to increase its solubility in a developer, and a negative photoresist in which the exposed portion is changed, that is, the solubility is reduced.

포지티브형 포토레지스트의 경우, 현상(development)으로 노광된 부분의 포토레지스트가 제거되어 포토레지스트 패턴이 형성된다. 네가티브형 포토레지스트의 경우에는 현상으로 비노광된 부분의 포토레지스트가 제거되어 포토레지스트 패턴이 형성된다.In the case of the positive photoresist, the photoresist of the portion exposed by development is removed to form a photoresist pattern. In the case of the negative photoresist, the photoresist of the portion unexposed by development is removed to form a photoresist pattern.

상기 제1 패턴 및/또는 상기 제2 패턴을 이용하여 상기 저면을 식각(단계 S80)함으로써, 하여 제1 패턴 및/또는 제2 패턴의 형상을 상기 저면에 전달사한 다음에, 스트리핑 공정을 수행하여 잔류하는 포토레지스트의 일부를 제거한다. 이에 따라 다양하고 미세한 패턴을 형성할 수 있으며, 직선 라인 형태의 패턴, 종횡비(aspect ratio)가 큰 개구를 갖는 패턴 등 다양한 패턴을 미세하고 정밀하게 형성할 수 있다. 예를 들면, 상기 개구는 콘택 홀을 포함할 수 있으며, 이러한 콘택 홀은 약 17nm 내지 약 50nm 정도의 미세한 치수를 가질 수 있다.The bottom surface is etched using the first pattern and / or the second pattern (step S80) to transfer the shape of the first pattern and / or the second pattern to the bottom surface, and then a stripping process is performed. To remove some of the remaining photoresist. Accordingly, a variety of fine patterns can be formed, and various patterns, such as a pattern having a straight line shape and a pattern having a large aspect ratio, can be formed finely and precisely. For example, the opening may include a contact hole, and the contact hole may have a minute dimension of about 17 nm to about 50 nm.

이하, 본 발명의 실시예들에 따라, 예를 들어 콘택 홀과 같은 개구를 갖는 패턴을 형성하는 방법을 설명한다.Hereinafter, according to embodiments of the present invention, a method of forming a pattern having an opening such as, for example, a contact hole will be described.

도 2 내지 도 13b는 포지티브형 포토레지스트를 사용하여 저면으로부터 콘택 홀을 갖는 패턴을 형성하는 방법과정을 설명하기 위한 단면도들 및 평면도들이다.2 to 13B are cross-sectional views and plan views illustrating a method of forming a pattern having a contact hole from a bottom by using a positive photoresist.

도 2를 참조하면, 저면(1000)을 제공한 후하고, 저면(1000) 상에 반사 방지막(anti-reflective film or layer)(500)을 형성한다. 본 명세서에서 저면(1000)이란 패턴이 옮겨지는 면 또는 막을 의미하므로 반도체 기판뿐만 아니라 공정이 진행된 기판을 포함할 수 있으며, 포토레지스트 자체도 저면(1000)에이 해당될 수 있다. 도면에서는 저면(1000)의 상부가 2차원적으로 평탄한 평면의 형태로 도시되었지만, 이러한 평면뿐만 아니라 굴곡 및 요철이 있는 면, 단일 물질층 외에도 복합면도 포함할 수 있다. 후속하여, 제1 포토레지스트막(100)(도 3 참조)을 통하여 제1 마스크(800p)(도 3 참조)의 패턴이 전사되므로 저면(1000) 상에 제1 포토레지스트막(100)이 형성된다. 본 발명의 다른 실시예에 있어서, 제1 포토레지스트막(100) 외에 포토리쏘그래피를 수행하기 위해 요구되는 면이 저면(1000) 및/또는 반사 방지막(500) 상에 추가적으로 형성될 수 있다.Referring to FIG. 2, after providing the bottom surface 1000, an anti-reflective film or layer 500 is formed on the bottom surface 1000. In this specification, since the bottom surface 1000 refers to a surface or a film on which a pattern is transferred, the bottom surface 1000 may include not only a semiconductor substrate but also a substrate on which a process is performed, and the photoresist itself may correspond to the bottom surface 1000. Although the top of the bottom surface 1000 is illustrated in the form of a two-dimensional flat plane in the drawing, not only the plane but also the curved and uneven surface, in addition to a single material layer may include a composite surface. Subsequently, since the pattern of the first mask 800p (see FIG. 3) is transferred through the first photoresist film 100 (see FIG. 3), the first photoresist film 100 is formed on the bottom surface 1000. do. In another embodiment of the present invention, a surface required for performing photolithography in addition to the first photoresist film 100 may be additionally formed on the bottom surface 1000 and / or the anti-reflection film 500.

반사 방지막(500)에 있어서, 하부면과 투과면의 반사 및 계면에서의 반사로 인해 발생되는 광 세기의 혼란을 제어하기 위해 광학 제어 막(anti-reflective film or layer)을 저면(1000) 상에 추가로 도포할 수 있다. 반사 방지막(500)은 탄소막 등을 포함하는 무기 반사 방지막과 유기 물질을 포함하는 유기 반사 방지막으로 분류될 수 있다. 반사 방지막(500)이 무기 반사 방지막일 경우, 광 세기를 흡수하여 반사 방지막(500)의 하부면에서 반사되는 반사광을 약화하여 반사를 방지한다. 반면, 반사 방지막(500)이 유기 반사 방지막일 경우에는 파동의 보강 및 상쇄 간섭의 원리를 이용하여 반사되는 광을 소멸시켜 반사를 방지한다. 본 발명에서는 무기 반사 방지막과 유기 반사 방지막 중 어느 쪽 또는 양자 모두를 채택할 수 있으며, 유기 반사 방지막을 단독으로 또는 함께 사용할 수도 있다.In the anti-reflection film 500, an anti-reflective film or layer is placed on the bottom surface 1000 to control the disturbance of the light intensity caused by the reflection of the lower surface and the transmissive surface and the reflection at the interface. It can be applied further. The antireflection film 500 may be classified into an inorganic antireflection film including a carbon film and the like and an organic antireflection film including an organic material. When the anti-reflection film 500 is an inorganic anti-reflection film, light intensity is absorbed to weaken the reflected light reflected from the lower surface of the anti-reflection film 500 to prevent reflection. On the other hand, when the anti-reflection film 500 is an organic anti-reflection film, the reflected light is extinguished by using the principles of wave reinforcement and destructive interference to prevent reflection. In the present invention, either or both of an inorganic antireflection film and an organic antireflection film may be adopted, and the organic antireflection film may be used alone or in combination.

도 3을 참조하면, 반사 방지막(500) 상에 제1 포토레지스트막(100)을 형성한다. 도 26은 반사 방지막(500)과 제1 포토레지스트막(100) 단면에서의 빛의 경로를 설명하기 위한 단면도면이다.Referring to FIG. 3, the first photoresist film 100 is formed on the anti-reflection film 500. FIG. 26 is a cross-sectional view illustrating a path of light in cross-sections of the anti-reflection film 500 and the first photoresist film 100.

도 26에 나타낸 바와 같이, 반사 방지막(500)은 저면(1000)으로부터 반사된 광과 소멸 간섭을 일으켜 저면(1000)으로부터의 반사광을 약화 또는 제거시켜 저면으로부터 반사광으로 인한 패턴의 혼란을 방지한다.As shown in FIG. 26, the anti-reflection film 500 causes extinction interference with the light reflected from the bottom surface 1000 to attenuate or remove the reflected light from the bottom surface 1000 to prevent the pattern from being disturbed due to the reflected light from the bottom surface.

제1 포토레지스트막(100)은 감광성의 고분자 물질을 포함하며, 광을 수용하여 노출된 부분의 물성이 변화하여 현상액에 대한 용해도 또는 반응성을 증가 또는 감소시켜 선택적인 패턴을 구현함으로써, 후에 드라이 에칭 등의 식각 과정 시에 현상 후 잔류하는 제1 포토레지스트 패턴(110)(도 5a 참조)이 식각 마스크의 역할을 하여 저면(1000)을 패터닝하도록 한다.The first photoresist film 100 includes a photosensitive polymer material, and receives the light to change physical properties of the exposed part to increase or decrease the solubility or reactivity of the developer to implement a selective pattern, thereby performing dry etching. The first photoresist pattern 110 (see FIG. 5A) remaining after the development during the etching process may serve as an etching mask to pattern the bottom surface 1000.

제1 포토레지스트막(100)을 노광하기 위한 광원으로는 포토리쏘그래피에 사용되는 모든 종류의 광원이 사용될 수 있으며, 백색광이나, 단색광을 포함할 수 있다. 본 발명에서는 단색 파장의 광인 레이저를 사용할 수 있다. 예를 들면, 레이저 광원으로는 i-line, g-line 등의 광도 사용할 수 있으나, KrF(248nm) 레이저 광, ArF(193nm) 레이저 광도 사용할 수 있다. 그러나 본 발명이 노광 장비의 중 레이저 광원의 종류에 제한되는 것은 아니며, 다른 단일 파장광을 형성하는 레이저 광원을 사용할 수도 있다.As a light source for exposing the first photoresist film 100, all kinds of light sources used in photolithography may be used, and may include white light or monochromatic light. In this invention, the laser which is the light of monochromatic wavelength can be used. For example, light such as i-line or g-line may be used as the laser light source, but KrF (248 nm) laser light and ArF (193 nm) laser light may also be used. However, the present invention is not limited to the type of laser light source among the exposure equipment, and a laser light source for forming other single wavelength light may be used.

본 발명에서 사용되는 노광 장비는 광원을 형성하는 레이저 장비, 빛을 분산 또는 집중하는 역할 등을 하는 렌즈, 원하는 패턴에 대한 형상을 담고 있는 레티클(마스크)을 포함할 수 있다.The exposure equipment used in the present invention may include a laser device for forming a light source, a lens for dispersing or concentrating light, and a reticle (mask) containing a shape for a desired pattern.

원하는 패턴의 모양을 제1 포토레지스트막(100)을 비롯한 저면(1000)에 최대한 근접하도록 하기 위하여 짧은 파장의 광원과 개구수가 큰 렌즈를 사용할 수 있다. 또한, 원하는 이미지를 원하는 치수 내로 포커스싱하는 것이 필요한 바, 이를 위해서도 개구수가 큰 렌즈를 사용할 수 있다.In order to bring the shape of the desired pattern as close as possible to the bottom surface 1000 including the first photoresist film 100, a light source having a short wavelength and a lens having a large numerical aperture may be used. In addition, since it is necessary to focus a desired image within a desired dimension, a lens having a large numerical aperture can also be used for this purpose.

본 발명에서는 일반적인 리쏘그래피 및 이멀젼 리쏘그래피 설비가 사용될 수 있다. 상기 이멀젼 리쏘그래피는 대물렌즈(projection optic or lens)와 저면 사이에 액체(예를 들면, 굴절율 1.44 정도의 물)를 채워서 해상도를 높이고 포커싱 범위도 확장시켜 패턴 모양을 양호하게 형성할 수 있다. 파장은 그대로 유지하며, 렌즈와 웨이퍼 사이에 고굴절율의 액체인 물을 사용하여 입사하는 광의 각도를 줄여 초점 심도(DOF)를 개선할 수 있고, 예전에는 전반사하는 광을 보낼 수 있어, 개구수(NA)를 1이상으로 하여 같은 파장이라도 해상도가 좋은 것을 사용할 수 있다.General lithography and emulsion lithography equipment can be used in the present invention. The emulsion lithography can fill a liquid (eg, water having a refractive index of about 1.44) between the projection optic or lens and the bottom to increase the resolution and expand the focusing range to form a good pattern shape. It maintains the wavelength as it is, and improves the depth of focus (DOF) by reducing the angle of incident light by using water, which is a high refractive index liquid, between the lens and the wafer. If NA) is set to 1 or more, a good resolution can be used even at the same wavelength.

제1 포토레지스트막(100)에 포함되는 레지스트 조성물은 고분자랑 분자량이 수천에서 수만 정도인 고분자랑을 가지이며, 여기에 포함되는 모노머(monomer)란 단위체가 반복해서 형성된 유기물인 레진을 포함한 혼합물이다. 제1 포토레지스트막(100)을 용이하게 형성할 수 있고 다양한 기능을 가지는 모노머가 연결된 고분자가 사용될 수 있다. 다시 말해서, 포토레지스트 조성물은 광에 노출되면 화학 반응에 의해 현상액에 대한 용해도가 변화하게 되는 감광성 고분자 혹은 감광성 분자와 고분자 혼합물을 포함하고 있다. 포토레지스트는 조성물의 형태, 액상 형태의 제품으로 공급되며, 용제(용매), 감광성 레진, 염기 ??쳐, 인히비터, 계면 활성제, 광반응 물질, 반응 촉진제, 반응 억제제 등의 첨가물을 추가적으로 포함할 수 있다.The resist composition included in the first photoresist film 100 has a macromolecular mole having a polymer molecular weight of several thousand to tens of thousands, and a monomer included therein is a mixture containing a resin, an organic material in which monomers are repeatedly formed. . The first photoresist film 100 may be easily formed and a polymer to which monomers having various functions are connected may be used. In other words, the photoresist composition includes a photosensitive polymer or a photosensitive molecule and a polymer mixture in which the solubility in the developer is changed by chemical reaction when exposed to light. The photoresist is supplied in the form of a composition, in a liquid form, and may further include additives such as solvents (solvents), photosensitive resins, base ions, inhibitors, surfactants, photoreactive substances, reaction promoters, reaction inhibitors, and the like. Can be.

상술한 포토레지스트 조성물 중 감광성 레진은 반응하는 광의 주요 파장에 민감하여 반응, 변화할 수 있는 특성을 가지며 KrF 레이저 선의 경우 벤젠 링 등의 방향족 환(ring)을 갖는 고분자의 잔기(moiety)를 포함할 수 있으며, 파장이 더 짧은 ArF 레이저 광에 사용되는 레진은 아크릴레이트의 단위 또는 지방족 환(aliphatic ring), 복합환(fused ring)의 단위를 포함할 수 있다. 방향족 환의 대표적 수지로는 노볼락 수지를 들 수 있고, 지방족 환의 대표적 고리로 시클로헥실 고리, 지방족 복합환은 예로 아다만틸 기를 들 수 있다. 또한, 지방족 복합환의 예로는 디엘스-알더 반응으로 형성된 복합환도 본 발명에서 사용되는 고분자 레진의 예로 포함될 수 있다. 또한, 탄소 및 수소 외에도 산소, 질소, 황 등 헤테로 원자가 포함된 고리도 본원 발명에 사용되는 레진에 포함될 수 있다. 지방족 레진의 예로는 아크릴레이트, 시클로올레핀, 말레익 안하이드라이드, 폴리노르보르넨, 시클로올레핀 수지를 들 수 있다.The photosensitive resin of the photoresist composition described above is sensitive to the main wavelength of the light to be reacted and has a property of changing and reacting. In the case of the KrF laser line, the photoresist may include a moiety of a polymer having an aromatic ring such as a benzene ring. The resin used for the shorter wavelength ArF laser light may include units of acrylates or units of aliphatic rings, fused rings. The representative resin of an aromatic ring is a novolak resin, As a representative ring of an aliphatic ring, a cyclohexyl ring and an aliphatic composite ring can mention an adamantyl group, for example. In addition, examples of the aliphatic complex ring may include a complex ring formed by the Diels-Alder reaction as an example of the polymer resin used in the present invention. In addition, in addition to carbon and hydrogen, a ring containing a hetero atom such as oxygen, nitrogen, and sulfur may also be included in the resin used in the present invention. Examples of aliphatic resins include acrylates, cycloolefins, maleic anhydrides, polynorbornene, cycloolefin resins.

광에 반응하는 보호기로는 에테르형과 아세탈 형을 포함할 수 있으며, 에테르형의 대표적 보호기로는 t-부틸옥시카르보닐기를 들 수 있다.The protecting group that reacts to light may include an ether type and an acetal type, and a representative protecting group of the ether type may include a t-butyloxycarbonyl group.

본 발명에 사용되는 포토레지스트 조성액물은 광반응을 촉진 증폭시키기 위해 광반응 물질을 포함할 수 있다. ArF 레이저 광이나, KrF 레이저 광에 사용되는 포토레지스트 조성물에 포함되는 광반응 물질은 화학 증폭제(photo acid generator PAG)를 포함할 수 있다. 화학 증폭제는 광을 받으면 레진이 경화하여 촉매 역할을 하는 산이 발생하는 물질이다. 이러한 화합물의 예로는 트리페틸술포늄 트리플레이트(TPS-Tf)를 들 수 있다. 포지티브형 포토레지스트 조성물일 경우, 이러한 화합물은 광을 받아 황산보다 더 강한 트리플릭 애시드를 방출하여 산보호기로 보호되어 있는 에테르 잔기(-O-Protect)를 탈보호시켜 -OH로 만들어 현상액에 대한 용해도를 증가시켜 패턴을 형성할 수 있을 구현한다.The photoresist composition liquid used in the present invention may include a photoreactive material to accelerate and amplify the photoreaction. The photoreactive material included in the ArF laser light or the photoresist composition used for the KrF laser light may include a chemical acid amplifying agent (photo acid generator PAG). A chemical amplifying agent is a substance that generates an acid that acts as a catalyst by curing the resin when it receives light. Examples of such compounds include tripetylsulfonium triflate (TPS-Tf). In the case of positive photoresist compositions, these compounds receive light and release a stronger triple acid than sulfuric acid to deprotect the ether moieties (-O-Protect) protected with acid protecting groups to make -OH solubility in the developer. Implement to be able to form a pattern by increasing the.

다시 도 3을 참조하면, 액상 또는 분산된 포토레지스트 조성물을 저면(1000) 상에 위치하는 반사 방지막(500) 상에 도포하여 제1 막인 포토레지스트막(100)을 형성한 후, 제1 포토레지스트막(100) 상부에 소정의 패턴이 형성된 제1 마스크(800p)를 위치시키고 제1 포토레지스트막(100)을 노광시킨다. 이 경우, 제1 마스크(800p)는 포지티브형 마스크일 수 있다. 예를 들면, 스핀 코팅 공정을 통하여 제1 포토레지스트막(100)을 저면(1000)의 상부에 형성할 수 있다. 다시 말하면, 포토레지스트 용액을 저면(1000)을 갖는 기재(예를 들어, 웨이퍼)를 회전시키면서 디스펜스하여 저면(1000)( 또는 웨이퍼) 상부에 얇은 제1 포토레지스트막(100)을 형성한다. 제1 포토레지스트막(100)은 고분자와 감광성 물질이 균일하게 혼합되어 있으며 형성하려는 패턴의 크기에 따라 수 ㎛ 내지 수십 nm의 두께로 저면(1000) 상부에 형성될 수 있다. 여기서, 제1 포토레지스트막(100)의 원하는 두께를 얻기 위하여 포토레지스트 용액의 농도를 제어할 수 있다. 예를 들어, 두꺼운 두께로 제1 포토레지스트막(100)을 형성하기 위해서는 포토레지스트 용액농도를 보다 진하게 할 수 있다. 제1 포토레지스트막(100)을 형성한 후, 승온하여 잔류존하는 용매를 제거함으로써 제1 포토레지스트막(100)의 흐름을 방지하고 제1 포토레지스트막(100)의 막질을 개선할 수 있다.Referring back to FIG. 3, after the liquid or dispersed photoresist composition is applied onto the antireflection film 500 positioned on the bottom surface 1000 to form the first photoresist film 100, the first photoresist is formed. A first mask 800p having a predetermined pattern is disposed on the film 100, and the first photoresist film 100 is exposed. In this case, the first mask 800p may be a positive mask. For example, the first photoresist film 100 may be formed on the bottom surface 1000 through a spin coating process. In other words, the photoresist solution is dispensed while rotating the substrate (eg, wafer) having the bottom surface 1000 to form a thin first photoresist film 100 on the bottom surface 1000 (or wafer). The first photoresist film 100 may be uniformly mixed with the polymer and the photosensitive material, and may be formed on the bottom surface 1000 to a thickness of several μm to several tens of nm depending on the size of the pattern to be formed. Here, the concentration of the photoresist solution may be controlled to obtain a desired thickness of the first photoresist film 100. For example, in order to form the first photoresist film 100 with a thick thickness, the photoresist solution concentration can be made thicker. After the first photoresist film 100 is formed, the temperature of the first photoresist film 100 can be prevented and the film quality of the first photoresist film 100 can be improved by increasing the temperature to remove the remaining solvent. .

도 4a 및 도 4b는 각기 노광 후의 제1 포토레지스트막(100)을 설명하기 위한 단면도 및 평면도이다.4A and 4B are cross-sectional views and plan views for explaining the first photoresist film 100 after exposure, respectively.

도 4a 및 도 4b를 참조하면, 는 노광 후에 제1 포토레지스트막(100)은 노광된 제1 감광부(105)와 비노광된 제1 패턴부(100p)로 분획(portioned)된다. 한편, 노광으로 인한 포토레지스트 조성물의 반응을 촉진시키고 확실하게 하기 위하여 노광 시에 또는 노광 후에 온도를 승온시킬 수 있다. 이러한 승온 과정을 노광 후 베이킹(Post Exposure Baking: PEB)이라 하는 데, 광과의 광화학적 반응을 통해 형성된 화학적 성질 변화를 확산시키고(g-line, i-line의 경우), 생성된 산이 탈보호할 수 있는 활성화 에너지를 충분히 공급하여(KrF 레이저 광, ArF 레이저 광의 경우) 생성된 패턴의 에지를 보다 매끄럽게 만들 수 있다.4A and 4B, after exposure, the first photoresist film 100 is partitioned into an exposed first photosensitive portion 105 and an unexposed first pattern portion 100p. On the other hand, in order to accelerate and assure the reaction of the photoresist composition due to the exposure, the temperature can be raised during or after the exposure. This temperature raising process is called Post Exposure Baking (PEB), which diffuses chemical property changes formed through photochemical reactions with light (for g-line and i-line) and deprotected acid. It is possible to supply enough activation energy (in case of KrF laser light or ArF laser light) to make the edge of the generated pattern smoother.

본 발명의 실시들에 있어서, 포토레지스트 조성물에 사용되는 고분자로서 사용하는 광원에 민감한 고분자를 사용할 수 있다. 각기 다른 파장의 광을 이용해 광화학 반응을 얻기 위해서 상이한 화학 물질이 필요하며, 이에 따라 광원이 바뀜에 따라 새로운 포토레지스트 시스템이 요구된다. 미세한 패턴을 얻기 위해서는 짧은 파장의 광원을 사용해야 한다. KrF(248nm) 레이저 광, ArF(193nm) 레이저 광 등과 같은 광원을 사용하는 경우에는 화학 증폭형 레지스트(chemically amplified resist)를 사용하며, 광반응 물질로 산 발생 효율이 높은 광산 발생제(PAG)를 사용하여 약한 광원 문제를 해결하고 생산성을 향상시킬 수 있다. 제1 포토레지스트막(100)이 노광되면 광산 발생제가 분해하여 산을 발생하고, 이와 같이 발생된 산은 에테르기나 아세탈 보호기를 탈보호시킨다. 이러한 분해 과정에서 산은 촉매로서 참여하고 한 반응이 끝나면 다시 새로운 산이 발생하여 다른 반응에 다시 참여하여, 전술한 반응이 연속적으로 일어난다. 보호기가 분해된 제1 포토레지스트막(100)의 고분자는 현상액에 대한 용해도가 높은 제1 감광부(105)를 형성한다.In the practice of the present invention, a polymer sensitive to the light source used as the polymer used in the photoresist composition can be used. Different chemicals are needed to obtain photochemical reactions with different wavelengths of light, and as the light sources change, new photoresist systems are required. In order to obtain a fine pattern, a short wavelength light source should be used. When using a light source such as KrF (248 nm) laser light or ArF (193 nm) laser light, a chemically amplified resist is used, and a photoacid generator (PAG) having high acid generation efficiency is used as a photoreactive material. Can be used to solve weak light source problems and improve productivity. When the first photoresist film 100 is exposed, the photoacid generator decomposes to generate acid, and the generated acid deprotects the ether group or the acetal protecting group. In this decomposition process, the acid participates as a catalyst, and when one reaction is completed, new acid is generated again to participate in another reaction, so that the above-mentioned reaction occurs continuously. The polymer of the first photoresist film 100 in which the protecting group is decomposed forms a first photosensitive portion 105 having high solubility in a developer.

도 5a 및 도 5b는 각기 제1 포토레지스트막(100)을 현상하여 제1 패턴(110)을 형성하는 과정을 나타내는 형성한 단면도 및 평면도이다.5A and 5B are cross-sectional views and plan views illustrating a process of developing the first photoresist film 100 to form the first pattern 110, respectively.

도 5a를 참조하면, 현상액으로 제1 감광부(105)를 제거하여 하층을 노출시키는 콘택 홀과 같은 제1 개구(700)를 갖는 제1 패턴(100)의 하층을 노출한다. 노광으로 인해 변화된 제1 포토레지스트막(100)의 부분인 제1 감광부(105)와 변화되지 않은 부분인 제1 패턴부(100p)(즉, '비감광부')가 구분되어, 현상액과의 반응성으로 이들을 구별하여 제거하여 제1 패턴(110)을 형성할 수 있는 것을 채택한다. 본 발명에 사용할 수 있는 현상액은 염기를 포함할 수 있으며, 예를 들어 테트라메틸암모늄 하이드라이드(TMAH) 용액을 포함할 수 있다. 선택적으로(optionally), 제1 포토레지스트막(100)의 현상 시에 또는 현상 후에 승온하여 현상 과정을 완결한 다음할 수 있으며, 현상액을 제거할 수 있다. 여기서, 승온 온도는 공정 조건에 따라 달라지기 때문에 일률적으로 한정하기 어려우나, 일반적으로 약 90℃ 내지 약 150℃ 온도 범위로 승온할 수 있다. 온도가 너무 낮으면 용매의 완전한 제거가 어렵고 온도가 너무 높으면 확산이 너무 진행되어 제1 패턴(110)의 모양이 나빠질 수 있다.Referring to FIG. 5A, the lower layer of the first pattern 100 having the first opening 700, such as a contact hole exposing the lower layer by exposing the first photosensitive portion 105 with a developer, is exposed. The first photosensitive portion 105, which is a portion of the first photoresist film 100 changed due to exposure, and the first pattern portion 100p (that is, the 'non-photosensitive portion'), which is an unchanged portion, are distinguished from each other. It is possible to form a first pattern 110 by distinguishing and removing them reactively. Developers that may be used in the present invention may include a base, and may include, for example, a tetramethylammonium hydride (TMAH) solution. Optionally, the development process may be completed by raising the temperature during or after the development of the first photoresist film 100, and the developer may be removed. Here, the temperature rise temperature is difficult to limit uniformly because it depends on the process conditions, but can generally be raised to a temperature range of about 90 ℃ to about 150 ℃. If the temperature is too low, it is difficult to completely remove the solvent. If the temperature is too high, the diffusion may proceed too much to deteriorate the shape of the first pattern 110.

도 6은 제1 패턴(110) 및 반사 방지막(500)에 대한 플라스마 처리를 나타내는 단면도이다. 도 5a 및 도 5b를 참조하여 설명한 현상 과정 후, 형성된 제1 패턴(110) 및 반사 방지막(500)을 플라스마 처리하여 제1 패턴(110) 및 반사 방지막(500)으로부터 물리적 및 화학적 성질을 변성시킨다. 본 발명의 실시예들에 있어서, 상기 플라스마 처리는 브롬화수소, 염화수소 등의 할로겐화수소의 플라스마 처리 또는 아르곤, 헬륨, 네온, 질소 등의 불활성 기체의 플라스마 처리를 포함할 수 있다.6 is a cross-sectional view illustrating plasma processing of the first pattern 110 and the anti-reflection film 500. After the development process described with reference to FIGS. 5A and 5B, the formed first pattern 110 and the anti-reflection film 500 are plasma treated to denature physical and chemical properties from the first pattern 110 and the anti-reflection film 500. . In embodiments of the present invention, the plasma treatment may include plasma treatment of hydrogen halides such as hydrogen bromide and hydrogen chloride or plasma treatment of an inert gas such as argon, helium, neon, or nitrogen.

도 7a 및 도 7b는 각기 플라스마 처리를 통해 형성된 변성 제1 패턴(110a)과 변성 반사 방지막(500a)을 나타내는 단면도 및 평면도이다.7A and 7B are cross-sectional views and plan views illustrating the modified first pattern 110a and the modified antireflection film 500a, respectively, formed through plasma processing.

도 7a 및 도 7b에 도시된 바와 같이, 상술한 플라스마 처리를 통해 저면(1000) 상에 변성 제1 포토레지스트 패턴(110a) 및 변성 반사 방지막(500a)을 형성한다. 상기 플라스마 처리 후, 제1 패턴(110)포토레지스트 및 반사 방지막(500)의 변성에 관하여, 도 27을 참조하면, 플라스마 처리 전의 락톤(cyclic 에스테르) 또는 케톤(CO) 피크가 플라스마 처리 후에 점차 감소되는 경향을 나타냄을 알 수 있으므로, 포토레지스트 패턴과 및 유기 반사 방지막이 플라스마 처리로 변성되었음을 보여주고 있다.As shown in FIGS. 7A and 7B, the modified first photoresist pattern 110a and the modified anti-reflection film 500a are formed on the bottom surface 1000 through the above-described plasma treatment. Regarding the modification of the first pattern 110 photoresist and the anti-reflection film 500 after the plasma treatment, referring to FIG. 27, the lactone (cyclic ester) or ketone (CO) peak before the plasma treatment gradually decreases after the plasma treatment. It can be seen that the photoresist pattern and the organic antireflective film were modified by plasma treatment.

본 발명의 실시예들에 있어서, 상기 플라스마 처리는 약 0.1초 내지 약 1,000초, 바람직하게는 약 1초 내지 약 700초, 매우 바람직하게는 약 5초 내지 약 500초 동안 수행될 수 있으나, 다른 공정 조건들에 따라 변화될 수 있다. 이와 같은 플라스마 처리에 사용될 수 있는 기체의 종류로는 브롬화수소, 염화수소 등의 할로겐화수소나, 아르곤, 헬륨, 네온, 질소 등의 불활성 기체 중 어느 하나 이상을 채용할 수 있다.In embodiments of the present invention, the plasma treatment may be performed for about 0.1 seconds to about 1,000 seconds, preferably about 1 second to about 700 seconds, very preferably about 5 seconds to about 500 seconds, but other It may vary depending on the process conditions. As a kind of gas that can be used for such plasma treatment, any one or more of hydrogen halides such as hydrogen bromide and hydrogen chloride, and inert gases such as argon, helium, neon, and nitrogen may be employed.

도 8a 및 도 8b에 도시한 바와 같이, 제2 포토레지스트막(300)을 제1 개구(700)를 채우면서 저면(1000) 전면에 형성한다. 제2 포토레지스트막(300)은 제1 포토레지스트막(100)과 실질적으로 동일할 수 있지만, 포지티브 포토레지스트라면 상이할 수 있다. 예를 들어, 막의 도포성과 충전성이 우수한 포토레지스트 조성물을 도포하여 제2 포토레지스트막(300)을 형성할 수 있다. 또는, 유사한 공정 조건에서 제어할 수 있으므로 제1 포토레지스트막(100)의 경우와 동일한 포토레지스트 조성물질을 사용할 수 있다. 여기서, 포토레지스트 조성물의 농도를 보다 묽게 하여 개선된 충전성을 확보할 수 있다. 또한, 충분한 광 투과를 위해 변성 제1 패턴(110a)보다 높이 차이가 크지 않도록 할 수 있다. 예를 들면, 제2 포토레지스트막(300)의 두께가 제1 변성 패턴(110a)의 두께 보다 약 1nm 내지 약 100nm의 높게 형성할 수 있다. 전술한 제1 포토레지스트막(100)의 경우와 마찬가지로 용제, 또는 용매를 제거하기 위해 제2 포토레지스트막(300)에 대해 승온 처리를 수행할 수 있다.As shown in FIGS. 8A and 8B, the second photoresist film 300 is formed on the entire surface of the bottom surface 1000 while filling the first opening 700. The second photoresist film 300 may be substantially the same as the first photoresist film 100, but may be different from the positive photoresist. For example, the second photoresist film 300 may be formed by applying a photoresist composition having excellent coating properties and filling properties. Alternatively, the same photoresist composition may be used as in the case of the first photoresist film 100 because it can be controlled under similar process conditions. Here, the concentration of the photoresist composition can be further diluted to ensure improved filling. In addition, the height difference may not be greater than that of the modified first pattern 110a for sufficient light transmission. For example, the thickness of the second photoresist layer 300 may be higher than that of the first modified pattern 110a by about 1 nm to about 100 nm. As in the case of the first photoresist film 100 described above, a temperature raising process may be performed on the second photoresist film 300 to remove the solvent or the solvent.

도 9는 제2 포토레지스트막(300)에 대한 노광 처리를 보여주는 단면도이다.9 is a cross-sectional view illustrating an exposure process on the second photoresist film 300.

도 9에 도시한 바와 같이, 제2 포토레지스트막(300) 상부에 제2 마스크(810p)를 위치시킨 후, 제2 포토레지스트막(300)을 노광시킨다. 본 발명의 일 실시 태양에 의하면, 제2 포토레지스트막(300)에 노광 공정을 수행할 때, 제1 포토레지스트막(100)에 대한 노광과 실질적으로 동일한 마스크를 사용할 수 있다. 즉, 제2 마스크(810p)는 제1 마스크(810p)와 동일한 마스크일 수 있다. 별도의 마스크 제작 없이 동일한 마스크를 사용할 경우에는 공정의 간편화 및 비용 절감을 가져올 수 있으므로 경제적이다. 이 때, 제1 마스크(800p)와 동일한 제2 마스크(810p)를 사용하면 광원에 노출되는 제2 포토레지스트막(300)의 평면 부분(노광된 부분(제2 패턴부(300p)))은 제1 포토레지스트막(100)차 노광의 경우의 평면 부분과 동일하지만 감광되어 변화되는 부분(제2 감광부(305))은 상이할 수 있다.As shown in FIG. 9, after placing the second mask 810p on the second photoresist film 300, the second photoresist film 300 is exposed. According to one embodiment of the present invention, when performing the exposure process on the second photoresist film 300, a mask substantially the same as the exposure to the first photoresist film 100 can be used. That is, the second mask 810p may be the same mask as the first mask 810p. If the same mask is used without making a separate mask, it is economical because it can simplify the process and reduce the cost. In this case, when the same second mask 810p as the first mask 800p is used, the planar portion (the exposed portion (the second pattern portion 300p)) of the second photoresist film 300 exposed to the light source is The portion of the first photoresist film 100 which is the same as the planar portion in the case of the difference exposure but is changed by being exposed to light (the second photosensitive portion 305) may be different.

도 10a 및 도 10b는 각기 제2 포토레지스트막(300)의 제2 감광부(305)와 제2 패턴부(300P)를 나타내는 단면도 및 평면도이다.10A and 10B are cross-sectional views and plan views illustrating the second photosensitive portion 305 and the second pattern portion 300P of the second photoresist film 300, respectively.

도 10a 및 도 10b를 참조하면, 제2 포토레지스트막(300)의 제2 감광부(305)의 단면적은 제1 포토레지스트막(100)의 제1 감광부(105)에 비하여 보다 좁고 세밀하게 형성된다. 이후 제2 포토레지스트막(300)을 선택적으로 승온 처리를 한 다음, 현상하면 도 11a 및 도 11b에서 도시한 바와 같은 제2 개구(750)를 갖는 제2 패턴(3010p)이 형성된다.10A and 10B, the cross-sectional area of the second photosensitive portion 305 of the second photoresist film 300 is narrower and finer than that of the first photosensitive portion 105 of the first photoresist film 100. Is formed. Thereafter, the second photoresist film 300 is selectively heated, and then developed to form a second pattern 3010p having a second opening 750 as illustrated in FIGS. 11A and 11B.

도 12a 및 도 12b에 도시한 바와 같이, 제2 패턴(310) 및 변성 제1 패턴(110a)을 식각 마스크들로 사용하여 변성 반사 방지막(550a)과 저면(1000)을 부분적으로 식각함으로써 소정기의 치수를 갖는 개구(7570)를 포함하는 저면 패턴(1000p)을 형성한다. 개구(770)는 반사 방지막 패턴(500p)으로부터 저면 패턴(1000p)까지 형성될 수 있다. 여기서, 저면 패턴(1000p)의 개구(770)은 변성 제1 패턴(110a)의 제1 개구(700) 보다는 작은 치수를 가지며, 제2 패턴(310)의 제2 개구(750)와 실질적으로 유사한 치수를 가질 수 있다.As shown in FIGS. 12A and 12B, the predetermined anti-reflection film 550a and the bottom surface 1000 are partially etched by using the second pattern 310 and the modified first pattern 110a as etching masks. A bottom pattern 1000p is formed that includes an opening 7570 having a dimension of. The opening 770 may be formed from the anti-reflection film pattern 500p to the bottom pattern 1000p. Here, the opening 770 of the bottom pattern 1000p has a smaller dimension than the first opening 700 of the modified first pattern 110a and is substantially similar to the second opening 750 of the second pattern 310. May have dimensions.

도 13a 및 도 13b를 참조하면, 애싱 공정 및/또는 스트리핑 공정을 수행하여 잔류하는 제2 패턴(310), 변성 제1 패턴(110a) 및 반사 방지막 패턴(500p)을 제거함으로써, 콘택 홀과 같은 소기정의 개구(770)를 포함하는 저면 패턴(1000p)을 얻을 수 있다. 여기서, 상기 콘택 홀은 약 17nm 내지 약 50nm 정도의 미세한 치수로 형성될 수 있다.Referring to FIGS. 13A and 13B, an ashing process and / or a stripping process may be performed to remove the remaining second pattern 310, the modified first pattern 110a, and the anti-reflection film pattern 500p, such as a contact hole. The bottom pattern 1000p including the predetermined opening 770 can be obtained. Here, the contact hole may be formed in a fine dimension of about 17nm to about 50nm.

상술한 방법을 통해, 과정으로 종래의 패턴 사이즈가 큰 패턴을 형성하는 동일한 마스크를 사용하여 작은 치수를 갖는 사이즈가 작은 패턴, 예를 들면 미세한 개구를 갖는 패턴을 형성할 수 있다. 본 발명의 일 실시 태양에 따르면, 으로 제1 패턴(110)을 형성한 후에 반사 방지막(500) 및 제1 포토레지스트 패턴(110)을 플라스마 처리하여 반사 방지막(500) 및 제1 포토레지스트 패턴의(110) 양자 또는 적어도 하나의 물성을 변성시킨 것으로 추측된다. 도 26을 참조하여 설명한 바와 같이, 유기 반사 방지막의 경우에는 유기 반사 방지막을 거쳐 저면(1000)으로부터 반사되어 나오는 광과 포토레지스트 패턴인 제1 패턴(110)을 거쳐 반사되어 나오는 광이 소멸 간섭하여 반사광의 효과를 감소 또는 소멸시킨다. 그러나 플라스마 처리를 수행하면 반사 방지막(500) 및 제1 포토레지스트 패턴(110)의 일부 또는 전부가 변성되어 흡광도 및 굴절 계수가 변화하여 간섭의 정도를 변화시킬 수 있다. 또한, 제1 패턴(110) 상에 제2 포토레지스트막(300)을 형성한 후에는 제2 개구(750)가 제1 개구(700) 보다 그 폭이 좁기 때문에 파동성을 갖는 광이 제2 개구(750)에 잘 전달되지 않을 수 있다. 제1 패턴(110)의 제1 개구(700) 내에서 제2 포토레지스트막(300)이 변화하기 충분한 광 에너지가 필요한데 반사광의 양을 증가하면 더욱 분명하고 확실히 변화할 것이다.Through the above-described method, it is possible to form a small size pattern having a small dimension, for example, a pattern having a fine opening, by using the same mask that forms a pattern having a large conventional pattern size as a process. According to one embodiment of the present invention, after the first pattern 110 is formed by the anti-reflection film 500 and the first photoresist pattern 110 by plasma treatment of the anti-reflection film 500 and the first photoresist pattern (110) It is presumed to denature both or at least one physical property. As described with reference to FIG. 26, in the case of the organic antireflection film, the light reflected from the bottom surface 1000 through the organic antireflection film and the light reflected through the first pattern 110, which is a photoresist pattern, are extinct and interfere with each other. Reduce or eliminate the effects of reflected light. However, when the plasma process is performed, some or all of the anti-reflection film 500 and the first photoresist pattern 110 may be modified to change the absorbance and the refractive index to change the degree of interference. In addition, after the second photoresist film 300 is formed on the first pattern 110, since the second opening 750 has a smaller width than that of the first opening 700, the light having the wave property is the second. It may not be well delivered to the opening 750. Light energy sufficient for the second photoresist film 300 to change in the first opening 700 of the first pattern 110 is required, and the amount of reflected light will increase more clearly and surely.

도 28은 플라스마 처리 시의 포토레지스트막(PR) 및 반사 방지막(ARC)의 굴절 계수(N-value)의 변화를 보여주고 있다. 도 28을 참조하면, 플라스마 처리 후에 반사 방지막(ARC)의 굴절 계수가 크게 변화하여 제2 포토레지스트막(PR)과와 유사한 굴절 계수로 변화되었음을 보여준다. 이러한 플라스마 처리를 통해함으로써 막의 물성이 변성되어 플라스마 처리하지 않을 때의 경우보다 광의 세기를 조절할 수 있음으로 설명된다. 즉, 포토레지스트막(PR) 및 반사 방지막(ARC)에 대해 플라스마 처리를 수행하여 표면의 매끄럽기 및 적층막의 간섭도를 변화시킴으로써 광의 세기를 조절할 수 있음으로 이해된다.FIG. 28 shows the change of the refractive index (N-value) of the photoresist film PR and the antireflection film ARC during the plasma treatment. Referring to FIG. 28, the refractive index of the anti-reflection film ARC is greatly changed after the plasma treatment, and thus, the refractive index is similar to that of the second photoresist film PR. It is explained that by performing such plasma treatment, the physical properties of the film can be denatured and the light intensity can be adjusted more than when the plasma treatment is not performed. That is, it is understood that the intensity of light can be controlled by performing plasma treatment on the photoresist film PR and the antireflection film ARC to change the smoothness of the surface and the interference degree of the laminated film.

도 29는 플라스마 처리 전 및 처리 후의 K-value치를 보여주는 그래프이다. 여기서, K-value는 매질의 흡광도를 나타내는 계수이다. 도 29에 도시한 바와 같이, 포토레지스트막 및 반사 방지막 모두 플라스마 처리 전에는 K-value가 낮아 반사도가 높았으나, 플라스마 처리를 수행함으로써 K-value가 점차로 상승하는 추세를 보여준다. 즉, 포토레지스트막 및 반사 방지막에 대해 플라스마 처리를 수행하면 광의 흡수도가 많아지고 반사되는 정도가 적어지게 된다.29 is a graph showing K-value values before and after plasma treatment. Where K-value is a coefficient representing the absorbance of the medium. As shown in FIG. 29, both the photoresist film and the anti-reflection film had high K-value and low reflectivity before the plasma treatment, but the K-value gradually increased by performing the plasma treatment. That is, when the plasma treatment is performed on the photoresist film and the anti-reflection film, the absorbance of light increases and the degree of reflection decreases.

도 30은 플라스마 처리 전과 처리 후의 반사도의 변화치를 보여주는 그래프도면이다. 도 30의 그래프는 상기 실험은 저면에 각각 포토레지스트막 또는 반사 방지막을 도포한 시료를 만들고, 이를 시간에 따라 플라스마 처리하여 그 수치를 측정한 것이다. 이를 살펴보면 플라스마 처리한 시료의 반사도는 플라스마 처리하지 않은 시료의 반사도보다 떨어져 반사광을 세기를 통한 산의 발생이 떨어질 수 있음을 보여준다. 도 30에 도시한 바와 같이, HBr 플라스마로 약 150초 정도 처리하면, 플라스마 처리 전의 반사도와 유사한 결과를 보여주므로, HBr 플라스마로 약 150초 동안으로 처리하는 것이 본 발명의 우수한 효과를 얻을 수 있을 것으로 판단된다. 이 경우도 다른 공정 조건들을 변화시키면 다른 양상을 보일 수 있음은 해당 기술 분야에서 통상의 지식을 가진 자라면 이해할 수 있는 범주이다.30 is a graph showing changes in reflectivity before and after plasma treatment. In the graph of FIG. 30, the experiment was performed by making a sample coated with a photoresist film or an anti-reflection film on the bottom thereof, and measuring the numerical value by performing plasma treatment over time. This shows that the reflectivity of the plasma treated sample is lower than the reflectivity of the non-plasma treated sample, and the generation of acid through the intensity of reflected light may be reduced. As shown in FIG. 30, the treatment with HBr plasma for about 150 seconds shows a similar result to the reflectivity before the plasma treatment. Therefore, the treatment with HBr plasma for about 150 seconds may provide excellent effects of the present invention. Judging. In this case, it is a category that can be understood by those skilled in the art that different process conditions may show different aspects.

상술한 바에서는 본 발명의 여러 실시예에 따른 다양한 방법들을 설명하고 있지만, 이러한 설명은 본 발명을 이해하기 위한 수단으로 본 발명의 성립성을 뒷받침하는 근거로서 설명되는 것일 뿐이며, 본 발명을 제한하거나 한정하는 의도로 사용되는 것은 아니다.In the above description, various methods according to various embodiments of the present invention have been described. However, these descriptions are only described as a basis for supporting the integrity of the present invention as a means for understanding the present invention, and the present invention is limited to It is not intended to be limiting.

도 31은 제2 포토레지스트막에 대한 HBr 플라스마 처리 시에 형성되는 제2 포토레지스트 패턴의 두께를 도시한 그래프이며, 다음 표는 제2 포토레지스트막에 대한 HBr 플라스마 처리에 따른 제2 패턴의 두께를 측정한 결과이다.FIG. 31 is a graph showing the thickness of the second photoresist pattern formed during the HBr plasma treatment on the second photoresist film. The following table shows the thickness of the second pattern according to the HBr plasma treatment on the second photoresist film. Is the result of measurement.

도 31과 하기 표를 참조하면, 반사도, 굴절계 수의 변화가 가장 큰 약 30초 동안 플라스마 처리할 때, 상기 제2 포토레지스트 패턴(305p)의 단면 폭(CD)은 두꺼워지고, 제2 포토레지스트막이가 제거되는 부분, 즉 제2 감광부의 치수가 좁아져, 결과적으로 형성되는 개구의 폭이 좁은 세밀한 제2 개구를 갖는 제2 패턴을 형성할 수 있다.Referring to FIG. 31 and the following table, when the plasma treatment is performed for about 30 seconds having the largest change in reflectance and refractive index, the cross-sectional width CD of the second photoresist pattern 305p becomes thick and the second photoresist The portion from which the film is removed, that is, the dimension of the second photosensitive portion is narrowed, so that a second pattern having a narrow second narrow opening of the resultant opening can be formed.

[표][table]

Figure pat00002
Figure pat00002

도 32a 및 도 32b는 각각 라인 형태의 제1 포토레지스트 패턴을 형성한 후에 제2 포토레지스트 패턴을 형성한 상태의 현미경 사진들이다. 도 32a 및 도 32b에 나타낸 바와 같이, 제1 포토레지스트 패턴 형성 시에 제1 포토레지스트 패턴들과 사이의 간격보다 제2 포토레지스트 패턴들 사이의 간격이 한 변 당 약 3nm 내지 약 15nm 정도 좁아지기 때문에 보다 세밀한 폭을 갖는 포토레지스트 패턴을 형성할 수 있었다.32A and 32B are micrographs of a state in which a second photoresist pattern is formed after forming a first photoresist pattern in a line form, respectively. 32A and 32B, the spacing between the second photoresist patterns becomes narrower by about 3 nm to about 15 nm per side than the spacing between the first photoresist patterns when forming the first photoresist pattern. Therefore, a photoresist pattern having a finer width could be formed.

또한, 본 발명의 또 다른 실시 태양으로서, 플라스마 처리, 포토레지스트 도포, 노광 및 현상 처리를 추가적으로 진행하여 제2 포토레지스트 패턴 상에 제3 포토레지스트 패턴을 형성함으로써, 제3 포토레지스트 패턴이 보다 좁은 폭을 갖는 개구를 구비할 수 있다.Further, as another embodiment of the present invention, the third photoresist pattern is narrower by forming a third photoresist pattern on the second photoresist pattern by further performing plasma treatment, photoresist coating, exposure and development treatment. It may have an opening having a width.

이하, 도 14 내지 도 25b를 참조하여 네가티브형 포토레지스트를 사용하여 개구를 갖는 저면 패턴을 형성하는 방법을 설명할 한다. 이하에서 특별하게 언급하지 않는 한, 전술한 포지티브형 포토레지스트를 사용한 실시예 태양에 대한 설명이 유사하게 적용될 수 있다.Hereinafter, a method of forming a bottom pattern having an opening using a negative photoresist will be described with reference to FIGS. 14 to 25B. Unless specifically stated below, the descriptions of the embodiment embodiments using the positive photoresist described above may similarly apply.

도 14를 참조하면, 저면(1000) 상에 반사 방지막(500) 및 네가티브형 포토레지스트막인 제1 포토레지스트막(400)을 순차적으로 형성한다. 여기서, 제1 포토레지스트막(400)의 네가티브 포토레지스트는 노광된 부분이 경화되어 응고되는 성질을 갖는 포토레지스트를 통칭한다.Referring to FIG. 14, an anti-reflection film 500 and a first photoresist film 400, which is a negative photoresist film, are sequentially formed on the bottom surface 1000. Here, the negative photoresist of the first photoresist film 400 collectively refers to a photoresist having a property that the exposed portion is cured and solidified.

도 15를 참조하면, 제1 포토레지스트막(400) 상부에 네가티브 포토레지스트에 적합한 제1 마스크(800n)를 배치하고, 제1 포토레지스트막(400)을 노광시킨다. 이에 따라, 도 16a 및 도 16b에 도시한 바와 같이, 제1 포토레지스트막(400)의 노광된 부분이 경화되어 노광된 부분인 제1 패턴부(405)가 형성되고, 비노광된 부분인 제1 비감광부(400p)와 분획된다.Referring to FIG. 15, a first mask 800n suitable for a negative photoresist is disposed on the first photoresist film 400, and the first photoresist film 400 is exposed. Accordingly, as shown in FIGS. 16A and 16B, the exposed portion of the first photoresist film 400 is hardened to form a first pattern portion 405, which is an exposed portion, and an unexposed portion. It is fractionated with 1 non-photosensitive part 400p.

도 17a 및 도 17b를 참조하면, 제1 포토레지스트막(400)을 현상하여 제1 비감광부(400p)를 제거하면, 반사 방지막(500)을 부분적으로 노출시키는 제1 개구(700)을 정의(define)된 제1 패턴(410)이 형성된다. 여기서, 제1 패턴(410)이 형성된 저면(1000)에 대하여 승온 처리를 선택적으로 수행할 수 있다.17A and 17B, when the first photoresist film 400 is developed to remove the first non-photosensitive portion 400p, the first opening 700 partially exposing the anti-reflection film 500 is defined ( Defined first pattern 410 is formed. Here, the temperature raising process may be selectively performed on the bottom surface 1000 on which the first pattern 410 is formed.

도 18을 참조하면, 제1 패턴(410) 및/또는 반사 방지막(500)에 대해 플라스마 처리를 수행하여 제1 패턴(410) 및 반사 방지막(500) 중의 어느 하나 또는 양자 모두를 변성시킨다. 이에 따라, 도 19a 및 도 19b에 도시한 바와 같이, 저면(1000) 상에 변성 제1 패턴(4105a) 및 변성 반사 방지막(5500a)이 형성된다.Referring to FIG. 18, plasma processing is performed on the first pattern 410 and / or the anti-reflection film 500 to denature one or both of the first pattern 410 and the anti-reflection film 500. Accordingly, as illustrated in FIGS. 19A and 19B, the modified first pattern 4105a and the modified anti-reflection film 5500a are formed on the bottom surface 1000.

도 20a 및 도 20b에 도시된 바와 같이, 제1 개구(700)를 채우면서 변성 제1 패턴(410a) 및 변성 반사 방지막(500a) 상에 제2 포토레지스트 막(600)을 형성한다. 전술한 포지티브 포토레지스트의 경우와 마찬가지로, 광 효과를 현저하게 하기 위하여 제2 포토레지스트막(600)의 두께는 변성 제1 패턴(410a)의 두께보다 다소 두껍지 않는 것이 유리하며, 예를 들면 수 nm 내지 수십 nm 더 높을 수 있다.As shown in FIGS. 20A and 20B, the second photoresist film 600 is formed on the modified first pattern 410a and the modified anti-reflection film 500a while filling the first opening 700. As in the case of the positive photoresist described above, in order to make the light effect remarkable, the thickness of the second photoresist film 600 is advantageously not thicker than the thickness of the modified first pattern 410a, for example, several nm. To tens of nm higher.

도 21을 참조하면, 제2 포토레지스트막(600)의 상부에 네거티브형 포토레지스트를 위한 제2 마스크(810n)를 배치한 다음, 제2 포토레지스트막(600)을 노광하여, 제2 포토레지스트막(600)을 노광된 부분인 제2 패턴부(605)와 노광되지 않은 부분인 제2 비노광부(600p)로 분획한다.Referring to FIG. 21, a second mask 810n for a negative photoresist is disposed on the second photoresist film 600, and then the second photoresist film 600 is exposed to expose the second photoresist. The film 600 is partitioned into an exposed second pattern portion 605 and an unexposed second non-exposed portion 600p.

도 22a 및 도 22b를 참조하면, 변성 제1된 포토레지스트 패턴(410a) 및 변성된 반사 방지막(500a)의 작용으로 제2 포토레지스트막(600)의 제2 패턴부(605)의 폭이 넓어진다. 이후, 도 23a 및 도 23b에 도시한 바와 같이, 현상 공정을 통하여 제2 포토레지스트막(600)의 제2 비감광부(4600p)를 제거하여 제2 개구(750)를 갖는 제2 패턴(610)을 형성한다. 여기서, 제2 패턴(610)의 제2 개구(750)는 제1 패턴(410)의 제1 개구(700)에 비하여 작은 치수를 가진다.22A and 22B, the width of the second pattern portion 605 of the second photoresist film 600 is widened by the action of the modified first photoresist pattern 410a and the modified antireflection film 500a. All. Thereafter, as illustrated in FIGS. 23A and 23B, the second pattern 610 having the second opening 750 by removing the second non-photosensitive portion 4600p of the second photoresist film 600 through the developing process. To form. Here, the second opening 750 of the second pattern 610 has a smaller dimension than the first opening 700 of the first pattern 410.

도 24a 및 도 24b를 참조하면, 제2 패턴(610) 및 변성 제1 패턴(410a)을 식각 마스크들로 이용하여 저면(1000)과 변성 반사 방지막(500a)을 식각함으로써 개구(770)를 갖는 저면 패턴(1000n)과 반사 방지막 패턴(500n)을 형성한다. 이때, 저면 패턴(1000n)의 개구(770)는 제2 패턴(610)의 제2 개구(750)와 실질적으로 유사한 치수를 가질 수 있다. 예를 들면, 개구(770)는 콘택 홀을 포함할 수 있으며, 이와 같은 콘택 홀은 약 17nm 내지 약 50nm 정도의 미세한 치수로 형성될 수 있다.Referring to FIGS. 24A and 24B, the bottom surface 1000 and the modified anti-reflection film 500a are etched using the second pattern 610 and the modified first pattern 410a as etching masks to have openings 770. A bottom pattern 1000n and an antireflection film pattern 500n are formed. In this case, the opening 770 of the bottom pattern 1000n may have a dimension substantially similar to that of the second opening 750 of the second pattern 610. For example, the opening 770 may include a contact hole, and the contact hole may be formed in a minute dimension of about 17 nm to about 50 nm.

도 25a 및 도 25b에 도시한 바와 같이, 스트리핑 공정 및/또는 애싱 공정을 통해 잔류 포토레지스트 패턴들(610, 410a)과 반사 방지막 패턴(500n)을 제거하여, 개구(770)를 갖는 저면 패턴(1000n)이 형성된 저면을 수득한다.As shown in FIGS. 25A and 25B, the bottom surface pattern having the opening 770 may be removed by removing the residual photoresist patterns 610 and 410a and the anti-reflection film pattern 500n through a stripping process and / or an ashing process. 1000n) is obtained to form a bottom.

전술한 바에 따르면, 포지티브형 포토레지스트 또는 네가티브형 포토레지스트를 이용하여 미세 개구를 갖는 미세한 패턴을 구현할 수 있다. 여기서, 미세 패턴의 종횡비와 표면의 매끄러움 등과 같은 미세 패턴의 특성의 면에서 포지티브형 포토레지스트를 사용하는 경우가 보다 적절할 수 있다.As described above, a fine pattern having fine openings may be implemented using a positive photoresist or a negative photoresist. Here, it may be more appropriate to use a positive photoresist in view of the characteristics of the fine pattern, such as the aspect ratio of the fine pattern and the smoothness of the surface.

상기와 같이 본 발명의 다양한 실시예들을 태양을 예시적으로 설명하였지만, 이러한 예시적인 실시예들에 의해 본 발명이 한정되는 것이 아니며, 본원의 특허청구범위에 기재된 범위 및 그 균등 범위를 벗어나지 않는 한, 해당 기술 분야에서 통상의 지식을 가진 자라면 이에 대한 변형예들도 및 본 발명의 권리 범위에 속한다는 것을 잘 이해할 수 있을 것이다.While various embodiments of the present invention have been described above by way of example, the present invention is not limited by these exemplary embodiments, and does not depart from the scope of the claims and equivalents thereof. As will be appreciated by those skilled in the art, modifications to the present invention and the scope of the present invention are well understood.

본 발명의 실시예들에 따른 포토레지스트 패턴 및 반사 방지막의 물성 데이터들은 다음과 같은 과정으로 평가하여 얻었다.
Physical properties data of the photoresist pattern and the anti-reflection film according to the embodiments of the present invention were obtained by the following process.

포토레지스트 패턴의 변성 정도 측정Measurement of denaturation of photoresist pattern

포토레지스트 패턴을 플라스마 처리한 후, 그 물성 변화를 적외선 분광기(Infra Red Spectroscopy)로 실시간 측정하여 그래프로 도시하였다. 포토레지스트 패턴의 포토레지스트 조성물은 TOK사의 TARF-6A-813PH를 사용하였고, 반사 방지막은 니싼 케미컬사의 NCHA4117 제품을 사용하였다.After plasma treatment of the photoresist pattern, the physical property was measured and measured in real time with an Infra Red Spectroscopy. The photoresist composition of the photoresist pattern was used TARF-6A-813PH from TOK, and the anti-reflective coating was used NCHA4117 manufactured by Nissan Chemical.

도 27에 있어서, x축은 IR의 흡수 파장을 나타내고, y축은 흡광도를 나타낸다. 도 27을 참조하면, 포토레지스트 패턴은 1,720 cm-1에 케톤 및 1,800 cm-1 근처 피크를 보여주고 있으나, HBr 플라스마로 처리하면 포토레지스트 패턴이 점차로 감소하는 경향을 보여주고 있다.In FIG. 27, the x-axis shows the absorption wavelength of IR and the y-axis shows the absorbance. Referring to FIG. 27, the photoresist pattern shows ketones and peaks near 1,800 cm −1 at 1,720 cm −1, but the photoresist pattern gradually decreases when treated with HBr plasma.

도 28 내지 도 30은 각기 포토레지스트 패턴과 반사 방지막의 N-value, K-value 및 반사도의 변화 값을 보여주는 그래프들이다. 전술한 바와 같이, HBr 플라스마로 처리하면 반사 방지막의 N-value가 급격히 감소하는 것을 보여준다. 또한, HBr 플라스마 처리 시에 변성 포토레지스트 패턴 및 변성된 반사 방지막의 반사도가 감소하다가, 점차로 증가하여 약 150초 동안 처리 시의 경우에는 비처리할 때의 반사도와 동등한 수준을 보여주고 있다.28 to 30 are graphs showing change values of N-value, K-value and reflectivity of the photoresist pattern and the anti-reflection film, respectively. As described above, treatment with HBr plasma shows a sharp decrease in the N-value of the antireflection film. In addition, the reflectivity of the modified photoresist pattern and the modified anti-reflection film decreased during HBr plasma treatment, and gradually increased to show a level equivalent to that of untreated when treated for about 150 seconds.

도 31을 참조하면, 약 30초 및 약 60초 정도로 HBr 플라스마 처리를 수행을 노광하면, 동일 마스크를 사용해도 추가로 제2 제2 포토레지스트 패턴이 형성되는 결과를 보여주고 있다. 그러나 약 150초 동안 처리한 시료는 제1 포토레지스트 패턴의 인접부의 영향이 미비하여 제2 포토레지스트 패턴이 모두 감광되어 현상 공정 동안 제거된 것을 보여준다.Referring to FIG. 31, exposure of performing the HBr plasma treatment for about 30 seconds and about 60 seconds results in the formation of a second second photoresist pattern even with the same mask. However, the sample treated for about 150 seconds shows that the influence of the adjacent portions of the first photoresist pattern is insignificant, so that the second photoresist pattern is all exposed and removed during the developing process.

상술한 바와 같이, 본 발명의 예시적인 실시 태양들에 따른 포토리쏘그래피를 이용한 반도체 소자의 제조 방법을 통해 미세 개구를 갖는 미세한 패턴을 형성할 수 있다. 본 발명에 따르면 일반적인 포토레지스트 패턴의 경우보다 최소 치수가 작은 미세하고 정밀한 패턴을 형성할 수 있다. 또한, 동일 마스크를 사용하기 때문에 마스크를 제조에 소요되는 시간과 비용을 절감할 수 있고, 동일한 포토레지스트를 사용하기 때문에 공정 조건을 세팅하기 용이하며, 작업성을 향상시킬 수 있다.As described above, a fine pattern having minute openings may be formed through the method of manufacturing a semiconductor device using photolithography according to exemplary embodiments of the present invention. According to the present invention, it is possible to form a fine and precise pattern having a smaller minimum dimension than that of a general photoresist pattern. In addition, since the same mask is used, time and cost required to manufacture the mask can be reduced, and because the same photoresist is used, it is easy to set process conditions and workability can be improved.

100, 400: 제1 포토레지스트막 100p, 405: 제1 포지티브 패턴부
105: 제1 감광부 110, 410: 제1 패턴
110a, 410a: 변성 제1 패턴 300, 600: 제2 포토레지스트막
300p, 605: 제2 패턴부 305: 제2 감광부
310, 610: 제2 패턴 400p:제1 비감광부
500: 반사 방지막 500a: 변성 반사 방지막
500p, 500n:반사 방지막 패턴 600p:제2 비감광부
700: 제1 개구 750: 제2 개구
770: 개구 800p, 800n: 제1 마스크
810p, 810n: 제2 마스크 1000: 저면
1000p, 1000n: 저면 패턴
100 and 400: first photoresist film 100p and 405: first positive pattern portion
105: first photosensitive unit 110, 410: first pattern
110a and 410a: modified first pattern 300 and 600: second photoresist film
300p and 605: second pattern portion 305: second photosensitive portion
310 and 610: second pattern 400p: first non-photosensitive part
500: antireflection film 500a: modified antireflection film
500p, 500n: Antireflection film pattern 600p: Second non-photosensitive part
700: first opening 750: second opening
770: opening 800p, 800n: first mask
810p, 810n: second mask 1000: bottom
1000p, 1000n: bottom pattern

Claims (16)

저면 상에 반사 방지막 및 제1 포토레지스트막을 형성하는 단계;
상기 제1 포토레지스트막을 노광하는 단계;
상기 제1 포토레지스트막을 현상하여 제1 개구를 포함하는 제1 패턴을 형성하는 단계;
상기 제1 패턴을 플라스마 처리하는 단계;
상기 제1 패턴 상에 제2 포토레지스트막을 형성하는 단계;
상기 제2 포토레지스트막을 노광하는 단계; 및
상기 제2 포토레지스트막을 현상하여 제2 개구를 포함하는 제2 패턴을 형성하는 단계를 포함하며,
상기 제2 개구는 상기 제1 개구 보다 작은 치수를 가지는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.
Forming an anti-reflection film and a first photoresist film on the bottom surface;
Exposing the first photoresist film;
Developing the first photoresist film to form a first pattern including a first opening;
Plasma processing the first pattern;
Forming a second photoresist film on the first pattern;
Exposing the second photoresist film; And
Developing the second photoresist film to form a second pattern including a second opening;
And the second opening has a smaller dimension than the first opening.
제1항에 있어서, 상기 제1 및 제2 패턴을 식각 마스크로 이용하여 상기 저면을 식각하여 개구를 갖는 저면 패턴을 형성하는 단계를 더 포함하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The semiconductor device of claim 1, further comprising forming a bottom pattern having an opening by etching the bottom surface by using the first and second patterns as an etching mask. Way. 제1항에 있어서, 상기 제1 및 제2 포토레지스트막을 형성한 후 각기 소프트 베이킹하는 단계를 더 포함하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The method of claim 1, further comprising soft baking each of the first and second photoresist layers after forming the first and second photoresist layers. 제1항에 있어서, 상기 제1 및 제2 포토레지스트막을 현상한 후 각기 하드 베이킹하는 단계를 더 포함하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The method of claim 1, further comprising hard baking each of the first and second photoresist layers, respectively. 제1항에 있어서, 상기 제1 및 제2 포토레지스트막을 노광한 후 각기 베이킹하는 단계를 더 포함하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The method of claim 1, further comprising baking each of the first and second photoresist films and then baking each of the first and second photoresist films. 제1항에 있어서, 상기 플라스마 처리는 브롬화수소(HBr), 염화수소(HCl), 헬륨(He), 네온(Ne), 질소(N2) 및 아르곤(Ar)으로 이루어진 그룹으로부터 선택된 하나 이상을 사용하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The method of claim 1, wherein the plasma treatment uses at least one selected from the group consisting of hydrogen bromide (HBr), hydrogen chloride (HCl), helium (He), neon (Ne), nitrogen (N 2 ) and argon (Ar). A method of forming a semiconductor device using photolithography, characterized in that. 제12항에 있어서, 상기 저면 패턴의 개구는 콘택 홀을 포함하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.13. The method of claim 12, wherein the opening of the bottom pattern comprises a contact hole. 제7항에 있어서, 상기 콘택 홀은 단면의 최소 치수가 30nm 이하인 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.8. The method of claim 7, wherein the contact hole has a minimum dimension of 30 nm or less in cross section. 제1항에 있어서, 상기 플라스마 처리는 1초 내지 300초 동안 수행되는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The method of claim 1, wherein the plasma treatment is performed for 1 to 300 seconds. 제1항에 있어서, 상기 제1 및 제2 포토레지스트막은 각기 포지티브형 포토레지스트를 포함하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The method of claim 1, wherein each of the first and second photoresist films comprises a positive photoresist. 제1항에 있어서, 상기 제1 및 제2 포토레지스트막은 각기 네가티브형 포토 레지스트를 포함하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The method of claim 1, wherein each of the first and second photoresist films comprises a negative photoresist. 제1항에 있어서, 상기 제1 및 제2 포토레지스트막은 불화아르곤(ArF) 레이저 광으로 노광하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.2. The method of claim 1, wherein the first and second photoresist films are exposed with argon fluoride (ArF) laser light. 제1항에 있어서, 상기 제1 및 제2 포토레지스트막은 이멀젼 포토리쏘그래피 장치를 이용하여 노광되는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The method of claim 1, wherein the first and second photoresist films are exposed using an emulsion photolithography apparatus. 제1항에 있어서, 상기 반사 방지막은 유기 반사 방지막을 포함하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The method of claim 1, wherein the anti-reflection film comprises an organic anti-reflection film. 제2항에 있어서, 상기 플라스마 처리 및 상기 포토레지스트막의 형성을 2번 이상 반복 수행하여, 상기 저면 패턴의 개구 보다 작은 치수를 갖는 개구를 포함하는 패턴을 형성하는 단계를 더 포함하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The method of claim 2, further comprising repeating the plasma treatment and forming the photoresist film two or more times to form a pattern including an opening having a smaller dimension than the opening of the bottom pattern. A method of forming a semiconductor device using photolithography. 제2항에 있어서, 상기 반도체 소자는 플래시(flash) 소자 또는 디램(DRAM) 소자를 포함하는 것을 특징으로 하는 포토리쏘그래피를 이용한 반도체 소자의 형성 방법.The method of claim 2, wherein the semiconductor device comprises a flash device or a DRAM device.
KR1020100049813A 2010-05-27 2010-05-27 Method of forming a semiconductor device using photolithography KR20110130257A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020100049813A KR20110130257A (en) 2010-05-27 2010-05-27 Method of forming a semiconductor device using photolithography
US13/117,667 US8551689B2 (en) 2010-05-27 2011-05-27 Methods of manufacturing semiconductor devices using photolithography

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100049813A KR20110130257A (en) 2010-05-27 2010-05-27 Method of forming a semiconductor device using photolithography

Publications (1)

Publication Number Publication Date
KR20110130257A true KR20110130257A (en) 2011-12-05

Family

ID=45499124

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100049813A KR20110130257A (en) 2010-05-27 2010-05-27 Method of forming a semiconductor device using photolithography

Country Status (1)

Country Link
KR (1) KR20110130257A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10396095B2 (en) 2017-10-13 2019-08-27 SK Hynix Inc. Semiconductor device and manufacturing method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10396095B2 (en) 2017-10-13 2019-08-27 SK Hynix Inc. Semiconductor device and manufacturing method thereof

Similar Documents

Publication Publication Date Title
KR100639680B1 (en) Forming method of fine patterns for semiconductor device
JP5884521B2 (en) Pattern formation method
US8551689B2 (en) Methods of manufacturing semiconductor devices using photolithography
US20060134559A1 (en) Method for forming patterns on a semiconductor device
US20070065756A1 (en) High sensitivity electron beam resist processing
US7421158B2 (en) Holographically defined surface mask etching method and etched optical structures
US20070087272A1 (en) Method for preparing a phase-shifting mask and method for preparing a semiconductor device using the phase-shifting mask
KR20110130257A (en) Method of forming a semiconductor device using photolithography
JPS59175725A (en) Multilayer resist film
US6177233B1 (en) Method of forming resist pattern
US7927783B2 (en) Tunable lithography with a refractive mask
Hu Photolithography technology in electronic fabrication
US11662665B2 (en) Lithography method using multiscale simulation, and method of manufacturing semiconductor device and exposure equipment based on the lithography method
KR100675875B1 (en) Method for forming minute patterns of semiconductor device by using organic bottom anti-reflective coating
Erdmann et al. Influence of optical nonlinearities of the photoresist on the photolithographic process: applications
Cui et al. Nanofabrication by photons
Robertson et al. Rigorous physical modeling of a materials-based frequency doubling lithography process
JPH0529197A (en) Method of forming resist pattern
Bai Numerical Modeling of Photoresist Profiles in Laser Interference Lithography
KR20080071328A (en) Method for forming fine pattern of semiconductor device
KR940007052B1 (en) Patterning method using multilayer photoresist
KR100272519B1 (en) Patterning method of semiconductor device
Yao et al. Three-dimensional photolithography based on image reversal
KR100329779B1 (en) Method for selecting thickness of photoresist
Miller Resolution Enhancement in Photolithography via STED Inspired Process and Novel Mask Development

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application