KR20110117021A - Precursor delivery system - Google Patents

Precursor delivery system Download PDF

Info

Publication number
KR20110117021A
KR20110117021A KR1020110036449A KR20110036449A KR20110117021A KR 20110117021 A KR20110117021 A KR 20110117021A KR 1020110036449 A KR1020110036449 A KR 1020110036449A KR 20110036449 A KR20110036449 A KR 20110036449A KR 20110117021 A KR20110117021 A KR 20110117021A
Authority
KR
South Korea
Prior art keywords
lid
precursor
gas
vessel
base
Prior art date
Application number
KR1020110036449A
Other languages
Korean (ko)
Other versions
KR101943099B1 (en
Inventor
카일 폰두루리아
에릭 쉬로
모히스 이 버기스
칼 엘 화이트
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/763,037 external-priority patent/US8986456B2/en
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20110117021A publication Critical patent/KR20110117021A/en
Application granted granted Critical
Publication of KR101943099B1 publication Critical patent/KR101943099B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

증발된 전구체를 반응 챔버에 제공하기 위한 전구체 소스 용기가 제공된다. 전구체 소스 용기는 제 1 포트, 제 2 포트 및 제 3 포트를 갖는 뚜껑을 포함한다. 전구체 소스 용기는 뚜껑에 제거가능하게 부착되는 베이스를 또한 포함한다. 베이스는 내부에 형성된 오목 영역을 포함한다. 제 1 포트, 제 2 포트 및 제 3 포트 중 하나가 소스 용기가 설치된 후 반도체 처리에서의 소스 용기의 이용 전에 소스 용기 내 헤드 압력을 경감하도록 되어 있는 버프 포트이다.A precursor source vessel is provided for providing the evaporated precursor to the reaction chamber. The precursor source container includes a lid having a first port, a second port, and a third port. The precursor source container also includes a base that is removably attached to the lid. The base includes a recessed area formed therein. One of the first port, the second port and the third port is a buff port configured to relieve head pressure in the source container after the source container is installed and before use of the source container in semiconductor processing.

Description

전구체 전달 시스템 {PRECURSOR DELIVERY SYSTEM}Precursor Delivery System {PRECURSOR DELIVERY SYSTEM}

본원은 일반적으로 반도체 처리 장비에 관한 것으로, 보다 자세하게는 반응 가스를 처리 챔버로 전달하기 위한 장치에 관한 것이다.TECHNICAL FIELD This application generally relates to semiconductor processing equipment and, more particularly, to an apparatus for delivering a reactant gas to a processing chamber.

화학 기상 증착 (CVD) 은 실리콘 웨이퍼와 같은 기판에 박막 필름 형성을 위한 반도체 산업의 공정으로 알려져 있다. CVD 에서, 다른 반응물의 반응 가스 (전구체 가스) 는 반응 챔버의 1 이상의 기판으로 전달된다. 많은 경우에 있어서, 반응 챔버는 기판 홀더 (서셉터 (susceptor) 등) 에 지지된 오직 1 개의 반응 챔버를 포함하며, 상기 기판 및 기판 홀더는 원하는 공정 온도로 유지된다. 반응 가스는, 기판에 박막 필름을 형성하기 위해 서로 반응하며, 온도 또는 반응 가스의 양에 의해 성장률이 제어된다.Chemical vapor deposition (CVD) is known as a process in the semiconductor industry for forming thin films on substrates such as silicon wafers. In CVD, the reactant gas (precursor gas) of another reactant is delivered to one or more substrates of the reaction chamber. In many cases, the reaction chamber includes only one reaction chamber supported on a substrate holder (susceptor, etc.), wherein the substrate and the substrate holder are maintained at a desired process temperature. The reaction gases react with each other to form a thin film on the substrate, and the growth rate is controlled by the temperature or the amount of the reaction gases.

많은 적용에서, 반응 가스는 반응조에서 가스 형태로 저장되어 있다. 그와 같은 적용에서, 반응물 증기는 주변 압력과 온도에서 종종 가스로 있다. 그와 같은 가스의 예로는 질소, 산소, 수소, 암모니아가 있다. 그러나, 몇몇 경우에는, 주변 압력 및 온도에서 액체 또는 고체 (예컨대, 염화하프늄) 인 소스 화학물질 (source chemicals) 의 증기 ("전구체") 가 이용된다. 이 소스 화학물질은 반응 공정에서 충분한 양의 증기를 생산하도록 가열될 수 있다. 몇몇 고체 물질 (여기서 "고체 소스 전구체" 라고 칭함) 의 경우, 실온에서 증기압이 낮아, 그 물질은 충분한 양의 반응물 증기를 생산하도록 가열되고/가열되거나 매우 낮은 압력으로 유지되어야 한다. 일단 증발되면, 증기상 반응물은, 밸브, 필터, 도관, 및 증기상 반응물을 반응 챔버로 전달하는데 관련된 다른 구성요소에 원하지 않는 응축의 발생을 방지하기 위해, 처리 시스템을 통해, 기화점 또는 그 이상의 온도로 유지하는 것이 중요하다. 자연적으로 고체 또는 액체 물질로부터의, 증기상 반응물은 다양한 산업 분야의 화학 반응에 유용하다.In many applications, the reactant gas is stored in gaseous form in the reactor. In such applications, the reactant vapor is often a gas at ambient pressure and temperature. Examples of such gases are nitrogen, oxygen, hydrogen, ammonia. In some cases, however, steam (“precursors”) of source chemicals are used that are liquid or solid (eg, hafnium chloride) at ambient pressure and temperature. This source chemical can be heated to produce a sufficient amount of steam in the reaction process. For some solid materials, referred to herein as "solid source precursors", the vapor pressure is low at room temperature so that the material must be heated and / or maintained at a very low pressure to produce a sufficient amount of reactant vapor. Once evaporated, the vapor phase reactants, through the processing system, to prevent the occurrence of unwanted condensation on valves, filters, conduits, and other components involved in delivering the vapor phase reactants to the reaction chamber, at or above the vaporization point. It is important to keep at temperature. Vapor phase reactants, naturally from solid or liquid materials, are useful for chemical reactions in a variety of industries.

원자층 증착 (ALD) 은 기판에 박막 필름을 형성하는 공지된 다른 방법이다. 많은 적용에서, ALD 는 전술한 고체 및/또는 액체 소스 화학물질을 사용한다. ALD 는 사이클로 실행되는 자가 포화 반응을 통해 필름이 형성되는 증기 증착 타입이다. 필름의 두께는 실행되는 사이클 수에 따라 결정된다. ALD 공정에서, 가스 전구체가 기판 또는 웨이퍼에 교대식으로 그리고 반복적으로 공급되어, 웨이퍼 상에 재료의 박막 필름을 형성한다. 하나의 반응물은 웨이퍼의 자기-제한 공정 (self-limiting process) 에서 흡착한다. 다른 이후 펄스 반응물은 흡착된 물질과 반응하여, 원하는 재료의 단일 분자 층을 형성한다. 적절하게 선택된 반응물과의 반응을 통해, 예컨대 리간드 교환 또는 게터링 반응에서 분해가 일어날 수 있다. 통상적인 ALD 반응에서, 단지 분자 단층이 사이클마다 형성된다. 타겟 두께가 얻어질 때까지 반복 성장 사이클을 통해 더 두꺼운 필름이 생성된다.Atomic layer deposition (ALD) is another known method of forming a thin film on a substrate. In many applications, ALD uses the solid and / or liquid source chemicals described above. ALD is a type of vapor deposition in which films are formed through self-saturation reactions run in cycles. The thickness of the film depends on the number of cycles performed. In an ALD process, gas precursors are alternately and repeatedly supplied to a substrate or wafer to form a thin film of material on the wafer. One reactant is adsorbed in the self-limiting process of the wafer. The other pulse reactant then reacts with the adsorbed material to form a single molecule layer of the desired material. Degradation can occur, for example, in ligand exchange or gettering reactions through reaction with a suitably selected reactant. In a typical ALD reaction, only a molecular monolayer is formed every cycle. Thicker films are produced through repeated growth cycles until the target thickness is obtained.

전형적인 고체 또는 액체 소스 전구체 전달 시스템은 고체 또는 액체 소스 전구체 용기와 가열 수단 (예컨대, 복사열 램프, 저항 히터 등) 을 포함한다. 용기는 고체 (예컨대, 분말 형태) 또는 액체 소스 전구체를 포함한다. 가열 수단은 용기 내 전구체 가스의 증기압을 증가시키기 위해 용기를 가열한다. 용기는 용기를 통과하는 비활성 캐리어 가스 (예컨대, N2) 의 유동을 위한 입출구를 가진다. 캐리어 가스는 용기 출구를 통하여 최종적으로 기판 반응 챔버로 캐리어 가스와 함께 전구체 증기를 쓸어낸다. 용기는 전형적으로 용기 외부로부터 용기의 내용물을 유체적으로 (fluidly) 고립시키기 위한 차단 밸브를 포함한다. 보통, 하나의 차단 밸브가 용기 입구의 상류에 설치되고, 다른 차단 밸브가 용기 출구의 하류에 설치된다. 전구체 소스 용기는 보통 입구 및 출구, 튜브의 차단 밸브, 밸브의 부속품 (fittings) 으로부터 연장된 튜브로 공급되고, 상기 부속품은 나머지 기판 처리 장치의 가스 유동 라인에 연결되도록 구성되어 있다. 다양한 밸브를 가열하기 위한 다수의 추가적인 히터 및 전구체 소스 용기와 반응 챔버 사이의 가스 유동 라인을 제공하고, 그러한 구성요소에 전구체 가스가 응축 및 증착 (depositing) 되는 것을 방지하는 것이 바람직하다. 따라서, 소스 용기 및 반응 챔버 사이의 가스 전달 부품은, 온도가 전구체의 증발/응축 온도보다 높게 유지되는 "핫 존 (hot zone)" 으로 종종 불린다.Typical solid or liquid source precursor delivery systems include solid or liquid source precursor vessels and heating means (eg, radiant heat lamps, resistance heaters, etc.). The container comprises a solid (eg in powder form) or liquid source precursor. The heating means heats the vessel to increase the vapor pressure of the precursor gas in the vessel. The vessel has an inlet and outlet for the flow of inert carrier gas (eg N 2 ) through the vessel. The carrier gas sweeps the precursor vapor together with the carrier gas through the vessel outlet and finally into the substrate reaction chamber. The vessel typically includes a shutoff valve for fluidly isolating the contents of the vessel from outside the vessel. Usually, one shutoff valve is installed upstream of the vessel inlet and the other shutoff valve is installed downstream of the vessel outlet. Precursor source vessels are usually supplied to tubes extending from inlets and outlets, shut-off valves of tubes, fittings of valves, the fittings being connected to the gas flow lines of the remaining substrate processing apparatus. It is desirable to provide a number of additional heaters and gas flow lines between the precursor source vessel and the reaction chamber for heating the various valves and to prevent precursor gases from condensing and depositing on such components. Thus, the gas delivery component between the source vessel and the reaction chamber is often referred to as a "hot zone" where the temperature is kept above the evaporation / condensation temperature of the precursor.

캐리어 가스의 유동을 위한 사형 (serpentine) 및 굴곡진 유동 경로가 제공되고, 이와 동시에 이 유동 경로는 고체 또는 액체 전구체 소스에 노출된다. 예컨대, 미국특허 No. 4,883,362; 7,122,085; 및 7,156,380 은 각각 그러한 사형 경로를 개시한다.Serpentine and curved flow paths for the flow of carrier gas are provided, at the same time the flow paths are exposed to a solid or liquid precursor source. For example, U.S. Patent No. 4,883,362; 7,122,085; And 7,156,380 each disclose such a death path.

본 발명의 일 양태에서, 전구체 소스 용기가 제공된다. 전구체 소스 용기는 입구 포트, 출구 포트, 및 버프 포트 (burp port) 를 갖는 뚜껑을 포함한다. 전구체 소스 용기는 상기 뚜껑에 제거가능하게 부착되는 베이스를 더 포함한다. 베이스는 내부에 형성된 오목 영역을 포함한다.In one aspect of the invention, a precursor source container is provided. The precursor source vessel includes a lid having an inlet port, an outlet port, and a buff port. The precursor source container further includes a base removably attached to the lid. The base includes a recessed area formed therein.

본 발명의 다른 양태에서, 전구체 소스 용기가 제공된다. 전구체 소스 용기는 내부에 형성된 오목 영역을 갖는 베이스를 포함한다. 오목 영역은 전구체 재료를 수용하도록 구성되어 있다. 전구체 소스 용기는 베이스에 제거가능하게 부착되는 뚜껑을 또한 포함한다. 뚜껑은 입구 포트, 출구 포트, 및 버프 포트를 갖는다. 버프 밸브는 뚜껑에 작동식으로 (operatively) 부착된다. 버프 밸브는 버프 포트에 작동식으로 연결된다.In another aspect of the invention, a precursor source container is provided. The precursor source container includes a base having a recessed region formed therein. The recessed area is configured to receive the precursor material. The precursor source container also includes a lid that is removably attached to the base. The lid has an inlet port, an outlet port, and a buff port. The buff valve is operatively attached to the lid. The buff valve is operatively connected to the buff port.

본 발명의 또 다른 양태에서, 전구체 소스 용기가 제공된다. 전구체 소스 용기는 저면, 접촉 표면, 접촉 표면과 저면 사이에 연장된 측면, 및 접촉 표면으로부터 연장되어 베이스 내에 오목 영역을 규정하는 내면을 포함한다. 전구체 소스 용기는 베이스에 제거가능하게 부착되는 뚜껑을 또한 포함한다. 뚜껑은 입구 포트, 출구 포트, 및 버프 포트를 포함한다.In another aspect of the invention, a precursor source container is provided. The precursor source container includes a bottom, a contact surface, a side extending between the contact surface and the bottom, and an inner surface extending from the contact surface to define a recessed region in the base. The precursor source container also includes a lid that is removably attached to the base. The lid includes an inlet port, an outlet port, and a buff port.

본 발명의 또 다른 양태에서, 전구체 소스 용기가 제공된다. 전구체 소스 용기는 제 1 포트, 제 2 포트, 및 제 3 포트를 포함한다. 전구체 소스 용기는 뚜껑에 제거가능하게 부착되는 베이스를 또한 포함한다. 베이스는 내부에 형성된 오목 영역을 포함한다.In another aspect of the invention, a precursor source container is provided. The precursor source vessel includes a first port, a second port, and a third port. The precursor source container also includes a base that is removably attached to the lid. The base includes a recessed area formed therein.

또 다른 양태에서, 기판의 증기 처리를 위한 증기상 반응기의 가스 인터페이스 조립체에 화학적 반응물 소스 용기를 연결하기 위한 장치가 제공된다. 본 장치는 용기, 증기상 반응기의 가스 인터페이스 조립체, 및 상기 용기를 가스 인터페이스 조립체에 연결하기 위한 연결 조립체로 구성된다. 용기는 고체 또는 액체 화학 반응물을 수용하기 위한 챔버를 가진다. 용기는 챔버와 유체 소통하기 위한 입구 및 출구를 포함한다. 가스 인터페이스 조립체는 용기 챔버의 출구에 연결되도록 구성된 가스 입구를 갖는다. 연결 조립체는 트랙 구성요소 및 리프트 조립체로 구성된다. 트랙 구성요소는 용기의 1 이상의 트랙 결합 부재를 이동가능하게 결합하기 위한 1 이상의 기다란 트랙을 포함한다. 리프트 조립체는 트랙 구성요소를 상승 위치 및 하강 위치 사이에서 수직방향으로 움직이도록 구성된다. 용기의 1 이상의 트랙 결합 부재가 트랙 구성요소의 1 이상의 트랙과 결합할 때, 그리고 리프트 조립체가 트랙 구성요소를 상승 위치로 이동시킬때, 용기의 출구가 가스 인터페이스 조립체의 가스 입구와 실질적으로 직접 유체 소통하도록 위치되게 된다.In another aspect, an apparatus is provided for connecting a chemical reactant source vessel to a gas interface assembly of a vapor phase reactor for vapor processing of a substrate. The apparatus consists of a vessel, a gas interface assembly of a vapor phase reactor, and a connection assembly for connecting the vessel to a gas interface assembly. The vessel has a chamber for containing solid or liquid chemical reactants. The container includes an inlet and an outlet for fluid communication with the chamber. The gas interface assembly has a gas inlet configured to be connected to the outlet of the vessel chamber. The connection assembly consists of a track component and a lift assembly. The track component includes one or more elongated tracks for movably engaging one or more track engaging members of the container. The lift assembly is configured to move the track component vertically between the raised position and the lowered position. When one or more track engagement members of the vessel engage one or more tracks of the track component, and when the lift assembly moves the track component to the raised position, the outlet of the vessel is in direct fluid communication with the gas inlet of the gas interface assembly. Will be placed to communicate.

본 발명과 종래 기술보다 유리한 효과를 요약하기 위하여, 본 발명의 특정 목적과 유리한 효과가 앞서 기술되었다. 물론, 그러한 모든 목적과 유리한 효과가 반드시 본 발명의 임의의 특정 실시형태로 달성되지 않을 수 있다는 것을 이해해야 한다. 따라서, 예컨대, 본 기술분야의 당업자라면 본 명세서에서 시사되거나 제안되는 다른 목적이나 효과를 반드시 달성하지 않으면서 하나의 유리한 효과 또는 유리한 효과의 그룹을 달성하거나 최적화하는 방식으로 본 발명을 구체화하거나 실행할 수 있다는 것을 인식할 것이다.In order to summarize the advantageous effects over the present invention and the prior art, certain objects and advantageous effects of the present invention have been described above. Of course, it should be understood that all such objects and advantageous effects may not necessarily be achieved in any particular embodiment of the present invention. Thus, for example, one of ordinary skill in the art can embody or implement the present invention in a way that achieves or optimizes one advantageous effect or group of advantageous effects without necessarily achieving another object or effect suggested or suggested herein. It will be recognized.

이러한 모든 실시형태는 여기에 기재된 본 발명의 범위에 포함된다. 본 발명의 이러한 실시형태 및 다른 실시형태는, 본 발명은 첨부된 도면을 참조한 바람직한 실시형태의 상세한 설명을 통해 당업자에게 충분히 이해될 수 있고, 본 발명은 상세한 설명에 기재된 바람직한 특정 실시형태에 한정되지 않는다.All such embodiments are included within the scope of the invention described herein. These and other embodiments of the present invention can be fully understood by those skilled in the art through the detailed description of the preferred embodiments with reference to the attached drawings, which are not limited to the specific preferred embodiments described in the detailed description. Do not.

본 발명의 이러한 양태 및 다른 양태는 하기의 상세한 설명, 특허청구범위, 도면을 통해 당업자라면 쉽게 이해할 수 있으며, 이는 본 발명을 설명하기 위한 것이며, 본 발명을 한정하기 위한 것이 아니다.These and other aspects of the invention will be readily apparent to those skilled in the art from the following detailed description, claims, and drawings, which are intended to illustrate the invention and are not intended to limit the invention.

도 1 은 종래의 전구체 소스 조립체 및 반응기 챔버 조립체의 개략도이다.
도 2 는 종래 고체 전구체 소스 용기의 사시도이다.
도 3 은 원자층을 증착하기 위한 반응물 가스 펄스에서의 이상적인 및 덜 이상적인 소스의 화학적 농도를 나타낸 것이다.
도 4 는 종래 전구체 소스 용기 및 가스 패널의 개략도이다.
도 5 는 표면장착 밸브가 있는 전구체 소스 용기 및 가스 패널의 개략도이다.
도 6 은 표면장착 밸브가 있는 전구체 소스 용기 및 상기 용기와 근접 열 접촉 (close thermal contact) 하는 가스 패널의 개략도이다.
도 7 은 전구체 소스 용기, 상기 용기와 유체적으로 소통하기 위한 가스 인터페이스 조립체, 및 상기 용기를 상기 가스 인터페이스 조립체와 연결 및 해제하기 위한 퀵 연결 (quick-connection) 조립체의 사시도이다.
도 8 은 도 7 의 용기의 분해 사시도이다.
도 9 은 도 7 의 용기의 후방 사시 단면도이다.
도 10 은 도 7 의 용기의 후방 단면도이다.
도 11a 는 전구체 소스 용기의 다른 실시형태의 분해도이다.
도 11b 는 도 11a 에 나타낸 전구체 소스 용기를 위한 뚜껑의 상방 사시도이다.
도 11c 는 도 11b 에 나타낸 뚜껑의 하방 사시도이다.
도 11d 는 도 11a 에 나타낸 전구체 소스 용기를 위한 베이스의 일 실시형태의 상방 사시도이다.
도 11e 는 도 11d 에 나타낸 베이스의 평면도이다.
도 11f 는 도 11e 에 나타낸 베이스의 선 A-A 를 따른 단면도이다.
도 11g 는 도 11e 에 나타낸 베이스의 선 B-B 를 따른 단면도이다.
도 11h 는 도 11a 에 나타낸 전구체 소스 용기를 위한 베이스의 다른 실시형태의 단면도이다.
도 11i 는 도 11a 에 나타낸 전구체 소스 용기를 위한 베이스의 또 다른 실시형태의 평면도이다.
도 11j 는 소스 용기의 다른 실시형태의 분해 사시도이다.
도 12 는 트레이 적층체를 포함하는 사형 인서트의 일 실시형태의 분해 사시도이다.
도 13 은 도 12 의 사형 인서트의 상측 적층 트레이의 사시도이다.
도 14 는 도 13 에 나타낸 상측 적층 트레이의 평면도이다.
도 15 는 도 12 에 나타낸 사형 인서트의 하측 적층 트레이의 사시도이다.
도 16 은 도 15 에 나타낸 하측 적층 트레이의 평면도이다.
도 17 은 전구체 소스 용기의 뚜껑에 장착되는 필터의 단면도이다.
도 18 은 도 17 의 필터에 사용될 수 있는 필터 재료의 일 실시형태이다.
도 19 는 전구체 소스 용기와 증기상 반응 챔버를 통해 흐르는 캐리어 가스 및 반응물 가스의 가스 전달 시스템의 개략도이다.
도 20 및 도 21 은 연결된 상태에 있는 도 7 의 용기와 가스 인터페이스 조립체의 전방 사시도이다.
도 22 는 도 7 의 전구체 소스 용기 및 가스 인터페이스 조립체의 상방의 전방 사시도로서, 퀵 연결 조립체의 대안적인 실시형태를 보여준다.
도 23 은 연결된 상태에 있는 도 22 의 용기 및 가스 인터페이스 조립체의 상방의 전방 사시도이다.
도 24 는 분리된 상태에 있는 도 22 의 용기 및 가스 인터페이스 조립체의 하방의 전방 사시도이다.
도 25 는 전구체 소스 용기와 반응 챔버를 통해 흐르는 캐리어 가스 및 반응물 가스의 가스 전달 시스템의 개략도이다.
도 26 은 배기 밸브가 장착된 전구체 소스 용기의 사시도이다.
도 27 은 도 22 내지 도 24 의 가스 인터페이스 조립체에 연결된 도 26 의 용기의 사시도이다.
도 28 은 전용 가열 장치가 추가된 도 26 의 용기의 단면도이다.
1 is a schematic diagram of a conventional precursor source assembly and reactor chamber assembly.
2 is a perspective view of a conventional solid precursor source vessel.
3 shows the chemical concentrations of ideal and less ideal sources in reactant gas pulses for depositing atomic layers.
4 is a schematic representation of a conventional precursor source vessel and gas panel.
5 is a schematic diagram of a gas source panel and a precursor source vessel with a surface mount valve.
6 is a schematic of a precursor source vessel with a surface mount valve and a gas panel in close thermal contact with the vessel.
7 is a perspective view of a precursor source vessel, a gas interface assembly for fluidly communicating with the vessel, and a quick-connection assembly for connecting and disengaging the vessel with the gas interface assembly.
8 is an exploded perspective view of the container of FIG. 7.
9 is a rear perspective cross-sectional view of the container of FIG. 7.
10 is a rear cross-sectional view of the container of FIG. 7.
11A is an exploded view of another embodiment of a precursor source vessel.
FIG. 11B is a top perspective view of the lid for the precursor source container shown in FIG. 11A. FIG.
FIG. 11C is a bottom perspective view of the lid shown in FIG. 11B. FIG.
FIG. 11D is a top perspective view of one embodiment of a base for the precursor source container shown in FIG. 11A. FIG.
FIG. 11E is a plan view of the base shown in FIG. 11D.
FIG. 11F is a cross-sectional view along the line AA of the base shown in FIG. 11E.
FIG. 11G is a cross-sectional view along the line BB of the base shown in FIG. 11E.
FIG. 11H is a cross-sectional view of another embodiment of a base for the precursor source container shown in FIG. 11A. FIG.
FIG. 11I is a top view of another embodiment of a base for the precursor source vessel shown in FIG. 11A. FIG.
11J is an exploded perspective view of another embodiment of a source container.
12 is an exploded perspective view of one embodiment of a sand insert including a tray stack.
FIG. 13 is a perspective view of the upper lamination tray of the sand insert of FIG. 12; FIG.
FIG. 14 is a plan view of the upper laminated tray shown in FIG. 13.
FIG. 15 is a perspective view of the lower lamination tray of the sand insert shown in FIG. 12; FIG.
FIG. 16 is a plan view of the lower lamination tray shown in FIG. 15.
17 is a cross sectional view of a filter mounted to a lid of a precursor source container.
FIG. 18 is one embodiment of a filter material that may be used in the filter of FIG. 17.
19 is a schematic diagram of a gas delivery system of carrier gas and reactant gas flowing through a precursor source vessel and a vapor phase reaction chamber.
20 and 21 are front perspective views of the vessel and gas interface assembly of FIG. 7 in a connected state.
22 is a front perspective view from above of the precursor source vessel and gas interface assembly of FIG. 7, showing an alternative embodiment of the quick connect assembly.
FIG. 23 is an upward front perspective view of the vessel and gas interface assembly of FIG. 22 in a connected state.
24 is a front perspective view of the underside of the vessel and gas interface assembly of FIG. 22 in a detached state.
25 is a schematic diagram of a gas delivery system of a carrier gas and a reactant gas flowing through a precursor source vessel and a reaction chamber.
26 is a perspective view of a precursor source vessel equipped with an exhaust valve.
FIG. 27 is a perspective view of the container of FIG. 26 connected to the gas interface assembly of FIGS. 22-24.
FIG. 28 is a sectional view of the container of FIG. 26 with the addition of a dedicated heating device.

본 출원은 개선된 전구체 소스 용기, 상기 용기를 반응기에 연결 및 로딩 (loading) 하기 위한 장치 및 방법, 및 증기 처리 반응기가 장착된 용기를 사용하는 인터페이스를 개시한다. 개시된 실시형태는 반응물 증기에의 우수한 접근, 반응기의 가스 전달 시스템의 감소된 오염, 및 전구체 소스 용기의 개선된 편리성 (예컨대, 교체성 또는 재충전성) 을 제공한다.The present application discloses an improved precursor source vessel, an apparatus and method for connecting and loading the vessel to a reactor, and an interface using a vessel equipped with a steam treatment reactor. The disclosed embodiments provide good access to the reactant vapors, reduced contamination of the gas delivery system of the reactor, and improved convenience of the precursor source vessels (eg, replaceable or refillable).

바람직한 실시형태 및 방법에 대한 이하의 상세한 설명이 청구항을 이해하는데 도움이 되는 특정 실시형태를 묘사한다. 그러나, 당업자는 청구항에 의해 규정되고 포함되는 다양한 다른 실시형태 및 방법 내에서 본 발명을 실시할 수 있다.The following detailed description of the preferred embodiments and methods depicts specific embodiments that help in understanding the claims. However, those skilled in the art can practice the invention within various other embodiments and methods defined and included by the claims.

가스 전달 시스템 개요Gas Delivery System Overview

도 1 은 고체 또는 액체 전구체 소스 용기 (10) 로부터 생성된 가스상 반응물을 가스상 반응 챔버 (12) 내로 공급하기 위한 종래의 전구체 전달 시스템 (6) 을 개략적으로 보여준다. 당업자라면 본 발명의 전구체 전달 시스템이 도 1 의 가스 전달 시스템 (6) 의 여러 양태로 구체화될 수 있다는 것을 충분히 이해할 것이다. 따라서, 본 발명의 이해를 돕기 위해, 이제부터 종래 전달 시스템 (6) 에 대해 설명한다.1 schematically shows a conventional precursor delivery system 6 for supplying a gaseous reactant produced from a solid or liquid precursor source vessel 10 into a gaseous reaction chamber 12. Those skilled in the art will fully understand that the precursor delivery system of the present invention may be embodied in various aspects of the gas delivery system 6 of FIG. 1. Therefore, to facilitate the understanding of the present invention, the conventional delivery system 6 will now be described.

도 1 을 참조하면, 고체 또는 액체 소스 용기 (10) 는 고체 또는 액체 소스 전구체 (도시 안됨) 를 포함하고 있다. 고체 소스 전구체는 표준 조건 (즉, 실온, 대기압) 에서 고체인 소스 화학물질이다. 유사하게, 액체 소스 전구체는 표준 조건에서 액체인 소스 화학물질이다. 전구체는 증발 온도 또는 그 이상인 온도로 유지될 수도 있는 소스 용기 (10) 안에서 증발한다. 증발된 반응물은 그 후 반응 챔버 (12) 로 도입된다. 반응 소스 용기 (10) 및 반응 챔버 (12) 는 각각 반응 소스 캐비넷 (16) 및 반응 챔버 용기 (18) 안에 위치하고, 이들은 바람직하게는 개별적으로 비워지거나 및/또는 열적으로 제어된다. 이는 당업계에 알려진 바와 같이 분리된 냉각 및 가열 장치, 절연 및/또는 차단 밸브, 관련된 배관을 갖는 구성요소들을 제공함으로써 달성할 수 있다.Referring to FIG. 1, the solid or liquid source vessel 10 includes a solid or liquid source precursor (not shown). Solid source precursors are source chemicals that are solid at standard conditions (ie, room temperature, atmospheric pressure). Similarly, liquid source precursors are source chemicals that are liquid at standard conditions. The precursor evaporates in the source vessel 10 which may be maintained at or above the evaporation temperature. The evaporated reactant is then introduced into the reaction chamber 12. The reaction source vessel 10 and the reaction chamber 12 are located in the reaction source cabinet 16 and the reaction chamber vessel 18, respectively, which are preferably individually emptied and / or thermally controlled. This can be accomplished by providing components with separate cooling and heating devices, insulated and / or shutoff valves, and associated piping as is known in the art.

도시된 가스 전달 시스템 (6) 은 증기상 반응 챔버에서 사용될 증기상 반응물을 전달하는 데 적합하다. 증기상 반응물은 증착 (예컨대, CVD) 또는 원자층 증착 (Atomic Layer Deposition) (ALD) 에 사용될 수 있다.The illustrated gas delivery system 6 is suitable for delivering vapor phase reactants to be used in a vapor phase reaction chamber. Vapor phase reactants can be used for deposition (eg, CVD) or atomic layer deposition (ALD).

도 1 에 도시된 바와 같이, 제 1 도관 (20) 을 통하여 반응 소스 용기 (10) 와 반응 챔버 (12) 는 서로 선택적인 유체 소통에 적합하게 되며, 이로써 가스 상 반응물이 반응물 소스 용기 (10) 로부터 반응 챔버 (12) (ALD 반응 챔버) 로 이송된다. 제 1 도관 (20) 은 하나 이상의 차단 밸브 (22a, 22b) 를 포함하고, 차단 밸브 (22a, 22b) 는 반응 소스 용기 (10) 및 반응 챔버 용기 (18) 의 하나 또는 모두의 비우기 및/또는 유지 동안 반응 소스 용기 (10) 및 반응 챔버 (12) 의 가스 공간을 분리하는데 사용될 수도 있다.As shown in FIG. 1, through the first conduit 20, the reaction source vessel 10 and the reaction chamber 12 are adapted for selective fluid communication with each other, whereby the gaseous reactants react with the reactant source vessel 10. From the reaction chamber 12 (ALD reaction chamber). The first conduit 20 includes one or more shutoff valves 22a, 22b, wherein the shutoff valves 22a, 22b empty and / or empty one or both of the reaction source vessel 10 and the reaction chamber vessel 18. It may be used to separate the gas spaces of the reaction source vessel 10 and the reaction chamber 12 during maintenance.

비반응성 또는 불활성 가스는 증발된 전구체의 캐리어 가스로서 바람직하게 사용된다. 불활성가스 (예컨대, 질소 또는 아르곤) 는 제 2 도관 (24) 를 통하여 전구체 소스 용기 (10) 안으로 이송될 수도 있다. 반응 소스 용기 (10) 는 제 2 도관 (24) 과의 연결을 위한 적어도 하나의 입구를 포함하고, 용기 (10) 로부터 가스를 회수하기 위한 적어도 하나의 출구를 포함한다. 용기 (10) 의 출구는 제 1 도관 (20) 에 연결된다. 용기 (10) 는 반응 챔버 (12) 의 압력을 초과하는 압력에서 작동될 수 있다. 따라서, 제 2 도관 (24) 은 용기의 유지 또는 교체동안 용기 (10) 의 내부를 유체적으로 고립시키는데 사용될 수 있는 적어도 하나의 차단 밸브 (26) 를 포함한다. 제어 밸브 (27) 는 바람직하게는 반응 소스 케비넷 (16) 의 밖의 제 2 도관 (24) 에 위치된다.Non-reactive or inert gases are preferably used as the carrier gas of the evaporated precursor. Inert gas (eg, nitrogen or argon) may be transferred into the precursor source vessel 10 through the second conduit 24. The reaction source vessel 10 includes at least one inlet for connection with the second conduit 24 and at least one outlet for recovering gas from the vessel 10. The outlet of the vessel 10 is connected to the first conduit 20. The vessel 10 can be operated at a pressure that exceeds the pressure of the reaction chamber 12. Accordingly, the second conduit 24 includes at least one shutoff valve 26 that can be used to fluidically isolate the interior of the vessel 10 during maintenance or replacement of the vessel. The control valve 27 is preferably located in the second conduit 24 outside of the reaction source cabinet 16.

다른 변경예 (이는 본원 발명의 실시예가 될 수 있다) 에서는 전구체 증기는 캐리어 가스의 사용없이 반응 소스 용기 (10) 를 진공화함으로써 반응 챔버 (12) 로 회수될 수 있다. 이를, "증기 회수 (vapor draw)" 라고 한다.In another variation, which may be an embodiment of the present invention, precursor vapor may be recovered to the reaction chamber 12 by evacuating the reaction source vessel 10 without the use of a carrier gas. This is referred to as "vapor draw".

또다른 변경예 (이도 본원 발명의 실시예가 될 수 있다) 에서는 전구체 증기는 벤트리 효과와 같이 용기의 바깥에 낮은 압력을 만들어내는 외부 가스 흐름에 의하여 용기 (10) 밖으로 회수될 수 있다. 예컨대, 용기 (10) 하류의 경로를 따라 반응 챔버 (12) 를 향하여 캐리어 가스를 흐르게 함으로서 전구체 증기가 회수될 수 있다. 다른 조건에서는, 이는 용기 (10) 과 캐리어 가스의 흐름 경로 사이에 압력 구배를 형성할 수도 있다. 이러한 압력 구배는 전구체 증기가 반응 챔버 (12) 를 향하여 흐르게 한다.In another variation, which may also be an embodiment of the present invention, the precursor vapor may be recovered out of the vessel 10 by an external gas stream that creates a low pressure outside the vessel, such as the Ventry effect. For example, the precursor vapor may be recovered by flowing a carrier gas towards the reaction chamber 12 along a path downstream of the vessel 10. In other conditions, this may create a pressure gradient between the vessel 10 and the flow path of the carrier gas. This pressure gradient causes the precursor vapors to flow towards the reaction chamber 12.

고체 소스 전구체가 사용될 때 분산된 고체 입자들을 제거하기 위하여, 가스 수송 시스템 (6) 은 증발된 반응물에 작용되는 정화기 (purifier) (28) 를 포함한다. 정화기 (28) 는 하나 이상의 다양한 정화 장치, 예컨대, 기계적 필터, 세라믹 분자 시브 (sieves), 및 최소 분자 크기의 분산된 분자, 고체 또는 입자를 반응 가스 흐름으로부터 분리할 수 있는 정전기적 필터를 포함한다. 또한, 용기 (10) 에 추가적인 정화기를 제공하는 것도 알려져 있다. 특히, 미국 공개 특허 출원 US 2005/0000428A1 은 강 컨테이너안에 넣어진 유리 도가니를 포함하는 용기를 개시하고 있는데, 도가니는 반응 소스를 수용하고 있고, 필터를 갖는 뚜껑을 갖는다. 이 뚜껑은 강 컨테이너에 부착되어 있는 용기 뚜껑으로부터 분리된다.In order to remove the dispersed solid particles when a solid source precursor is used, the gas transport system 6 includes a purifier 28 which acts on the evaporated reactants. Purifier 28 includes one or more various purification devices, such as mechanical filters, ceramic molecular sieves, and electrostatic filters that can separate dispersed molecules, solids, or particles of minimum molecular size from the reactant gas stream. . It is also known to provide an additional purifier for the vessel 10. In particular, US published patent application US 2005 / 0000428A1 discloses a container comprising a glass crucible enclosed in a steel container, which holds a reaction source and has a lid with a filter. This lid is separated from the vessel lid attached to the steel container.

도 1 을 계속 참조하여 보면, 반응 소스 용기 (10) 는 반응 소스 캐비넷 (16) 내에 위치한다. 캐비넷 (16) 의 내부 공간 (30) 은 감소된 압력 (예컨대, 1 mTorr ~ 10 Torr, 때때로 약 500 mTorr) 으로 유지될 수 있어, 케비넷 (16) 내부의 구성요소들의 복사 가열을 촉진하고, 상기 구성요소들을 서로로부터 열적으로 고립시켜, 일정한 온도장 (temperature fields) 을 형성하는 것을 촉진한다. 다른 변경예에서는, 캐비넷은 비워지지 않고 대류-강화 장치 (예컨대, 팬, 크로스 플로우 등) 를 포함한다. 도시된 캐비넷 (16) 은 복사 히터와 같은 하나 이상의 가열 장치 (32) 를 포함한다. 또한, 반사 시트 (reflector sheet) (34) 도 제공될 수 있고, 이는 캐비넷 (16) 내부의 구성요소들을 둘러쌓도록 설정됨으로써, 가열 장치 (32) 에 의해 생성된 복사열을 캐비넷 (16) 내부에 위치하는 구성요소들로 반사시킨다. 반사 시트 (34) 는 캐비넷 (16) 의 내벽 (40), 천장 (7), 및 바닥 (9) 에 제공된다. 도시된 장치에서, 제 1 도관 (20) 의 실질적인 길이는 반응 소스 케비넷 (16) 내부에 포함된다, 따라서, 제 1 도관 (20) 은 원래 어느정도 열을 받아 반응물 증기의 응축을 방지한다.With continued reference to FIG. 1, the reaction source vessel 10 is located in a reaction source cabinet 16. The interior space 30 of the cabinet 16 can be maintained at a reduced pressure (eg, 1 mTorr to 10 Torr, sometimes about 500 mTorr) to promote radiant heating of the components inside the cabinet 16, and The components are thermally isolated from each other, facilitating the formation of constant temperature fields. In another variation, the cabinet does not empty and includes a convection-enhancing device (eg, fan, cross flow, etc.). The cabinet 16 shown includes one or more heating devices 32, such as radiant heaters. In addition, a reflector sheet 34 may also be provided, which is set to enclose the components inside the cabinet 16 so that radiant heat generated by the heating device 32 may be inside the cabinet 16. Reflect to the locating components. The reflective sheet 34 is provided on the inner wall 40, the ceiling 7, and the bottom 9 of the cabinet 16. In the apparatus shown, the substantial length of the first conduit 20 is contained within the reaction source cabinet 16, so that the first conduit 20 is originally subjected to some heat to prevent condensation of the reactant vapors.

반응물 소스 캐비넷 (16) 은 캐비넷의 외벽 (38) 과 내벽 (40) 사이에 형성된 냉각 자켓 (36) 을 포함할 수 있다. 냉각 자켓 (36) 은 물 또는 다른 냉각제를 포함할 수 있다. 자켓 (36) 은 캐비넷 (16) 의 외부 표면 (38) 이 주위 온도 또는 그 근처로 유지되도록 한다.The reactant source cabinet 16 may include a cooling jacket 36 formed between the outer wall 38 and the inner wall 40 of the cabinet. Cooling jacket 36 may include water or other coolant. The jacket 36 allows the outer surface 38 of the cabinet 16 to be maintained at or near ambient temperature.

ALD 공정의 교류 펄스 사이에 반응 소스 용기 (10) 로부터의 가스 흐름을 방지하거나 감소시키기 위하여, 제 1 도관 (20) 에 비반응성 가스 배리어를 형성하는 것이 가능하다. 이는, 제 1 도관 (20) 의 부분의 "불활성 가스 밸빙 (valving)" 또는 "확산 배리어" 로서 종종 언급되기도 하고, 제 1 도관 (20) 에서 노멀 반응물 흐름에 반대되는 방향으로 가스를 흐르게 함으로써 가스 상 배리어를 형성시킴으로써, 반응 소스 용기 (10) 로부터 반응 챔버 (12) 로의 반응물의 흐름을 방지한다. 가스 배리어는 연결점 (52) 에서 도관 (20) 에 연결된 제 3 도관 (50) 을 통하여 비반응성의 가스를 제 1 도관 (20) 으로 이송함으로써 형성될 수도 있다. 제 3 도관 (50) 은 제 2 도관 (24) 에 공급하는 불활성 가스 소스 (54) 에 연결될 수 있다. 반응 소스 용기 (10) 으로부터 증기 상 펄스를 이송하는 시간 간격 동안, 비반응성 가스는 바람직하게는 제 3 도관 (50) 을 통하여 제 1 도관 (20) 에 이송된다. 이러한 가스는 제 4 도관 (58) 을 통하여 회수될 수 있고, 이러한 제 4 도관 (58) 은 제 1 연결점 (52) 의 상류에 위치하는 제 2 연결점 (60) 에서 제 1 도관 (20) 에 연결된다 (즉, 반응 소스 용기 (10) 에 더욱 가깝다). 이러한 방법으로, 노멀 반응 가스 흐름에 반대되는 방향의 불활성 가스의 흐름은 (반응 펄스들 사이에서) 제 1 및 제 2 연결점 (52, 60) 사이의 제 1 도관 (20) 에서 만들어질 수 있다. 제 4 도관 (58) 은 비우기 소스 (evacuation source) (예를들어 진공 펌프) 와 소통될 수 있다. 제한부 (61), 및 밸브 (56, 63, 70) 도 역시 제공될 수 있다. 가스 전달 시스쳄 (6) 의 더욱 구체적인 사항은 미국 공개 특허 출원 US 2005/0000428A1 에 도시 및 기재되어 있다.In order to prevent or reduce the flow of gas from the reaction source vessel 10 between alternating pulses of the ALD process, it is possible to form a non-reactive gas barrier in the first conduit 20. This is often referred to as the "inert gas valving" or "diffusion barrier" of the portion of the first conduit 20, and by flowing the gas in a direction opposite to the normal reactant flow in the first conduit 20 By forming a phase barrier, the flow of reactants from the reaction source vessel 10 into the reaction chamber 12 is prevented. The gas barrier may be formed by transferring non-reactive gas to the first conduit 20 through a third conduit 50 connected to the conduit 20 at the connection point 52. The third conduit 50 can be connected to an inert gas source 54 that supplies the second conduit 24. During the time interval of conveying vapor phase pulses from the reaction source vessel 10, the non-reactive gas is preferably conveyed to the first conduit 20 via a third conduit 50. This gas may be recovered through the fourth conduit 58, which connects to the first conduit 20 at a second connection point 60 located upstream of the first connection point 52. (I.e., closer to the reaction source vessel 10). In this way, a flow of inert gas in a direction opposite to the normal reactant gas flow can be made in the first conduit 20 between the first and second connection points 52, 60 (between the reaction pulses). The fourth conduit 58 can be in communication with an evacuation source (eg a vacuum pump). Restrictions 61, and valves 56, 63, 70 may also be provided. More specific details of the gas delivery system 6 are shown and described in US published patent application US 2005 / 0000428A1.

도 1 에 도시된 시스템 (6) 과 같은 기존의 고체 또는 액체 전구체 소스 전달 시스템은 많은 결점과 제약을 갖는다. 한 결점은 전구체 소스 용기 (용기 (10)) 와 반응 챔버 (반응 챔버 (12)) 사이의 가스 라인과 밸브를 가열하기 위한 많은 수의 추가적인 히터의 제공이 필요하다는 점이다. 특히, 이러한 가스 수송에 관여하는 구성요소들 (예를들어, 밸브 (22a, 22b, 70), 정화기 (28), 도관 (20)) 을 전구체의 응축 온도 이상의 온도로 유지함으로써 전구체 증기들이 상기 구성요소들 위에 증착되는 것을 방지하는 것이 바람직하다. 일반적으로, 이러한 관여하는 구성요소들은 라인 히터, 카트리지 히터, 열 램프 등에 의하여 분리되어 가열된다. 몇가지 시스템 (예를들어, 미국 공개 특허 출원 2005/0000428A1) 은 이러한 관여하는 구성요소들을 소스 용기의 온도 이상으로 치우치게 하기 (bias) 위한 추가적인 히터들을 사용한다. 그러한 온도 치우침은 냉각 동안 이러한 관여하는 구성요소들에서 전구체 응축을 방지하는 것을 돕는다. 소스 용기가 일반적으로 가스 수송에 관여하는 구성요소들보다 더 높은 열질량을 갖기 때문에, 이러한 구성요소들은 소스 용기보다 응축 온도로 더 빨리 냉각되는 위험성을 갖는다. 이는 쿨러에 관여하는 구성요소로 흐를 수 있고, 이에 증착할 수 있는 전구체 증기를 소스 용기가 계속 생산하는 바람직하기 않은 조건을 야기할 수 있다. 온도 치우침은 이러한 문제를 해결할 수 있다. 그러나, 추가적인 히터의 필요는 장치의 총 크기 및 작동 원가를 증가시킨다.Existing solid or liquid precursor source delivery systems, such as the system 6 shown in FIG. 1, have many drawbacks and limitations. One drawback is the need to provide a large number of additional heaters for heating the gas lines and valves between the precursor source vessel (container 10) and the reaction chamber (reaction chamber 12). In particular, precursor vapors may be constructed by maintaining components involved in such gas transport (eg, valves 22a, 22b, 70, purifier 28, conduit 20) at temperatures above the condensation temperature of the precursor. It is desirable to prevent deposition on the elements. Generally, these involved components are separated and heated by line heaters, cartridge heaters, heat lamps, and the like. Some systems (eg US Published Patent Application 2005 / 0000428A1) use additional heaters to bias these involved components above the temperature of the source vessel. Such temperature biasing helps to prevent precursor condensation on these involved components during cooling. Since the source vessel generally has higher thermal mass than the components involved in gas transport, these components are at risk of cooling to condensation temperature faster than the source vessel. This can flow into the components involved in the cooler and cause undesirable conditions in which the source vessel continues to produce precursor vapors that can deposit thereon. Temperature skew can solve this problem. However, the need for additional heaters increases the total size and operating cost of the device.

또한, 고체 전구체 입자 (예컨대, 캐리어 가스 유동에 동반되는 분말) 가 반응 챔버에 들어가는 것을 방지하기 위하여, 종래의 고체 소스 전달 시스템은 통상적으로 소스 용기 출구와 기판 반응 챔버 사이에 필터 (도 1 의 정화기 (28) 등) 를 채용하고 있다. 이러한 필터로 인해 장치의 전체 크기가 커지게 되고, 필터에 응축이 생기는 것을 막기 위해 추가적인 히터가 필요하다. 또한, 이러한 필터는 통상적으로 소스 용기 출구의 하류에 있고, 이는 전구체 입자가 가스 도관 내 또는 용기 출구 밸브 자체 내와 같은 용기 출구의 하류에 있는 가스 전달 구성요소에 증착될 위험을 수반한다. 이러한 입자는 밸브와 같은 구성요소에 손상을 주어, 완벽히 밀봉하는 능력을 손상시킬 수 있다.In addition, in order to prevent solid precursor particles (eg, powders accompanying the carrier gas flow) from entering the reaction chamber, conventional solid source delivery systems typically have a filter (purifier of FIG. 1) between the source vessel outlet and the substrate reaction chamber. (28) and the like). These filters increase the overall size of the device and require additional heaters to prevent condensation on the filters. Also, such a filter is typically downstream of the source vessel outlet, which carries the risk that precursor particles will deposit on the gas delivery component downstream of the vessel outlet, such as in the gas conduit or in the vessel outlet valve itself. These particles can damage components such as valves and impair the ability to seal completely.

종래의 고체 또는 액체 소스 전달 시스템의 다른 단점은, 종종 전구체 소스 용기를 재충전 또는 교체하기 어렵다는 점이다. 도 2 는 컨테이너 보디 (33) 와 뚜껑 (35) 을 포함하는 전형적인 전구체 소스 용기 (31) 를 나타내고 있다. 뚜껑 (35) 은 입구 튜브 (43a, 43b) 및 이로부터 상방으로 연장되는 출구 튜브 (45a, 45b) 를 포함한다. 차단 밸브 (37) 는 입구 튜브 (43a, 43b) 의 사이에 위치되고, 차단 밸브 (39) 는 출구 튜브 (45a, 45b) 의 사이에 위치된다. 다른 차단 밸브 (41) 는 입구 튜브 (43a) 와 출구 튜브 (45a) 를 연결하는 가스 라인들 사이에 위치한다. 입구 튜브 (43a, 43b) 및 출구 튜브 (45a, 45b) 는 컨테이너 보디 (33) 를 통한 불활성 캐리어 가스의 유동을 제공한다. 튜브 (43a, 45a) 는 반응물 가스 전달 시스템의 다른 가스 유동 라인에 연결되도록 구성된 부속품 (47) 을 전형적으로 포함한다. 고체 또는 액체 소스 전구체가 고갈되어 교체가 필요한 경우, 전체 소스 용기 (31) 를 소스 화학물질로 가득찬 새 것으로 교체하는 것이 일반적이다. 소스 용기 (31) 를 교체하기 위해서는, 차단 밸브 (37 및 39) 를 닫고, 나머지 기판 처리 장치로부터 부속품 (47) 을 분리하며, 용기 (31) 를 물리적으로 제거하고, 새 용기 (31) 를 적절한 위치에 위치시키며, 새 용기 (31) 의 부속품 (47) 을 나머지 기판 처리 장치에 연결하는 것이 필요하다. 종종, 이러한 프로세스는 다양한 열전대, 라인 히터, 클램프 등을 분해하는 것을 포함할 수도 있다. 이러한 프로세스는 꽤 힘들 수 있다.Another disadvantage of conventional solid or liquid source delivery systems is that it is often difficult to refill or replace the precursor source container. 2 shows a typical precursor source container 31 comprising a container body 33 and a lid 35. The lid 35 comprises inlet tubes 43a and 43b and outlet tubes 45a and 45b extending upwards therefrom. The shutoff valve 37 is located between the inlet tubes 43a and 43b, and the shutoff valve 39 is located between the outlet tubes 45a and 45b. Another shutoff valve 41 is located between the gas lines connecting the inlet tube 43a and the outlet tube 45a. The inlet tubes 43a and 43b and the outlet tubes 45a and 45b provide a flow of inert carrier gas through the container body 33. Tubes 43a and 45a typically include an accessory 47 configured to be connected to another gas flow line of a reactant gas delivery system. If the solid or liquid source precursor is depleted and needs to be replaced, it is common to replace the entire source vessel 31 with a new one filled with the source chemical. To replace the source vessel 31, close the shutoff valves 37 and 39, disconnect the accessory 47 from the rest of the substrate processing apparatus, physically remove the vessel 31, and replace the new vessel 31 with an appropriate one. Located in position, it is necessary to connect the accessory 47 of the new container 31 to the remaining substrate processing apparatus. Often, this process may involve disassembling various thermocouples, line heaters, clamps, and the like. This process can be quite difficult.

종래의 고체 또는 액체 소스 전달 시스템의 다른 문제점은, 가스 전달 시스템이 정체 유동 영역 (데드 레그 (dead legs) 라고도 불리운다) 을 만들 수도 있다는 점이다. 데드 레그는 전구체 소스 용기로부터의 가스 유동 통로가 더 길거나 복잡할 경우에 일어나는 경향이 있다. 종래의 (전술한 바와 같은) 소스 용기 입구 및 출구 차단 밸브는 데드 레그를 형성할 수 있다. 일반적으로, 데드 레그는 전달 시스템의 가스 전달 구성요소상에 원하지 않는 전구체 증착의 위험성을 증가시킨다. 이러한 원하지 않는 전구체 증착은 죽은 체적과 연관된 차가운 부분 (cold spot) 으로 인하여 발생할 수 있고, 전구체는 승화/융해 온도 아래의 온도에서 고체화한다. 이러한 원하지 않는 전구체 증착은 죽은 체적과 연관된 뜨거운 부분 (hot spot) 으로 인하여 발생할 수 있고, 전구체는 높은 온도에서 분해된다. 이러한 이유로, 일반적으로 반응물 가스 유동의 정체를 줄이고 최소화하는 것이 바람직하다. 또한, 뜨거운 부분 또는 차가운 부분이 생길 가능성을 줄이기 위하여, 온도 제어되도록 표면적을 줄이는 것이 바람직하다.Another problem with conventional solid or liquid source delivery systems is that gas delivery systems may create stagnant flow zones (also called dead legs). Dead legs tend to occur when the gas flow passages from the precursor source vessels are longer or more complex. Conventional source vessel inlet and outlet shutoff valves (as described above) can form dead legs. In general, dead legs increase the risk of undesired precursor deposition on gas delivery components of a delivery system. Such unwanted precursor deposition can occur due to cold spots associated with dead volume, and the precursor solidifies at temperatures below the sublimation / melting temperature. Such unwanted precursor deposition can occur due to hot spots associated with dead volumes, and the precursors decompose at high temperatures. For this reason, it is generally desirable to reduce and minimize stagnation of reactant gas flow. It is also desirable to reduce the surface area to be temperature controlled in order to reduce the likelihood of hot or cold areas occurring.

데드 레그의 양과 부피를 최소화하는 다른 이유는 전구체 소스 용기와 기판 반응 챔버 사이에 위치하는 가스 전달 시스템의 전체 부피를 줄이기 위함이다. 가스 전달 시스템의 전체 부피가 증가하게 되면, 종종 ALD 처리와 관련된 최소 펄스 시간와 최소 퍼지 (purge) 시간이 증가한다. 최소 펄스 시간은 주입된 반응물이 처리되는 기판의 표면을 포화시키는 데 필요한 펄스 시간이다. 최소 퍼지 시간은 반응물 펄스들 사이에서 과잉 반응물을 기판 반응 챔버 및 가스 전달 시스템으로부터 퍼지하는 데 필요한 시간이다. 최소 펄스 시간과 최소 퍼지 시간이 감소하면, 기판 처리량 (throughput) (기판이 처리될 수 있는 속도) 이 증가한다. 따라서, 처리량을 높이기 위해서 데드 레그의 양과 부피를 줄이는 것이 바람직하다.Another reason to minimize the amount and volume of dead legs is to reduce the overall volume of the gas delivery system located between the precursor source vessel and the substrate reaction chamber. Increasing the total volume of a gas delivery system often increases the minimum pulse time and minimum purge time associated with ALD processing. The minimum pulse time is the pulse time required for the injected reactant to saturate the surface of the substrate being processed. The minimum purge time is the time required to purge excess reactant from the substrate reaction chamber and the gas delivery system between reactant pulses. As the minimum pulse time and minimum purge time decrease, the substrate throughput (speed at which the substrate can be processed) increases. Therefore, it is desirable to reduce the amount and volume of dead legs in order to increase throughput.

가스 전달 시스템의 전체 부피를 줄이는 것의 다른 이점은, 반응물 가스 펄스의 "펄스 형태" 를 향상시킨다는 점이다. 펄스 형태는 반응물 가스 펄스에 대한, 반응물/캐리어 혼합물에서 반응물의 화학적 농도 곡선의 형태를 의미한다. 도 3 은 이상적인 반응물질 농도 곡선 (80) 및 덜 이상적인 곡선 (82) 의 예를 나타낸다. 양 곡선은 실질적으로 0 의 반응물 농도의 시간 (86) 만큼 분리되어 있는 반응물 가스 펄스 (84) 를 포함한다. 이상적인 곡선 (80) 은 정사각형 파동과 같은 직선형 (rectilinear) 파형과 유사하다. 실질적으로 직선형인 파동이 바람직한데, 이는 기판 처리량을 최적화하기 위하여, 각각의 반응물 가스 펄스가 최소의 시간에 기판 표면 (포화) 상에 가능한 반응 위치 전부에 반응물 종 (species) 을 운반하는 것이 매우 바람직하기 때문이다. 곡선 (80) 과 같이, 직선형 펄스 형태는 처리량을 최적화하는데, 이는 각 펄스 지속기간이 높은 반응물 농도를 갖게 되고, 이것이 기판 표면으로 충분한 반응물 종을 운반하는데 필요한 펄스 지속기간을 감소시키기 때문이다. 또한, 직선형 펄스 형태의 분산이 줄어들면, 다른 전구체의 연속적인 펄스들 사이의 "펄스 중첩 (pulse overlap)" 량이 줄어들게 되고, 이는 원하기 않는 CVD 성장 모드의 포텐셜을 줄이게 된다. 반대로, 비이상적인 곡선 (82) 의 각 펄스 (84) 의 펄스 농도는 최고 수준에 도달하는데 더 오래 걸리게 되고, 이로 인해 기판 표면을 완전히 포화시키는 데 필요한 펄스 지속기간이 늘어나게 된다. 따라서, 곡선 (80) 의 진동수는 곡선 (82) 의 진동수보다 작다. 가스 전달 시스템의 전체 부피가 늘어날수록, 펄스 형태는 악화된다. 따라서, 데드 레그를 최소화함으로써, 펄스 형태를 향상시키는 것 (즉, 직사각형 파동과 더 유사하게 하는 것) 이 바람직하다.Another advantage of reducing the overall volume of the gas delivery system is that it improves the "pulse form" of the reactant gas pulses. By pulse form is meant the form of the chemical concentration curve of the reactant in the reactant / carrier mixture, relative to the reactant gas pulse. 3 shows examples of ideal reactant concentration curves 80 and less ideal curves 82. Both curves include reactant gas pulses 84 separated by a time 86 of substantially zero reactant concentration. The ideal curve 80 is similar to a rectilinear waveform, such as a square wave. Substantially linear waves are preferred, in order to optimize substrate throughput, it is highly desirable that each reactant gas pulse carries reactant species at all possible reaction sites on the substrate surface (saturation) in a minimum amount of time. Because. As with curve 80, the straight pulse shape optimizes throughput because each pulse duration has a high reactant concentration, which reduces the pulse duration needed to transport sufficient reactant species to the substrate surface. In addition, reducing the dispersion of the straight pulse form reduces the amount of "pulse overlap" between successive pulses of different precursors, which reduces the potential of unwanted CVD growth modes. Conversely, the pulse concentration of each pulse 84 of the non-ideal curve 82 takes longer to reach the highest level, which increases the pulse duration required to completely saturate the substrate surface. Therefore, the frequency of the curve 80 is smaller than the frequency of the curve 82. As the overall volume of the gas delivery system increases, the pulse shape worsens. Thus, by minimizing dead legs, it is desirable to improve the pulse shape (ie, to make it more like a rectangular wave).

종래의 고체 소스 전달 시스템의 다른 단점은 처리 전 전구체 소스 용기의 배기 (venting) 와 연관된 오염의 위험이다. 전구체 소스 용기에는 통상적으로 용기 내의 가스의 헤드 압력 (head pressure) 이 공급된다. 예컨대, 전구체 분말로 차 있는 소스 용기는 주위 압력보다 약간 더 높은 (예컨대, 5psi) 압력으로 헬륨이나 다른 불활성 가스와 함께 선적된다. 통상적으로, 선적 직전에 용기 완전성을 확실히 하기 위하여 헬륨 누출 탐지기를 사용한 "밖으로 나가는 (out-bound)" 헬륨 누출 시험을 가능하게 하는데 헬륨이 사용된다. 이 헬륨이 종종 남아있거나, N2 나 다른 불활성 가스로 대체되어, 작은 누출이 존재하면 용기로부터 바깥쪽으로 이 가스가 누출되어 용기 내 전구체의 대기 오염을 막을 수 있다. 용기가 기판 처리에 사용되기 전에, 내부 가스의 헤드 압력은 보통 제거된다. 통상적으로, 용기의 내부 가스는 용기의 출구 차단 밸브를 통해, 반응물 가스 전달 시스템을 통해, 그리고 최종적으로 반응기의 배기/스크러버를 통해 배기된다. 어떠한 시스템에서는, 용기의 내부 가스는 기판 반응 챔버를 통해 배기된다. 다른 시스템은 반응 챔버와 평행한 (즉, 반응 챔버의 바로 상류측 지점으로부터 반응 챔버의 바로 하류측 지점까지 연장되는) 가스 라인을 채용하고 있어서, 용기의 내부 가스는 반응 챔버를 통한 유동 없이 배기/스크러버로 향할 수 있다. 어떠한 경우에는, 용기가 헤드 압력에서 풀려날 때 현재 용기 디자인에서는 입자가 생성될 위험이 있다. 이로 인하여, 전구체 분말이 배기 유동 (즉, 용기의 가압된 내부 가스로부터 배기) 에 동반되어, 용기 출구 자체를 포함하여 가스 전달 시스템의 하류 구성요소를 오염시키고 손상시킬 수 있다. 심지어 노멀 처리 동안에도, 전구체 재료 (예컨대, 분말) 가 전구체 소스 용기를 통해 유동하는 캐리어 가스에 동반될 수 있고, 이는 가스 전달 시스템 내의 전구체의 원하지 않는 증착 가능성을 포함한다.Another disadvantage of conventional solid source delivery systems is the risk of contamination associated with venting of precursor source vessels prior to processing. The precursor source vessel is typically supplied with the head pressure of the gas in the vessel. For example, a source container filled with precursor powder is shipped with helium or other inert gas at a pressure slightly higher than ambient pressure (eg, 5 psi). Typically, helium is used to enable "out-bound" helium leak testing using a helium leak detector to ensure container integrity immediately prior to shipping. This helium often remains, or is replaced by N 2 or other inert gas, and if there is a small leak, this gas can leak out of the vessel to prevent air pollution of the precursors in the vessel. Before the vessel is used for substrate processing, the head pressure of the internal gas is usually removed. Typically, the internal gas of the vessel is evacuated through the outlet shutoff valve of the vessel, through the reactant gas delivery system, and finally through the exhaust / scrubber of the reactor. In some systems, the gas inside the vessel is exhausted through the substrate reaction chamber. Another system employs a gas line parallel to the reaction chamber (i.e., extending from a point immediately upstream of the reaction chamber to a point immediately downstream of the reaction chamber) such that the gas inside the vessel is exhausted without flow through the reaction chamber. Can be headed to a scrubber. In some cases, there is a risk of particles being produced in current vessel designs when the vessel is released at head pressure. This may cause precursor powder to accompany the exhaust flow (ie, exhaust from the pressurized internal gas of the vessel) to contaminate and damage downstream components of the gas delivery system, including the vessel outlet itself. Even during normal processing, precursor material (eg, powder) may be entrained in the carrier gas flowing through the precursor source vessel, which includes the possibility of undesired deposition of the precursor in the gas delivery system.

전구체 전달 시스템에 대하여 본 명세서에서 개시된 실시형태는, 용기를 나머지 전달 시스템과 빠르게 연결 및 해제하는, 개선된 전구체 소스 용기 및 장치를 채택함으로써 이러한 문제점을 실질적으로 극복한다. 이러한 실시예를 이하에서 서술한다.Embodiments disclosed herein with respect to precursor delivery systems substantially overcome this problem by employing improved precursor source vessels and devices that quickly connect and disconnect vessels with the rest of the delivery system. This embodiment is described below.

도 4 ~ 도 6 은 3 개의 상이한 가스 패널 구성을 나타내는 도면이다. 가스 패널은 통상적으로 전구체 소스 용기의 하류에 있는 1 이상의 밸브를 포함하고, 용기의 상류에 1 이상의 밸브를 또한 포함할 수 있다. 도 4 는 소스 화학물질이 소스 용기 (10) 에 담겨 있는 종래의 구성을 나타내는 도면이다. 가스 패널 (90) 이 캐리어 가스를 캐리어 가스 소스 (도시되지 않음) 로부터 용기 (10) 를 통하여 그리고 반응 챔버 (도시되지 않음) 안으로 전달하도록 작동가능한 다수의 밸브를 포함한다. 입구 밸브 (91) 가 튜빙 (93) 에 의해 용기 (10) 의 상류에 연결되고, 출구 밸브 (92) 가 튜빙 (94) 에 의해 용기 (10) 의 하류에 연결된다. 이러한 종래의 구성에서, 입구 밸브 (91), 출구 밸브 (92), 및 가스 패널 (90) 의 밸브와 튜빙은 통상적으로 용기 (10) 와 근접 열 접촉하지 않는다.4 to 6 show three different gas panel configurations. The gas panel typically includes one or more valves downstream of the precursor source vessel, and may also include one or more valves upstream of the vessel. 4 shows a conventional configuration in which the source chemical is contained in the source container 10. The gas panel 90 includes a plurality of valves operable to deliver carrier gas from a carrier gas source (not shown) through the vessel 10 and into the reaction chamber (not shown). Inlet valve 91 is connected upstream of vessel 10 by tubing 93, and outlet valve 92 is connected downstream of vessel 10 by tubing 94. In this conventional configuration, the inlet valve 91, the outlet valve 92, and the valves and tubing of the gas panel 90 are typically not in close thermal contact with the vessel 10.

도 5 는 도 4 의 구성에 비해 다소 개선된 구성을 나타내는 도면이다. 도 5 의 구성에서, 전구체 소스 용기 (100) 는 표면장착 입구 밸브 (108) 및 표면장착 출구 밸브 (110) 를 갖는다. 밸브 (108 및 110) 는 튜빙 (95 및 96) 에 의해 종래의 가스 패널 (90) 로부터 분리된다. 이러한 구성에서, 밸브 (108 및 110) 는 용기 (100) 와 근접 열 접촉하지만, 가스 패널 (90) 의 밸브와 튜빙은 그렇지 않다. 5 is a view showing a somewhat improved configuration compared to the configuration of FIG. In the configuration of FIG. 5, precursor source vessel 100 has a surface mount inlet valve 108 and a surface mount outlet valve 110. Valves 108 and 110 are separated from conventional gas panel 90 by tubing 95 and 96. In this configuration, valves 108 and 110 are in close thermal contact with vessel 100, while the valves and tubing of gas panel 90 are not.

도 6 은 도 5 의 구성에 비해 개선된 구성을 나타내는 도면이다. 도 6 의 구성에서, 소스 용기 (100) 는 표면장착 입구 밸브 (108) 와 표면장착 출구 밸브 (110) 를 갖는 일반적으로 편평한 상부 표면을 갖는다. 또한, 가스 패널 (97) 은 가스 패널의 밸브와 튜빙이 용기 (100) 의 일반적으로 편평한 표면에 일반적으로 평행한 면을 따라 위치되도록 구성된다. 용기 (100) 와 가스 패널 밸브와 튜빙 사이의 열 접촉을 증가시키기 위해, 가스 패널 밸브와 튜빙의 면과 용기 (100) 의 일반적으로 편평한 표면 사이의 거리는 바람직하게는 약 10.0 ㎝ 미만, 더 바람직하게는 약 7.5 ㎝ 미만, 보다 더 바람직하게는 약 5.3 ㎝ 미만이다. 6 is a view showing an improved configuration compared to the configuration of FIG. In the configuration of FIG. 6, the source vessel 100 has a generally flat top surface having a surface mounted inlet valve 108 and a surface mounted outlet valve 110. In addition, the gas panel 97 is configured such that the valves and tubing of the gas panel are positioned along a plane generally parallel to the generally flat surface of the vessel 100. In order to increase the thermal contact between the vessel 100 and the gas panel valve and the tubing, the distance between the face of the gas panel valve and the tubing and the generally flat surface of the vessel 100 is preferably less than about 10.0 cm, more preferably Is less than about 7.5 cm, even more preferably less than about 5.3 cm.

표면장착 밸브 및 사형 경로를 갖는 소스 용기Sauce Vessel with Surface Mount Valve and Sanding Path

도 7 은 개선된 고체 또는 액체 전구체 소스 용기 (100) 및 퀵 연결 조립체 (102) 의 일 실시형태를 나타내는 도면이다. 소스 용기 (100) 는 컨테이너 보디 (104) 및 뚜껑 (106) 을 포함한다. 뚜껑 (106) 은 표면장착 차단 밸브 (108 및 110) 를 포함하며, 이는 이하에서 더 상세하게 설명된다.FIG. 7 shows an embodiment of an improved solid or liquid precursor source vessel 100 and quick connect assembly 102. The source container 100 includes a container body 104 and a lid 106. The lid 106 includes surface mount shutoff valves 108 and 110, which are described in more detail below.

도 8 ~ 도 10 은 도 7 의 소스 용기 (100) 를 매우 상세하게 나타내는 도면이다. 도 8 은 소스 용기 (100) 의 분해도이며, 도 9 및 도 10 은 소스 용기 (100) 의 후방 단면도이다. 나타낸 용기 (100) 는 컨테이너 보디 (104), 보디 (104) 내의 사형 경로 인서트 (112) 그리고 뚜껑 구성요소 (106) 를 포함한다. 나타낸 조립체는 나사 또는 너트와 볼트 조합과 같은 체결 요소 (124) 에 의해 함께 체결된다. 체결 요소 (124) 는 보디 (104) 의 플랜지 (126) 내의 정렬된 구멍 안으로 연장되게 된다. 당업자는 조립체가 다양한 대안적인 방법에 의해 함께 체결될 수 있다는 것을 이해할 것이다.8 to 10 are very detailed views of the source container 100 of FIG. 8 is an exploded view of the source container 100, and FIGS. 9 and 10 are rear cross-sectional views of the source container 100. The container 100 shown includes a container body 104, a death path insert 112 in the body 104, and a lid component 106. The assembly shown is fastened together by fastening elements 124 such as screws or nut and bolt combinations. The fastening element 124 extends into the aligned holes in the flange 126 of the body 104. Those skilled in the art will appreciate that the assemblies can be fastened together by various alternative methods.

사형 경로 인서트 (112) 는 바람직하게는 굴곡진 또는 사형 경로 (111) 를 규정하고 캐리어 가스가 용기 (100) 를 통과하여 흐를 때 이 경로를 통해 이동해야 한다. 사형 경로 (112) 는 바람직하게는, 분말 또는 액체와 같은 전구체 소스를 담고 있다. 사형 경로 (111) 는 종래의 전구체 소스 용기 내의 캐리어 가스 유동 경로보다 훨씬 더 길다. 밸브 (108 및 110) (이하에서 설명함) 및 밸브 (210) (도 26 ~ 도 28 을 참조하여 이하에서 설명함) 는 덜 가혹한 환경을 겪고, 이로써 이들의 신뢰성을 증가시킨다. The death path insert 112 preferably defines a curved or death path 111 and must travel through this path as the carrier gas flows through the vessel 100. The death path 112 preferably contains a precursor source such as a powder or a liquid. The death path 111 is much longer than the carrier gas flow path in a conventional precursor source vessel. Valves 108 and 110 (described below) and valve 210 (described below with reference to FIGS. 26-28) suffer from a less severe environment, thereby increasing their reliability.

뚜껑 (106) 에 대하여 사형 인서트 (112) 를 치우치게 하기 위해 바람직하게는 스프링이 제공되어, 인서트 (112) 와 뚜껑 (106) 사이의 인터페이스를 통한 반응 가스의 탈출을 방지한다. 다시 말하면, 스프링 (114) 은 가스가 사형 경로의 모든 또는 일부를 우회할 위험을 줄이는 경향이 있다. 적절한 스프링 (114) 은 Lake Zurich, IL 의 Smalley Steel Ring 에 의해 판매되는 Spirawave® wave spring 과 같은, 편평한 와이어 압축 스프링을 포함한다.A spring is preferably provided to bias the sand insert 112 relative to the lid 106 to prevent escape of reactant gas through the interface between the insert 112 and the lid 106. In other words, the spring 114 tends to reduce the risk that the gas will bypass all or part of the death path. Suitable springs 114 include flat wire compression springs, such as Spirawave® wave springs sold by Smalley Steel Ring of Lake Zurich, IL.

도 11a 는 컨테이너 베이스 (402), 시일 (404) 및 뚜껑 (406) 을 포함하는 개선된 고체 또는 액체 전구체 소스 용기 (400) 의 다른 실시형태를 나타내는 도면이다. 뚜껑 (406) 은 다수의 일체형 가스 밸브, 또는 표면장착 밸브를 포함하며, 이들은 이하에 더 상세하게 설명된다. 도 11b ~ 도 11c 는 뚜껑 (406) 의 대표적인 실시형태를 나타내는 도면이다. 도 11d ~ 도 11g 는 소스 용기 (400) 의 베이스 (402) 의 일 실시형태를 나타내는 도면이다. 도 11h ~ 도 11i 는 소스 용기 (400) 의 베이스 (402) 의 다른 실시형태를 나타내는 도면이다.11A shows another embodiment of an improved solid or liquid precursor source vessel 400 that includes a container base 402, a seal 404, and a lid 406. Lid 406 includes a plurality of integral gas valves, or surface mount valves, which are described in more detail below. 11B-11C show representative embodiments of the lid 406. 11D-11G illustrate one embodiment of a base 402 of a source vessel 400. 11H-11I illustrate another embodiment of the base 402 of the source container 400.

도 11a 에 나타낸 것과 같이, 베이스 (402) 는 직접 고체 베이스 (402) 안으로 기계가공되는 오목 영역 (408) 을 포함하는 고체 부재의 형태이다. 뚜껑 (406) 이 베이스 (402) 에 제거가능하게 부착될 때, 소스 용기 (400) 내의 내용물을 보장하기 위해 베이스 (402) 에 고정되는 뚜껑 (406) 이 고정되기 전에 시일 (404) 이 뚜껑과 베이스 사이에 위치된다. 일 실시형태에서, 베이스 (402) 와 뚜껑 (406) 은 양쪽 부재가 실질적으로 동일한 열 전도성 그리고 이들 사이에 동일한 열 팽창 계수를 갖도록 동일한 재료로 형성된다. 다른 실시형태에서, 베이스 (402) 는 뚜껑 (406) 을 형성하는데 사용되는 재료와 상이한 재료로 형성된다. 일 실시형태에서, 베이스 (402) 와 뚜껑 (406) 은 스테인리스강으로 형성된다. 다른 실시형태에서, 베이스 (402) 및/또는 뚜껑 (406) 은 고 니켈 합금, 알루미늄 또는 티타늄으로 형성될 수 있다. 본 기술분야의 당업자는, 베이스 (402) 와 뚜껑 (406) 이 소스 용기 (400) 내의 내용물 또는 전구체와 반응하지 않거나, 또는 비활성인 동안, 소스 용기 (400) 내에 놓이는 전구체를 증발시키기 위해 충분한 열적 열 전달을 허용하기에 충분한 임의의 다른 재료로 형성될 수 있다는 것을 이해하여야 한다.As shown in FIG. 11A, the base 402 is in the form of a solid member that includes a concave region 408 that is machined directly into the solid base 402. When the lid 406 is removably attached to the base 402, the seal 404 is secured with the lid before the lid 406 is secured to the base 402 to ensure the contents in the source container 400. It is located between the bases. In one embodiment, the base 402 and the lid 406 are formed of the same material such that both members have substantially the same thermal conductivity and the same coefficient of thermal expansion between them. In another embodiment, the base 402 is formed of a material different from the material used to form the lid 406. In one embodiment, the base 402 and the lid 406 are formed of stainless steel. In other embodiments, the base 402 and / or lid 406 may be formed of high nickel alloys, aluminum or titanium. Those skilled in the art will appreciate that sufficient thermal is required to evaporate the precursor placed in the source vessel 400 while the base 402 and the lid 406 do not react with the contents or precursor in the source vessel 400 or are inactive. It should be understood that it may be formed of any other material sufficient to allow heat transfer.

시일 (404) 이, 도 11a 에 나타낸 것과 같이, 소스 용기 (400) 의 베이스 (402) 와 뚜껑 (406) 사이에 놓인다. 일 실시형태에서, 시일 (404) 은 베이스 (402) 에 형성되는 그루브 (410) 내에 놓이는 o-링이다. 다른 실시형태에서, 시일 (404) 은 베이스 (402) 와 뚜껑 (406) 사이에 놓이도록 구성되는 v-시일 또는 금속 가스켓으로 형성될 수 있다. 본 기술분야의 당업자는, 시일 (404) 은 뚜껑 (406) 이 베이스 (402) 에 부착될 때 시일을 제공하고 소스 용기 (400) 내의 내용물이 그 안에 고정되는 것을 보장하기에 충분한 임의의 형상, 크기 또는 구성으로 형성될 수 있다는 것을 이해하여야 한다. 실시형태에서, 시일 (404) 은 엘라스토머로 형성되지만, 당업자는 시일 (404) 이 폴리머 또는 금속과 같은 (이에 국한되지 않음) 시일을 제공하기에 충분한 임의의 다른 재료로 형성될 수 있다는 것을 이해하여야 한다.The seal 404 is placed between the base 402 and the lid 406 of the source container 400, as shown in FIG. 11A. In one embodiment, the seal 404 is an o-ring that lies within the groove 410 formed in the base 402. In other embodiments, the seal 404 may be formed of a v-seal or metal gasket configured to lie between the base 402 and the lid 406. Those skilled in the art will appreciate that the seal 404 may be any shape sufficient to provide a seal when the lid 406 is attached to the base 402 and to ensure that the contents within the source container 400 are secured therein, It should be understood that they may be formed in size or configuration. In an embodiment, the seal 404 is formed of an elastomer, but one of ordinary skill in the art should understand that the seal 404 may be formed of any other material sufficient to provide a seal, such as but not limited to a polymer or metal. do.

도 11a ~ 도 11c 에 나타낸 것과 같이, 소스 용기 (400) 의 뚜껑 (406) 의 일 실시형태가 도시되어 있다. 뚜껑 (406) 은 상부 표면 (412), 하부 표면 (414), 상부 및 하부 표면 (412, 414) 사이에 뻗어있는 측면 (413) 을 갖는 단일 부재로서 형성된다. 일 실시형태에서, 상부 및 하부 표면 (412, 414) 은 실질적으로 편평한 표면이다. 본 기술분야의 당업자는 편평한 상부 및 하부 표면 (412, 414) 이 내부에 형성되는 자국, 그루브, 틈 또는 삽입 부분 (inset portion) 을 더 포함할 수 있다는 것을 이해하여야 한다. 일 실시형태에서, 상부 및 하부 표면 (412, 414) 은 실질적으로 서로 평행하고, 이에 의해 뚜껑 (406) 에 전체 뚜껑 (406) 에 걸쳐 일정한 두께 (T1) 를 제공한다. 도 11b 에 나타낸 것과 같이, 상부 표면 (412) 은 상부 표면 (412) 의 나머지에 비하여 실질적으로 매끄러운 영역을 제공하도록 기계가공된 높은 공차 영역 (416) 을 포함할 수 있다. 이러한 높은 공차 영역 (416) 은 밸브 조립체 (418) 와 뚜껑 (406) 사이에 많은 직접 열 접촉을 보장하기 위해 밸브 조립체 (418) 가 뚜껑 (406) 의 상부 표면 (412) 과 동일 평면에 장착되는 것을 가능하게 한다. 이러한 구성요소들 사이의 더 많은 표면적 접촉에 의해, 이러한 구성요소들 사이의 열 전달은 최대화될 수 있고, 이에 의해 그들 사이에 증발된 전구체의 응축을 방지하는 밸브 조립체 (418) 에 열을 제공하기 위한 별개의 히터 또는 열 자켓에 대한 필요를 줄인다.As shown in FIGS. 11A-11C, one embodiment of a lid 406 of a source container 400 is shown. The lid 406 is formed as a single member having an upper surface 412, a lower surface 414, and a side 413 extending between the upper and lower surfaces 412, 414. In one embodiment, the top and bottom surfaces 412, 414 are substantially flat surfaces. Those skilled in the art should appreciate that the flat upper and lower surfaces 412, 414 may further include marks, grooves, gaps or inset portions formed therein. In one embodiment, the upper and lower surfaces 412, 414 are substantially parallel to each other, thereby providing the lid 406 with a constant thickness T 1 over the entire lid 406. As shown in FIG. 11B, the top surface 412 can include a high tolerance zone 416 that is machined to provide a substantially smooth area relative to the rest of the top surface 412. This high tolerance zone 416 allows the valve assembly 418 to be mounted flush with the top surface 412 of the lid 406 to ensure a lot of direct thermal contact between the valve assembly 418 and the lid 406. Makes it possible. By more surface area contact between these components, heat transfer between these components can be maximized, thereby providing heat to the valve assembly 418 that prevents condensation of the vaporized precursor between them. Reduces the need for a separate heater or thermal jacket.

도 11b 에 도시된 바와 같이, 뚜껑 (406) 은 입구 포트 (420), 출구 포트 (422) 및 버프 포트 (burp port) (424) 를 포함한다. 입구 포트 (420) 는 캐리어 가스 또는 불활성 가스가 그 포트를 통해 소스 용기 (400) 내로 도입될 수 있도록 구성된다. 출구 포트 (422) 는 가스가 그 포트를 통해 소스 용기 (400) 를 빠져나갈 수 있도록 구성된다. 버프 포트 (424) 는 종래의 입구/출구 포트와 같은 임의의 포트를 포함할 수 있는데, 임의의 포트는 소스 용기 (400) 의 초기 충전 및 설치 후, 또는 소스 용기 (400) 의 이후의 재충전 및 설치 후에 소스 용기 (400) 내의 헤드 압력을 경감하도록 구성될 수 있다. 버프 포트 (424) 를 통한 헤드 압력의 경감은 소스 용기 (400) 가 반도체 기판 처리용 반응 챔버 (162) (도 25) 에 증발된 전구체 재료를 제공하기 전에 일어난다. 일 실시형태에 있어서, 인터페이스 구성요소 (426) 는 각각의 포트 (420, 422, 424) 에서 뚜껑 (406) 의 상부 표면 (412) 에 작동식으로 부착된다. 각 인터페이스 구성요소 (426) 는 밸브 조립체 (418) 에 연결되도록 구성된다. 당업자는, 각각의 밸브 조립체 (418) 및 인터페이스 구성요소 (426) 는 뚜껑 (406) 의 상부 표면 (412) 에 임의의 방식으로 작동식으로 연결될 수 있다는 것을 이해하여야 한다.As shown in FIG. 11B, the lid 406 includes an inlet port 420, an outlet port 422, and a buff port 424. Inlet port 420 is configured such that a carrier gas or an inert gas can be introduced into source vessel 400 through the port. The outlet port 422 is configured to allow gas to exit the source vessel 400 through the port. Buff port 424 may include any port, such as a conventional inlet / outlet port, which port may be after initial filling and installation of source vessel 400, or subsequent refilling of source vessel 400 and It may be configured to relieve head pressure in the source vessel 400 after installation. Reduction of head pressure through the buff pot 424 occurs before the source vessel 400 provides the vaporized precursor material to the reaction chamber 162 (FIG. 25) for semiconductor substrate processing. In one embodiment, interface component 426 is operatively attached to the top surface 412 of the lid 406 at each port 420, 422, 424. Each interface component 426 is configured to be connected to the valve assembly 418. Those skilled in the art should understand that each valve assembly 418 and interface component 426 can be operatively connected to the top surface 412 of the lid 406 in any manner.

도 11a 및 도 11c 에 도시된 바와 같이, 밸브 조립체 (418) 중 하나는 뚜껑 (406) 의 상부 표면 (412) 에 작동식으로 연결되는 배기 밸브, 또는 버프 밸브 (428) 를 포함한다. 버프 밸브 (428) 는 공압 밸브, 또는 소스 용기 (400) 내외로의 가스 유동을 조절하는 임의의 밸브일 수도 있다. 일 실시형태에 있어서, 버프 밸브 (428) 는 반도체 처리 시스템에서의 소스 용기 (400) 의 사용 전에 소스 용기 (400) 내부의 헤드 압력을 경감시키도록 가스를 배출하기 위해 개방될 때를 제외하고는 닫힌 상태로 유지된다. 제조 동안 그리고 소스 용기 (400) 에 전구체를 초기 충전하는 동안, 또는 소스 용기 (400) 에 전구체가 재충전 된 후, 불활성 가스가 소스 용기 (400) 내로 도입되어 소스 용기 (400) 내에 헤드 압력을 생성한다. 이러한 헤드 압력은 위에서 설명한 바와 같이, 일단 소스 용기 (400) 가 충전 (또는 재충전) 되면 누출 점검을 수행하기 위해 사용된다. 소스 용기 (400) 가 설치되면, 헤드 압력을 생성하는 소스 용기 (400) 내의 가스는 제거되고, 처리 동안 증발된 전구체를 운반하는데 사용되는 불활성 가스로 대체될 필요가 있다. 종래에는, 공지된 소스 용기로부터, 기판의 처리 동안 증발된 전구체 재료가 빠져나가는 출구 포트와 동일한 출구 포트를 통해 초기 헤드 압력을 생성하는 가스를 배출함으로써, 헤드 압력이 경감되었다. 하지만, 출구 포트에 인접한 필터는 초기 "버프" 공정 또는 배출 동안 가스를 동반하는 전구체 입자에 의해 종종 막히게 될 수 있다. 비록 전구체 입자 중 일부가 출구 필터에 의해 정지되지만, 일부 입자는 필터를 우회할 수 있고, 또는 필터에 걸린 입자들이 제거되어 반응 챔버로 이어지는 튜빙 내로 들어가게 된다. 이와 같이 방황하는 (errant) 전구체 입자는 반응 챔버 내의 불균일 증착 또는 소스 용기와 반응 챔버 사이의 가스 라인의 막힘을 유발할 수 있다. 방황하는 입자는 처리되는 반도체 기판 상에 입자 동반을 일으켜, 기판이 제조할 수 있는 장치, 칩 도는 회로의 수를 감소시키게 된다. 본 발명의 버프 포트 (424) 및 대응하는 버프 밸브 (428) 에 의하면, 버프 포트 (424) 를 빠져나가는 가스 및 입자가 배기 라인 (466) (도 25) 에 직접 연결되는 버프 가스 라인 (432) 을 통해 전환되기 전에 버프 필터 (430) 에 의해 우선 걸러지는 "버프" 공정 동안 헤드 압력이 경감될 수 있고, 이로써 원치 않는 입자가 반응 챔버 (162) 내의 처리를 방해하지 않도록 반응 챔버 (162) 를 우회하게 한다.As shown in FIGS. 11A and 11C, one of the valve assemblies 418 includes an exhaust valve, or buff valve 428, operatively connected to the upper surface 412 of the lid 406. Buff valve 428 may be a pneumatic valve, or any valve that regulates gas flow into and out of source vessel 400. In one embodiment, the buff valve 428 is opened except to release the gas to relieve head pressure inside the source vessel 400 prior to use of the source vessel 400 in the semiconductor processing system. It stays closed. During manufacture and during initial charging of the precursor to the source vessel 400, or after the precursor is refilled into the source vessel 400, an inert gas is introduced into the source vessel 400 to generate head pressure within the source vessel 400. do. This head pressure is used to perform a leak check once the source vessel 400 is filled (or refilled) as described above. Once the source vessel 400 is installed, the gas in the source vessel 400 that generates head pressure needs to be removed and replaced with an inert gas used to carry the vaporized precursor during processing. Conventionally, the head pressure has been reduced by discharging gas from the known source vessel through the same outlet port through which the vaporized precursor material escapes during processing of the substrate through the same outlet port. However, the filter adjacent to the outlet port can often be clogged by precursor particles accompanying the gas during the initial "buff" process or discharge. Although some of the precursor particles are stopped by the outlet filter, some particles may bypass the filter, or the particles trapped in the filter may be removed into the tubing leading to the reaction chamber. Such errant precursor particles may cause heterogeneous deposition in the reaction chamber or clogging of the gas line between the source vessel and the reaction chamber. Wandering particles cause particle entrainment on the semiconductor substrate to be processed, reducing the number of devices, chips or circuits the substrate can manufacture. According to the buff port 424 and corresponding buff valve 428 of the present invention, a buff gas line 432 in which gas and particles exiting the buff port 424 are directly connected to the exhaust line 466 (FIG. 25). The head pressure may be reduced during the "buff" process, which is first filtered by the buff filter 430 before it is switched over, thereby causing the reaction chamber 162 to not interfere with the processing in the reaction chamber 162. To bypass.

도 11c 에 도시된 바와 같이, 여과 장치 (434) 는 뚜껑 (406) 의 바닥 표면 (414) 에 작동식으로 연결된다. 도 18 및 이하에서 더욱 자세히 설명하는 바와 같이, 여과 장치 (434) 는 뚜껑 (406) 을 통하여 소스 용기 (400) 내로 도입되는 캐리어 가스, 및 버프 포트 (424) 및 출구 포트 (422) 를 통하여 소스 용기 (400) 를 빠져나오는 가스를 여과하도록 구성된다. 도시된 실시형태에 있어서, 여과 장치 (434) 는 입구 포트 (420), 출구 포트 (422) 및 버프 포트 (424) 에 인접한 뚜껑 (406) 의 하측에 부착된다. 여과 장치 (434) 는 뚜껑 (406) 에 직접 부착되어, 뚜껑 (406) 으로부터 충분한 양의 열전달을 가능하게 하여, 각 여과 장치 (434) 내에 전구체 재료가 응축되는 것을 방지한다. 로우 프로파일 (low-profile) 여과 장치는 필터 팩 매체 (filer pack media) (도 17) 에 걸쳐 양호한 열적 균일성을 제공하기 때문에, 각 여과 장치 (434) 는 로우 프로파일을 갖는 것이 바람직하다.As shown in FIG. 11C, the filtration device 434 is operatively connected to the bottom surface 414 of the lid 406. As described in greater detail in FIG. 18 and below, the filtration device 434 includes a carrier gas introduced into the source vessel 400 through the lid 406, and a source through the buff port 424 and the outlet port 422. And to filter the gas exiting the vessel 400. In the illustrated embodiment, the filtration device 434 is attached to the underside of the lid 406 adjacent the inlet port 420, the outlet port 422, and the buff port 424. The filtration device 434 is attached directly to the lid 406 to enable a sufficient amount of heat transfer from the lid 406 to prevent condensation of the precursor material within each filtration device 434. Since the low-profile filtration device provides good thermal uniformity across the filter pack media (FIG. 17), each filtration device 434 preferably has a low profile.

베이스 (402) 의 실시형태가 도 11e 내지 도 11g 에 도시되어 있다. 베이스 (402) 는 보디 (436), 및 보디 (436) 에 일체로 연결되어 연장되는 플랜지 (438) 를 포함한다. 일 실시형태에 있어서, 보디 (436) 및 플랜지 (438) 는 단일 피스의 재료로부터 형성된다. 위에서 설명한 바와 같이, 보디 (436) 내에는 그루브 (410) 가 형성되며, 그루브 (410) 는 시일 (404) 을 수용하도록 구성된다. 플랜지 (438) 는 보디 (436) 의 상부 부분으로부터 반경방향 외측으로 연장되도록 구성된다. 베이스 (402) 는 상부의 접촉 표면 (440), 바닥 표면 (442), 측면 (444), 및 오목 영역 (408) 을 형성하는 내면 (446) 에 의해 규정된다. 접촉 표면 (440) 은 베이스 (402) 의 전체 상부 표면을 형성하는 실질적으로 평면인 표면이다. 접촉 표면 (440) 은 뚜껑 (406) 의 하부 표면 (414) 과 직접 접촉하도록 구성된다.An embodiment of the base 402 is shown in FIGS. 11E-11G. The base 402 includes a body 436 and a flange 438 extending integrally connected to the body 436. In one embodiment, the body 436 and the flange 438 are formed from a single piece of material. As described above, a groove 410 is formed in the body 436, and the groove 410 is configured to receive the seal 404. The flange 438 is configured to extend radially outward from the upper portion of the body 436. The base 402 is defined by the upper contact surface 440, the bottom surface 442, the side surface 444, and the inner surface 446 forming the recessed area 408. Contact surface 440 is a substantially planar surface that forms the entire top surface of base 402. The contact surface 440 is configured to be in direct contact with the lower surface 414 of the lid 406.

일 실시형태에 있어서, 베이스 (402) 는 도 11d 내지 도 11g 에 도시된 바와 같이, 고체 재료 또는 금속이며, 베이스 (402) 내에 오목 영역 (408) 이 기계가공 또는 제거된다. 다른 실시형태에서, 베이스 (402) 는 주조 또는 단조 공정 동안 베이스 (402) 내에 오목 영역 (408) 이 형성되는 단일 주조로서 형성된다. 오목 영역 (408) 은 그 내부에 고체 또는 액체 전구체를 수용하도록 형성된다. 도 11d 내지 도 11i 에 도시된 실시형태에 있어서, 오목 영역 (408) 은 베이스 (402) 의 접촉 표면 (440) 으로부터 기다란 굴곡진 경로로서 형성된다. 내면 (446) 은 접촉 표면 (440) 으로부터 보디 (436) 의 두께 내로 연장된다. 보디 (436) 내에 형성된 오목 영역 (408) 의 깊이는 변할 수 있다. 가스가 오목 영역 (408) 내에 배치된 전구체 재료와 체류하는 시간을 증가시키기 위해, 오목 영역 (408) 이 입구 포트 (420) 와 출구 포트 (422) 사이에 연장된 유동 경로를 제공하도록, 오목 영역 (408) 의 형상, 깊이 및 폭이 변할 수 있음을 당업자는 이해하여야 한다.In one embodiment, the base 402 is a solid material or metal, as shown in FIGS. 11D-11G, in which the recessed areas 408 are machined or removed. In another embodiment, the base 402 is formed as a single casting in which recessed areas 408 are formed in the base 402 during the casting or forging process. Concave region 408 is formed to receive a solid or liquid precursor therein. In the embodiment shown in FIGS. 11D-11I, the concave region 408 is formed as an elongated curved path from the contact surface 440 of the base 402. The inner surface 446 extends from the contact surface 440 into the thickness of the body 436. The depth of the concave region 408 formed in the body 436 can vary. In order to increase the time for the gas to stay with the precursor material disposed in the concave region 408, the concave region 408 provides an extended flow path between the inlet port 420 and the outlet port 422. Those skilled in the art should understand that the shape, depth, and width of 408 may vary.

도 11e 내지 도 11g 에 도시된 바와 같이, 일 실시형태에 있어서, 오목 영역 (408) 은 입구 오목 패드 (448), 출구 오목 패드 (450), 버프 오목 패드 (452) 및 상기 오목 패드 (448, 450, 452) 와 유체적으로 연결되는 채널 (454) 을 포함한다. 오목 패드 (448, 450, 452) 는 일반적으로 베이스 (402) 의 접촉 표면 (440) 으로부터 하방으로 연장되는 삼각형 형상의 오목한 영역이다. 오목 패드 (448, 450, 452) 의 형상은, 각 여과 장치 (434) 의 일부가 대응 오목 패드 (448, 450, 452) 내에 수용되도록, 뚜껑 (406) 의 하부 표면 (414) 으로부터 연장하는 대응 여과 장치 (434) 의 부분과 실질적으로 동일한 형상 및 크기이다. 오목 패드 (448, 450, 452) 는 접촉 표면 (440) 으로부터 미리 정해진 깊이만큼 하방으로 연장된다. 일 실시형태에 있어서, 모든 오목 패드 (448, 450, 452) 의 깊이는 동일하다. 다른 실시형태에 있어서, 오목 패드 (448, 450, 452) 중 적어도 하나의 깊이는 나머지 오목 패드의 깊이와 다르다. 베이스 (402) 가 전구체로 충전될 때, 각 오목 패드 (448, 450, 452) 내의 체적은 전구체로 충전되지 않는다. 캐리어 가스가 뚜껑 (406) 의 입구 포트 (420) 에 인접한 여과 장치 (434) 를 통해 베이스 (402) 내로 도입될 때, 캐리어 가스는 오목 영역 (408) 의 나머지를 이동하기 전에 입구 오목 패드 (448) 와 접촉하고 오목 패드 내에 분포된다. 오목 패드 (448, 450, 452) 중 어느 것 내부에도 전구체가 위치하지 않는 것이 바람직하므로, 입구 오목 패드 (448) 내로의 캐리어 가스의 도입은 캐리어 가스가 전구체와 직접 접촉하여 전구체를 교반시키거나 전구체 입자가 캐리어 가스와 서로 혼합되는 것을 방지한다. 오목 영역 (408) 의 오목 패드 (448, 450, 452) 각각은 보디 (436) 내에 형성된 패널 (454) 에 의해 유체적으로 연결되어 있다.As shown in FIGS. 11E-11G, in one embodiment, the recessed regions 408 include an inlet recessed pad 448, an outlet recessed pad 450, a buff recessed pad 452 and the recessed pad 448. And a channel 454 in fluid connection with the 450, 452. Concave pads 448, 450, 452 are generally triangular shaped concave regions extending downward from the contact surface 440 of the base 402. The shape of the concave pads 448, 450, 452 is corresponding to extending from the lower surface 414 of the lid 406 such that a portion of each filtration device 434 is received within the corresponding concave pads 448, 450, 452. Substantially the same shape and size as the portion of the filtration device 434. Concave pads 448, 450, 452 extend downward from contact surface 440 by a predetermined depth. In one embodiment, the depths of all the concave pads 448, 450, 452 are the same. In other embodiments, the depth of at least one of the recessed pads 448, 450, 452 is different from the depth of the remaining recessed pads. When the base 402 is filled with the precursor, the volume within each concave pad 448, 450, 452 is not filled with the precursor. When the carrier gas is introduced into the base 402 through the filtration device 434 adjacent the inlet port 420 of the lid 406, the carrier gas enters the inlet concave pad 448 before moving the rest of the concave region 408. ) Is distributed in the concave pad. Since the precursor is preferably not located inside any of the concave pads 448, 450, 452, introduction of the carrier gas into the inlet concave pad 448 causes the carrier gas to be in direct contact with the precursor to agitate the precursor or Prevents particles from mixing with the carrier gas. Each of the concave pads 448, 450, 452 of the concave region 408 is fluidly connected by a panel 454 formed in the body 436.

도 11f 내지 도 11g 에 도시된 바와 같이, 오목 영역 (408) 의 채널 (454) 은 접촉 표면 (440) 으로부터 연장되고, 채널 (454) 은 연속적인 경로이며, 이를 따라 가스가 입구 오목 패드 (448) 와 출구 오목 패드 (450) 사이를 이동할 수 있다. 다른 실시형태에서, 오목 영역 (408) 은 오목 패드를 포함하지 않아서, 채널 (454) 이 입구 포트 (420) 에 인접한 여과 장치 (434) 와 출구 및 버프 포트 (422, 424) 에 인접한 여과 장치 (434) 사이의 전체 거리만큼 연장된다. 채널 (454) 은 그 채널 (454) 이 오목 패드 (448, 450, 452) 의 깊이보다 더 큰 깊이를 갖도록 보디 (436) 안으로 형성된다. 일 실시형태에서, 채널 (454) 의 깊이는 입구 오목 패드 (448) 와 출구 오목 패드 (450) 사이의 채널 (454) 의 전체 길이를 따라 일정하다. 다른 실시형태에서, 채널 (454) 의 깊이는 입구 오목 패드 (448) 와 출구 오목 패드 (450) 사이의 채널 (454) 의 길이를 따라 변한다.As shown in FIGS. 11F-11G, the channel 454 of the concave region 408 extends from the contact surface 440, and the channel 454 is a continuous path, so that gas can enter the inlet concave pad 448. ) And the exit concave pad 450 can be moved. In another embodiment, the concave region 408 does not include concave pads such that the channel 454 is adjacent to the inlet port 420 and the filtration device adjacent to the outlet and buff ports 422, 424. 434) extends the entire distance therebetween. Channel 454 is formed into body 436 such that channel 454 has a depth greater than the depth of concave pads 448, 450, 452. In one embodiment, the depth of the channel 454 is constant along the entire length of the channel 454 between the inlet concave pad 448 and the outlet concave pad 450. In another embodiment, the depth of the channel 454 varies along the length of the channel 454 between the inlet recessed pad 448 and the outlet recessed pad 450.

소스 용기 (400) 가 액체 또는 고체 전구체 재료로 채워질 때 (도시되지 않음), 상기 전구체 재료는 보디 (436) 에 형성된 오목 영역 (408) 의 채널 (454) 내에만 배치되는 것이 바람직하다. 전구체 재료가 오목 패드 (448, 450, 452) 내에 배치되는 것을 방지하기 위해, 상기 채널 (454) 은 오목 패드 (448, 450, 452) 의 저면 아래인 깊이까지 채워져야 한다. 또한, 전구체 재료 입자가 채널 (454) 내에 남겨지도록 출구 오목 패드 (450) 의 저면은 전구체 재료의 상부 표면 위에 위치된다.When the source vessel 400 is filled with a liquid or solid precursor material (not shown), the precursor material is preferably disposed only in the channel 454 of the recessed region 408 formed in the body 436. To prevent the precursor material from being disposed in the recessed pads 448, 450, 452, the channel 454 must be filled to a depth below the bottom of the recessed pads 448, 450, 452. Also, the bottom of the outlet concave pad 450 is located above the top surface of the precursor material so that precursor material particles remain in the channel 454.

도 11e 에 보여지는 베이스 (402) 의 실시형태에서, 채널 (454) 은 입구 오목 패드 (448) 와 출구 오목 패드 (450) 사이에서 연장되고, 사형 형상을 갖는다. 채널 (454) 은 캐리어 가스가 이동할 수 있는, 입구 및 출구 포트 (420, 422) 사이의 굴곡진 경로를 형성한다. 다시 말해, 입구 및 출구 오목 패드 (448, 450) 사이의 채널 (454) 은 입구 및 출구 포트 (420, 422) 사이에서 비선형이다. 도 11e 내지 도 11g 에 도시된 실시형태에서, 채널 (454) 은 다수의 선형 부분 (456) 을 포함한다. 또한, 적어도 2개의 인접한 선형 부분 (456) 은 서로에 대해 실질적으로 평행하다. 상기 채널 (454) 은 폭을 갖는다. 일 실시형태에서, 채널 (454) 은 그 전체 길이를 따라 일정한 폭을 갖는다. 다른 실시형태에서, 채널 (454) 의 폭은 그 길이를 따라 변한다. 채널 (454) 의 사형 형상은 소스 용기 (400) 안으로 도입된 캐리어 가스가 오목 영역 (408) 내에 배치된 전구체 재료와 접촉되는 시간과 거리의 양을 최대화한다.ㄴIn the embodiment of the base 402 shown in FIG. 11E, the channel 454 extends between the inlet concave pad 448 and the outlet concave pad 450 and has a tetragonal shape. Channel 454 forms a curved path between inlet and outlet ports 420 and 422 through which carrier gas can travel. In other words, the channel 454 between the inlet and outlet concave pads 448 and 450 is non-linear between the inlet and outlet ports 420 and 422. In the embodiment shown in FIGS. 11E-11G, the channel 454 includes a plurality of linear portions 456. In addition, at least two adjacent linear portions 456 are substantially parallel to each other. The channel 454 has a width. In one embodiment, the channel 454 has a constant width along its entire length. In another embodiment, the width of the channel 454 varies along its length. The serpentine shape of the channel 454 maximizes the amount of time and distance that the carrier gas introduced into the source vessel 400 contacts the precursor material disposed within the recessed region 408.

소스 용기 (400) 의 베이스 (402) 의 다른 실시형태에서, 도 11h 에 도시된 바와 같이, 채널 (454) 은 입구 오목 패드 (448) 와 출구 오목 패드 (450) 사이에서 연장되며 유체 소통한다. 채널 (454) 은 다수의 호형 부분 (458) 을 포함한다. 일 실시형태에서, 채널 (454) 은 서로에 대해 실질적으로 동심인 적어도 2개의 호형 부분 (458) 을 포함한다. 다른 실시형태에서, 채널 (454) 은 다수의 호형 부분 (458) 을 갖지만, 선형 부분 (456) 을 갖지 않는다. 베이스 (402) 의 다른 실시형태 (도시되지 않음) 에서, 채널 (454) 은 입구 오목 패드 (448) 와 출구 오목 패드 (450) 사이 또는 입구 및 출구 포트 (420, 422) 사이에서 연장되는 완전히 무작위의 굴곡진 경로이다. In another embodiment of the base 402 of the source vessel 400, as shown in FIG. 11H, the channel 454 extends and is in fluid communication between the inlet recess pad 448 and the outlet recess pad 450. Channel 454 includes a plurality of arc portions 458. In one embodiment, the channel 454 includes at least two arc shaped portions 458 that are substantially concentric with each other. In another embodiment, the channel 454 has a plurality of arc portions 458, but no linear portion 456. In another embodiment (not shown) of the base 402, the channel 454 is completely random extending between the inlet recessed pad 448 and the outlet recessed pad 450 or between the inlet and outlet ports 420, 422. Winding path.

도 11h 는 베이스 (402) 내에 배치된 가열 조립체 (460) 를 더 포함하는 베이스 (402) 의 일 실시형태를 도시하고 있다. 일 실시형태에서, 가열 조립체 (460) 는 측면 및 저면 (444, 442) 과 내면 (446) 사이의 베이스 (402) 의 벽에 통합되어 있다. 가열 조립체 (460) 는 베이스 내부에 배치된 전구체 재료 (464) 를 증발시키도록 베이스 (402) 에 직접적인 열을 제공하도록 구성되어 있다. 일 실시형태에서, 가열 조립체 (460) 는 베이스 내에 일체로 형성된 와이어 히터, 또는 내부에 통합되면서 베이스 (402) 에 직접적인 열을 제공하기에 충분한 다른 어떤 형태의 가열 기구일 수 있다. 다른 실시형태에서, 가열 조립체 (460) 는 베이스 (402) 내에 탑재된 저항 요소일 수도 있다. 또 다른 실시형태에서, 가열 조립체 (460) 는 베이스 (402) 내에 매립된 박막 포일 가열 요소일 수 있다. 가열 조립체 (460) 는 베이스 (402) 의 보디 (436) 를 직접 가열하여 전구체 재료 (464) 를 증발시키기에 충분한 열을 제공하는 임의의 가열 수단을 포함할 수 있다는 것을 당업자는 이해하여야 한다.FIG. 11H illustrates one embodiment of a base 402 that further includes a heating assembly 460 disposed within the base 402. In one embodiment, the heating assembly 460 is integrated into the wall of the base 402 between the side and bottom surfaces 444, 442 and the inner surface 446. Heating assembly 460 is configured to provide heat directly to base 402 to evaporate precursor material 464 disposed within the base. In one embodiment, the heating assembly 460 may be a wire heater integrally formed within the base, or any other form of heating mechanism sufficient to provide heat directly to the base 402 while being integrated therein. In another embodiment, the heating assembly 460 may be a resistive element mounted within the base 402. In yet another embodiment, the heating assembly 460 may be a thin film foil heating element embedded in the base 402. It should be understood by those skilled in the art that the heating assembly 460 can include any heating means that directly heats the body 436 of the base 402 to provide sufficient heat to evaporate the precursor material 464.

소스 용기 (400) 의 베이스 (402) 의 다른 실시형태에서, 도 11j 에 도시된 바와 같이, 오목 영역 (408) 은 전구체 재료를 수용하기 위해 베이스 (402) 내에 일반적으로 중공의 용적을 제공하도록 베이스 (402) 안으로 형성된다. 도 11j 에 도시된 실시형태가 앞선 실시형태와 유사한 채널 또는 굴곡진 경로를 포함하지 않음에도 불구하고, 오목 영역 (408) 은 입구 및 출구 포트 (420, 422) 사이에서 베이스 (402) 내에 연장된 비선형 통로를 제공한다. In another embodiment of the base 402 of the source vessel 400, as shown in FIG. 11J, the recessed region 408 provides a generally hollow volume within the base 402 to receive the precursor material. 402 is formed. Although the embodiment shown in FIG. 11J does not include a channel or curved path similar to the previous embodiment, the concave region 408 extends within the base 402 between the inlet and outlet ports 420, 422. Provide a nonlinear passage.

소스 용기 (400) 가 조립될 때, 뚜껑 (406) 은 뚜껑과 베이스 사이에 배치된 시일 (404) 로 베이스 (402) 에 제거가능하게 부착된다. 뚜껑 (406) 이 베이스 (402) 에 부착될 때, 베이스 (402) 내의 오목 영역 (408) 을 형성하는 내면 (446) 과 뚜껑 (406) 의 하부 표면 (414) 사이에 내부 용적 (468) 이 규정된다. 도 11b 에 보여지듯이, 뚜껑 (406) 은 전체 두께 (T1) 를 통하여 형성된 복수의 구멍 (462) 을 포함한다. 뚜껑 (406) 을 통하여 형성된 구멍 (462) 은 뚜껑 (406) 의 외부 가장자리에 인접하게 위치된다. 도 11d 에 보여지듯이, 베이스 (402) 는 또한 플랜지 (438) 의 전체 두께를 통하여 형성된 복수의 구멍 (462) 을 포함한다. 뚜껑 (406) 에 부착된 각각의 여과 장치 (434) 가 베이스 (402) 의 대응하는 오목 패드 (448, 450, 452) 내에 수용되도록, 뚜껑 (406) 은 베이스 (402) 와 정렬되어 있다. 시일 (404) 은 베이스 (402) 에 형성된 그루브 (410) 내에 배치된다. 뚜껑 (406) 과 베이스 (402) 가 정렬될 때, 뚜껑 (406) 에 형성된 구멍 (462) 은 마찬가지로 베이스 (402) 에 형성된 구멍 (462) 과 정렬된다. 뚜껑 (406) 이 베이스 (402) 에 제거가능하게 밀봉되도록, 연결 부재 (도시되지 않음) 가 베이스 (402) 및 뚜껑 (406) 의 대응되는 구멍 (462) 의 각 쌍을 통하여 삽입된다. 스크류, 볼트 또는 클램프를 포함하여 (이들로 국한되지 않음), 베이스 (402) 에 뚜껑 (406) 을 제거가능하게 부착하는데 임의의 유형의 연결 부재가 사용될 수 있다는 것을 당업자는 이해하여야 한다. 완전히 조립되면, 뚜껑 (406) 의 하부 표면 (414) 은 베이스 (402) 의 접촉 표면 (440) 과 인접하여 접촉된다. 뚜껑 (406) 과 베이스 (402) 의 접촉 표면 (440) 사이의 접촉은 뚜껑 (406) 과, 오목 영역 (408) 에 바로 인접한 보디 (436) 의 부분 사이의 직접 열 전달을 제공하여, 베이스 (402) 를 통해 내부 용적 (468) 내에 배치된 전구체 재료로 열을 전달하도록 한다. 뚜껑 (406) 의 하부 표면 (414) 과 베이스 (402) 의 접촉 표면 (440) 은 모두 실질적으로 편평하여, 이들 표면 (414, 440) 이 서로 접촉할 때, 뚜껑 (406) 과 베이스 (402) 사이의 인접 관계가, 캐리어 가스와 증발된 전구체 재료가 뚜껑 (406) 과 베이스 (402) 사이를 통과함으로써 채널 (454) 의 부분을 우회하지 않도록 채널 (454) 의 인접 부분들 사이의 시일을 제공한다 (도 11e 및 도 11i) 는 점을 당업자는 이해하여야 한다.When the source container 400 is assembled, the lid 406 is removably attached to the base 402 with a seal 404 disposed between the lid and the base. When the lid 406 is attached to the base 402, an internal volume 468 is formed between the inner surface 446, which forms the concave region 408 in the base 402, and the lower surface 414 of the lid 406. It is prescribed. As shown in FIG. 11B, the lid 406 includes a plurality of holes 462 formed through the entire thickness T 1 . A hole 462 formed through the lid 406 is located adjacent to the outer edge of the lid 406. As shown in FIG. 11D, the base 402 also includes a plurality of holes 462 formed through the entire thickness of the flange 438. The lid 406 is aligned with the base 402 such that each filtration device 434 attached to the lid 406 is received in the corresponding concave pads 448, 450, 452 of the base 402. Seal 404 is disposed within groove 410 formed in base 402. When the lid 406 and the base 402 are aligned, the hole 462 formed in the lid 406 is likewise aligned with the hole 462 formed in the base 402. A connecting member (not shown) is inserted through each pair of base 402 and corresponding holes 462 of the lid 406 so that the lid 406 is removably sealed to the base 402. Those skilled in the art should understand that any type of connecting member may be used to removably attach the lid 406 to the base 402, including but not limited to screws, bolts or clamps. When fully assembled, the bottom surface 414 of the lid 406 is in contact with the contact surface 440 of the base 402. Contact between the lid 406 and the contact surface 440 of the base 402 provides direct heat transfer between the lid 406 and a portion of the body 436 immediately adjacent the recessed area 408, thereby providing a base ( Heat is transferred through 402 to the precursor material disposed in the interior volume 468. The lower surface 414 of the lid 406 and the contact surface 440 of the base 402 are both substantially flat so that when these surfaces 414, 440 contact each other, the lid 406 and the base 402 Adjacent relationship between provides a seal between adjacent portions of channel 454 such that carrier gas and evaporated precursor material do not bypass portions of channel 454 by passing between lid 406 and base 402. It should be understood by those skilled in the art that FIG. 11E and FIG. 11I are to be understood.

반응 챔버 (162) 에서 반도체 기판을 처리하는 작업에 있어서 (도 25), 캐리어 가스는 뚜껑 (406) 내의 입구 포트 (420) 를 통하여 소스 용기 (400) 안으로 도입된다. 전구체 재료 (464) 는 소스 용기 (400) 내에 배치되며, 소스 용기 (400) 는 가열되고, 이에 의해 전구체 재료를 증발시킨다. 그리고 나서, 캐리어 가스는 입구 포트 (420) 에 인접하여 위치된 여과 장치 (434) 를 통과되고 나서, 오목 영역 (408) 과 뚜껑 (406) 의 하부 표면 (414) 를 형성하는 내면 (446) 에 의해 규정된 베이스 (402) 의 내부 용적 (468) 안으로 들어간다. 내부 용적 (468) 으로 들어갈 때, 캐리어 가스는 입구 오목 패드 (448) 로 들어가고 나서 채널 (454) 을 통해 분산된다. 캐리어 가스가 내부 용적 (468) 을 통해 이동할 때, 캐리어 가스는 증발된 전구체 재료 (464) 와 혼합되어 (도 11h), 증발된 전구체 재료로 포화된 가스 혼합물을 형성한다. 캐리어 가스가 내부 용적 (468) 내에 남겨지는 체류 시간이 길수록, 캐리어 가스는 증발된 전구체 재료로 더욱 포화되게 된다. 증발된 전구체 재료에 의한 캐리어 가스의 포화 레벨에 제한이 존재하며, 입구 및 출구 포트 (420, 422) 사이의 내부 용적 (468) 내의 통로의 길이가 캐리어 가스의 포화량을 최대화하도록 최적화된다는 것을 당업자는 이해하여야 한다. 이러한 가스 혼합물은 결국 뚜껑 (406) 에 작동식으로 연결되고 출구 포트 (422) 에 인접하여 위치된 여과 장치 (434) 를 통과함으로써 내부 용적 (468) 을 빠져나간다. 여과 장치 (434) 를 통과한 후, 가스 혼합물은 출구 포트 (422) 를 통해 소스 용기 (400) 를 빠져나가며, 반응 챔버 (162) 와 유체 소통하는 출구 가스 라인 (470) (도 25) 으로 배출된다.In the operation of processing the semiconductor substrate in the reaction chamber 162 (FIG. 25), carrier gas is introduced into the source vessel 400 through an inlet port 420 in the lid 406. Precursor material 464 is disposed in source vessel 400, which is heated and thereby vaporizes the precursor material. The carrier gas then passes through a filtration device 434 located adjacent to the inlet port 420 and then to the inner surface 446 that forms the recessed area 408 and the lower surface 414 of the lid 406. It enters into the interior volume 468 of the base 402 defined by it. When entering the interior volume 468, the carrier gas enters the inlet concave pad 448 and is then dispersed through the channel 454. As the carrier gas moves through the interior volume 468, the carrier gas is mixed with the evaporated precursor material 464 (FIG. 11H) to form a gas mixture saturated with the evaporated precursor material. The longer the residence time the carrier gas remains in the interior volume 468, the more saturated the carrier gas is with the evaporated precursor material. There is a restriction on the level of saturation of the carrier gas by the evaporated precursor material, and the length of the passage in the inner volume 468 between the inlet and outlet ports 420 and 422 is optimized to maximize the amount of saturation of the carrier gas. Should be understood. This gas mixture eventually exits the interior volume 468 by passing through a filtration device 434 operatively connected to the lid 406 and located adjacent to the outlet port 422. After passing through filtration device 434, the gas mixture exits source vessel 400 through outlet port 422 and exits to outlet gas line 470 (FIG. 25) in fluid communication with reaction chamber 162. do.

버프 공정에서, 소스 용기 (400) 의 초기 충전 또는 재충전 후에 추가되는 헤드 압력을 내부에 형성하는, 소스 용기 (400) 의 내부 체적 (468) 의 가스(들)가 제거된다. 버프 공정에서, 도 25 의 개략도에 도시된 바와 같이, 버프 밸브 (428) 가 개방되어, 소스 용기 (400) 내의 가스가 버프 포트 (424) 를 통하여 내부 체적 (468) 을 빠져나간다. 헤드 압력 가스는 버프 포트 (424) 에 인접한 뚜껑 (406) 에 작동식으로 연결된 버프 필터 (430) 를 통과한다. 헤드 압력 가스는, 버프 필터 (430) 를 통과한 후에, 버프 포트 (424) 를 통하여 소스 용기 (400) 를 빠져나가고, 반응 챔버 (162) 를 우회하고 또한 반응 챔버 (162) 로부터의 배출물이 유동하는 배기 라인 (466) 에 유체적으로 그리고 작동식으로 연결되는 버퍼 가스 라인 (432) 안으로 가게 된다. 일단 초기 헤드 압력을 형성한 가스가 소스 용기 (400) 내의 압력을 동일하게 하도록 소스 용기 (400) 를 빠져나가면, 입구 포트 (420) 에 인접하게 위치된 뚜껑 (406) 에 부착되는 여과 장치 (434) 를 통하여 캐리어 가스가 공급되고, 그리고 나서 이 캐리어 가스는 베이스 (402) 의 내부 체적 (468) 안으로 가게 되어, 캐리어 가스로 오목 영역 (408) 을 소정의 작동 압력까지 충전된다.In the buffing process, the gas (s) of the internal volume 468 of the source vessel 400 are removed, which creates an internal head pressure that is added after the initial filling or refilling of the source vessel 400. In the buff process, as shown in the schematic diagram of FIG. 25, the buff valve 428 is opened such that gas in the source vessel 400 exits the interior volume 468 through the buff port 424. The head pressure gas passes through a buff filter 430 operatively connected to a lid 406 adjacent to the buff port 424. After passing through the buff filter 430, the head pressure gas exits the source vessel 400 through the buff port 424, bypasses the reaction chamber 162, and the discharge from the reaction chamber 162 flows. And into a buffer gas line 432 that is fluidly and operatively connected to the exhaust line 466. Once the gas that has formed the initial head pressure exits the source vessel 400 to equalize the pressure in the source vessel 400, a filtration device 434 attached to the lid 406 positioned adjacent the inlet port 420. Carrier gas is then supplied into the interior volume 468 of the base 402 to fill the recessed area 408 with the carrier gas to a predetermined operating pressure.

도 12 ~ 도 16 에 도시된 다른 대안적인 실시형태에 있어서, 사형 인서트 (112) 는 사형 가스 유동 통로를 총체적으로 한정하는 다수의 적층 트레이를 포함한다. 예컨대, 도 12 에서는, 용기 보디 (104) (도 7 ~ 도 10) 에 제거가능하게 삽입되도록 형성되고 또한 용기 (100) 의 굴곡진 경로의 적어도 일부를 포함하는 나선형 가스 유동 경로를 총체적으로 한정하는 다수의 적층 트레이 (230, 240) 를 도시한다. 도 12 ~ 도 16 에서, 트레이 (230, 240) 의 높이는 설명을 용이하게 하도록 크게 확장되었다. 상기 트레이는 수직방향으로 더 얇게 형성될 수 있어서, 용기 (100) 는 그 전체 높이보다 상당히 더 큰 직경을 가짐을 이해해야 한다.In another alternative embodiment shown in FIGS. 12-16, the sand insert 112 includes a plurality of stacking trays that collectively define the sand gas flow passage. For example, in FIG. 12, which collectively defines a helical gas flow path that is configured to be removably inserted into the container body 104 (FIGS. 7-10) and that includes at least a portion of the curved path of the container 100. A number of stacked trays 230, 240 are shown. 12-16, the heights of the trays 230, 240 have been greatly expanded to facilitate the description. It should be understood that the tray can be formed thinner in the vertical direction, such that the container 100 has a diameter that is considerably larger than its overall height.

도시된 실시형태에 있어서, 4 개의 트레이, 즉 3 개의 상부 트레이 (230) 및 하나의 하부 트레이 (240) 가 적층된다. 트레이의 개수는 승화율, 캐리어 유동 등의 파라미터에 기초하여 변할 수 있다.In the illustrated embodiment, four trays, that is, three upper trays 230 and one lower tray 240 are stacked. The number of trays may vary based on parameters such as sublimation rate, carrier flow, and the like.

도 13 및 도 14 를 참조하면, 상부 트레이 (230) 각각은, 관류하는 가스 유동을 방지하고 또한 트레이 (230) 의 전체 높이에 걸쳐 연장하는 고형물 분리기 (231) 와, 관류하는 가스 유동을 허용하는 부분 분리기 (232) 를 포함한다. 바람직하게는, 부분 분리기는 관류하는 자유 가스 유동을 허용하면서 대형 전구체 입자를 유지하도록 형성된 스크린 (233) 을 포함한다. 도시된 실시형태에 있어서, 스크린 (233) 은 부분 분리기 (232) 의 상부를 가로질러 연장되는 반면, 고형물 패널은 부분 분리기 (232) 의 높이에 걸쳐 완성된다. 상부 트레이 (230) 의 높이에 걸쳐 환형 림 (234) 이 또한 연장된다. 고형물 분리기 (231) 및 부분 분리기 (232) 는, 고형물 소스 재료 (비도시) 를 유지하는 메인 격실 (235) 및 트레이 (230) 의 하부 표면에서 개방되는 외부 채널 격실 (236) 을 함께 한정한다. 도시된 상부 트레이 (230) 는, 캐리어 가스를 바닥 트레이 (240) 에 전달하는 가스 유입 파이프를 수용하도록 중심 채널 (238) 을 포함하는 중심 코어 (237) 를 구비한다. 도시된 상부 트레이 (230) 는 상부 표면상의 다수의 페그 (239) 및 아래에 있는 다른 트레이의 페그를 수용하기 위한 하부 표면상의 대응하는 다수의 구멍 (비도시) 을 또한 구비한다. 후술하는 작동 관점에서 보다 잘 이해되는 바와 같이, 중심 코어 (237) 의 하부 표면상의 구멍은 상부 표면상의 페그 (239) 에 대하여 바람직하게는 회전방향으로 오프셋되어, 통풍 유동 통로를 한정하도록 다수의 트레이를 상하로 적절히 정렬하는데 기여한다. 특정 바람직한 실시형태에 있어서, 유동이 노출되는 메인 격실의 코너는 뾰족하게 각진 코너로부터 유동 정체를 최소화하도록 둥글게 된다.Referring to FIGS. 13 and 14, each of the upper trays 230 includes a solids separator 231 that prevents flowing gas flow and also extends over the entire height of the tray 230, and permits flowing gas flow. Partial separator 232. Preferably, the partial separator includes a screen 233 formed to retain large precursor particles while allowing free gas flow through. In the illustrated embodiment, the screen 233 extends across the top of the partial separator 232 while the solids panel is completed over the height of the partial separator 232. An annular rim 234 also extends over the height of the top tray 230. The solid separator 231 and the partial separator 232 together define a main compartment 235 holding a solid source material (not shown) and an outer channel compartment 236 that opens at the bottom surface of the tray 230. The top tray 230 shown includes a center core 237 that includes a center channel 238 to receive a gas inlet pipe that delivers carrier gas to the bottom tray 240. The top tray 230 shown also has a plurality of pegs 239 on the top surface and corresponding multiple holes (not shown) on the bottom surface for receiving the pegs of the other tray below. As will be better understood from an operational point of view described below, the holes on the lower surface of the central core 237 are preferably offset in the rotational direction with respect to the peg 239 on the upper surface, thereby defining a plurality of trays to define the ventilation flow passages. Contributes to proper alignment up and down. In certain preferred embodiments, the corners of the main compartment where the flow is exposed are rounded to minimize flow stagnation from sharply angled corners.

도 15 및 도 16 을 참조하면, 최하부 트레이 (240) 는, 가스 유동이 관류하는 것을 방지하고 또한 트레이 (240) 의 전체 높이에 걸쳐 연장하는 고형물 분리기 (241) 와, 관류하는 가스 유동을 허용하는 부분 분리기 (242) 를 포함한다. 바람직하게는, 부분 분리기 (242) 는, 도 12 의 설명에서 보다 잘 이해되는 바와 같이, 겹쳐진 상부 트레이 (230) 의 중간에서 중심 채널 (238) 로의 개구부를 간단히 제공한다. 하부 트레이 (240) 의 높이에 걸쳐 환형 림 (244) 이 또한 연장한다. 림 (244), 고형물 분리기 (241) 및 부분 분리기 (242) 는 고형물 소스 재료 (비도시) 를 유지하는 메인 격실 (245) 및 외부 채널 격실 (246) 을 함께 한정한다. 바람직한 실시형태에 있어서, 고형물 소스 재료는 채널 격실 (246) 까지 메인 격실 (245) 을 충전한다. 대안적인 실시형태에 있어서, 고형물 소스 재료는 메인 격실 높이의 1/3 ~ 2/3 를 충전한다. 도시된 하부 트레이 (240) 는, 또한 채널 격실 (246) 이 돌출하는 중심 코어 (247), 상부 표면상의 다수의 페그 (249), 및 용기 보디 (104) (도 7 ~ 도 10) 의 플로어로부터 상방으로 돌출하는 페그를 수용하기 위한 하부 표면상의 대응하는 다수의 구멍 (비도시) 을 가진다.Referring to FIGS. 15 and 16, the lowermost tray 240 prevents gas flow from flowing through and also allows a solids separator 241 to extend over the entire height of the tray 240 and to allow flowing gas flow therethrough. Partial separator 242. Preferably, the partial separator 242 simply provides an opening to the center channel 238 in the middle of the overlapping top tray 230, as better understood in the description of FIG. 12. An annular rim 244 also extends over the height of the lower tray 240. Rim 244, solids separator 241, and partial separators 242 together define a main compartment 245 and an outer channel compartment 246 that hold a solid source material (not shown). In a preferred embodiment, the solid source material fills the main compartment 245 up to the channel compartment 246. In an alternative embodiment, the solid source material fills 1/3 to 2/3 of the main compartment height. The lower tray 240 shown is also from the center core 247 through which the channel compartment 246 protrudes, a number of pegs 249 on the upper surface, and the floor of the container body 104 (FIGS. 7-10). It has a corresponding number of holes (not shown) on the bottom surface for receiving the upwardly protruding peg.

트레이 (230, 240) 의 적층체는 도 12 의 분해도에 도시된 바와 같이 조립된다. 상부 트레이 (230) 및 하부 트레이 (240) 각각을 위한 메인 격실 (235, 245) 에는, 바람직하게는 분말 형태의 전구체 소스 화학물질이 로딩된다. 하부 트레이 (240) 및 다수의 상부 트레이 (230) 는 상하로 적층되고 또한 외부 용기 보디 (104) 에 로딩된다. 트레이 (230, 240) 는, 가스가 각각의 트레이안으로 유동하도록, 바람직하게는 메인 격실 주위에서 200°~ 355°의 랩 (lap) 을 적어도 유동한 후 겹쳐진 상부 트레이 (230) 의 채널 격실 (236) 안으로 유동하도록, 페그 (239, 249) 및 대응하는 구멍에 의해 정렬된다. 그 후, 용기 뚜껑 (106) (도 7 및 도 8) 은 용기 보디 (104) 위에 폐쇄되고 밀봉되며, 뚜껑으로부터 연장하는 중심 파이프 (215) 는 상부 트레이 (230) 의 중심 채널 (238) 을 통하여 아래로 연장하여 하부 트레이 (240) 의 채널 격실 (246) 안으로 개방된다. 도 12 에서는 중심 파이프 (215) 를 도시하였지만 뚜껑 (106) 은 도시하지 않았다. 중심 파이프 (215) 는 용기 (100) 의 입구안으로 운반되는 캐리어 가스를 전달하도록 형성된다. 특정 바람직한 실시형태에 있어서, 스프링 또는 다른 치우침 장치 (비도시) 가 모든 트레이를 함께 치우치게 하도록 종종 240 아래에 종종 배치되어, 중심 코어로부터 다른 레벨로의 누출을 방지한다.The stack of trays 230, 240 is assembled as shown in the exploded view of FIG. 12. Main compartments 235 and 245 for each of upper tray 230 and lower tray 240 are loaded with precursor source chemicals, preferably in powder form. The lower tray 240 and the plurality of upper trays 230 are stacked up and down and are also loaded into the outer container body 104. The trays 230, 240 preferably have channel compartments 236 of the overlapping top tray 230 after flowing at least 200 ° to 355 ° of lap around the main compartment so that gas flows into each tray. ) Are aligned by peg 239, 249 and corresponding holes. The vessel lid 106 (FIGS. 7 and 8) is then closed and sealed over the vessel body 104, with the center pipe 215 extending from the lid through the center channel 238 of the top tray 230. It extends downwards and opens into the channel compartment 246 of the lower tray 240. In FIG. 12 the center pipe 215 is shown but the lid 106 is not shown. The center pipe 215 is formed to deliver the carrier gas carried into the inlet of the container 100. In certain preferred embodiments, springs or other biasing devices (not shown) are often placed below 240 so as to bias all trays together, preventing leakage from the central core to other levels.

작동시, 불활성 가스는, 트레이 (230, 240) 의 적층체에 전달되는 것이 바람직하고 또한 기다란 통풍 유동 경로를 따라 수평방향으로, 바람직하게는 그 트레이를 수직방향으로 빠져나가기 전에 각 트레이 (230, 240) 내의 메인 격실의 약 200°~ 350°의 아크 (arc) 를 통과하게 된다. 도시된 실시형태에 있어서, 불활성 캐리어 가스는, 하부 트레이 (240) 의 채널 격실 (246) 안으로 개방하도록, 상부 트레이 (230) 의 정렬된 중심 채널 (238) 을 통하여 하방으로 연장하는 중심 입구 (215) 를 통하여 제공된다. 이러한 불활성 가스는, 겹쳐진 상부 트레이 (230) 의 하부 표면의 개구부와 만날 때까지, 메인 격실 (245) 의 전구체 소스 화학물질을 통하여 분다. 이러한 개구부는 캐리어 가스 및 이를 운반하는 증발된 전구체가 겹쳐진 상부 트레이 (230) 의 채널 격실 (236) 안으로 통과하도록 하여, 이로부터 가스가 스크린 (233) (도 13) 을 통과하여 메인 격실 (235) 안으로 가게 된다. 이 가스는, 메인 격실 (235) 내에서, 고형물 전구체를 통하여, 바람직하게는 겹쳐진 상부 트레이 (230) 등의 하부 표면의 개구부와 만나기 전에 약 200°~ 350°의 아크를 통하여 분다. 최상부 트레이 (230) 에서, 이 가스는, 바람직하게는 용기 뚜껑 (106) 에 있는 표면장착 출구 밸브 (110) (후술됨) 를 통하여 용기 (100) 를 빠져나가게 된다. 물론, 소망한다면 유동 경로가 반대로 될 수 있음을 이해할 것이다. 즉, 불활성 캐리어 가스는 상부 트레이에서 시작하여 트레이의 적층체를 통하여 하방으로 유동할 수 있다.In operation, the inert gas is preferably delivered to the stack of trays 230, 240 and also along each elongated draft flow path in a horizontal direction, preferably prior to exiting the tray in a vertical direction. 240 passes through an arc of about 200 ° to 350 ° of the main compartment. In the illustrated embodiment, the inert carrier gas extends downward through the aligned center channel 238 of the upper tray 230 to open into the channel compartment 246 of the lower tray 240. Is provided through This inert gas blows through the precursor source chemistry of the main compartment 245 until it encounters an opening in the bottom surface of the overlapping top tray 230. This opening allows the carrier gas and the evaporated precursor that carries it to pass into the channel compartment 236 of the top tray 230, from which gas passes through the screen 233 (FIG. 13) to the main compartment 235. You go inside. This gas is blown in the main compartment 235 through a solid precursor, preferably through an arc of about 200 ° to 350 ° before encountering an opening in the lower surface, such as the overlapping top tray 230. In the top tray 230, this gas exits the container 100, preferably via a surface mount outlet valve 110 (described below) in the container lid 106. Of course, it will be appreciated that the flow path can be reversed if desired. That is, the inert carrier gas can flow downward through the stack of trays starting at the top tray.

도 8 ~ 도 10 을 다시 참조하면, 도시된 실시형태에서, 용기 뚜껑 (106) 은 입구 밸브 (108) 및 출구 밸브 (110) 를 포함한다. 입구 밸브 (108) 는 도관 (121) 을 통하여 캐리어 가스를 수용하는 유입 단부를 가진다. 이러한 도관 (121) 은 가스 인터페이스 조립체 (180) (후술됨) 의 가스 라인 (133) 의 부속품 (131) (도 7) 으로의 연결에 적합한 부속품 (122) 을 가진다. 입구 밸브 (108) 는 또한 인서트 (112) 의 사형 경로 (111) 의 제 1 부분 (117) (단부 등) 과 유체 소통하는 것이 바람직한 유출 단부를 가진다. 출구 밸브 (110) 는 사형 경로 (111) 의 제 2 부분 (119) (단부 등) 과 유체 소통하는 것이 바람직한 유입 단부와, 오리피스 (128) 등의 뚜껑 (106) 의 적합한 가스 출구와 유체 소통하는 유출 단부를 가진다. 사용시, 캐리어 가스는 도관 (121) 안으로 유동하고 또한 오리피스 (128) 를 나오기 전에 입구 밸브 (108), 사형 경로 (111), 및 출구 밸브 (110) 를 통하여 유동한다.Referring back to FIGS. 8-10, in the illustrated embodiment, the container lid 106 includes an inlet valve 108 and an outlet valve 110. Inlet valve 108 has an inlet end for receiving carrier gas through conduit 121. This conduit 121 has an accessory 122 suitable for connection of the gas line 133 of the gas interface assembly 180 (described below) to the accessory 131 (FIG. 7). The inlet valve 108 also has an outlet end, which is preferably in fluid communication with the first portion 117 (end, etc.) of the dead path 111 of the insert 112. The outlet valve 110 is in fluid communication with a suitable gas outlet of a lid 106, such as an orifice 128, with an inlet end, which is preferably in fluid communication with a second portion 119 (such as an end) of the dead path 111. Has an outflow end. In use, the carrier gas flows into the conduit 121 and also through the inlet valve 108, the death path 111, and the outlet valve 110 before exiting the orifice 128.

그리하여, 상기 실시형태에 의해 달성될 수 있는 결과로는, 뚜껑 (106) 의 표면에 차단 밸브를 장착하는 것과, 전구체 소스에 노출되면서 굴곡진 또는 사형 경로를 따라 캐리어 가스를 유동시키는 것을 포함한다. 당업자는 상기 용기 (100) 를 다르게 형성할 수 있음을 이해할 것이다.Thus, the results achievable by the above embodiments include mounting a shutoff valve on the surface of the lid 106 and flowing the carrier gas along a curved or sanded path while being exposed to the precursor source. Those skilled in the art will appreciate that the container 100 can be formed differently.

전술한 바와 같이, 종래의 고체 또는 액체 전구체 소스 용기는 용기 보디 또는 뚜껑으로부터 연장되는 불연속 튜브를 포함하고, 밸브가 이러한 튜브와 직렬로 부착되어 있다. 예컨대, 도 2 의 종래의 용기 (31) 는 뚜껑 (35) 으로부터 상방으로 연장되는 불연속 튜브 (43b, 45b) 를 포함하고, 밸브 (37 및 39) 가 이러한 튜브에 부착되어 있다. 용기 (37) 의 밸브 (37 및 39) 는 뚜껑 (35) 에 직접 부착되거나 또는 접촉되지 않는다. 그 결과, 용기 (31) 로부터의 반응물 가스가 출구 튜브 (45b) 로부터 출구 밸브 (39) 내로 유동하고, 이는 정체 또는 사 (dead) 가스 체적을 갖는 유동 경로를 수반할 수 있다. 그리고, 종래의 용기 (31) 의 차단 밸브 (37, 39 및 41) 는 용기 뚜껑 (35) 및 보디 (33) 로부터 상당히 열적으로 차단되어 있다. 튜빙 및 밸브는 사체적 또는 "데드 레그" 의 존재 유무에 상관없이, 3 차원 기하학적 구조로 효과적으로 가열하는 것이 매우 어렵다. 밸브는 뚜껑 (35) 및 보디 (33) 보다 작은 열질량을 가지므로, 빠르게 가열되고 냉각되는 경향이 있다. 왜냐하면, 종래의 시스템에서, 추가적인 히터 (라인 히터, 카트리지 히터, 유도 (directed) 열 램프 등) 가 시스템 냉각 동안에 특히 밸브 및 관련된 튜빙에 열을 제공하는데 사용되어서, 이러한 구성요소가 용기 (31) 보다 빠르게 냉각되는 것 (반응물 증기가 이러한 구성요소 내로 유동하여 증착하는 원치않는 상태를 야기할 수 있음) 을 방지해주기 때문이다. 종래의 밸브 및 튜빙의 다른 문제점은, 밸브 (31) 보다 빠르게 가열할 수 있다는 것이다. 몇몇 전구체에 있어서, 이는, 밸브 및 튜빙이 전구체의 분해 온도보다 따뜻해지는 상태를 만들어서 전구체가 분해되어 증착되도록 할 수 있다.As mentioned above, conventional solid or liquid precursor source vessels include discontinuous tubes extending from the vessel body or lid, and valves are attached in series with these tubes. For example, the conventional container 31 of FIG. 2 includes discontinuous tubes 43b, 45b extending upward from the lid 35, and valves 37 and 39 are attached to these tubes. The valves 37 and 39 of the container 37 are not directly attached or contacted with the lid 35. As a result, the reactant gas from the vessel 31 flows from the outlet tube 45b into the outlet valve 39, which may involve a flow path having a stagnant or dead gas volume. And the shutoff valves 37, 39, and 41 of the conventional container 31 are considerably thermally disconnected from the container lid 35 and the body 33. Tubing and valves, with or without dead bodies or "dead legs", are very difficult to heat effectively with three-dimensional geometry. Since the valve has a smaller thermal mass than the lid 35 and the body 33, it tends to heat up and cool down quickly. Because in conventional systems, additional heaters (line heaters, cartridge heaters, directed heat lamps, etc.) are used to provide heat to the valves and associated tubing, especially during system cooling, such that these components are more suitable than vessels 31. This is because it prevents the rapid cooling (reactant vapor can flow into these components and cause unwanted conditions to deposit). Another problem with conventional valves and tubing is that it can heat up faster than valve 31. For some precursors, this may result in the valve and tubing being warmer than the precursor's decomposition temperature, causing the precursor to degrade and deposit.

대조적으로, 소스 용기 (100) 의 차단 밸브 (108 및 110, 도 7 ~ 도 10) 는 바람직하게는 용기 (100) 의 뚜껑 (106) 의 표면에 직접 장착된다. 이러한 표면 장착 기법은 통합형 가스 시스템으로도 불릴 수 있다. 종래의 전구체 소스용기 (예컨대, 도 2) 에 비해, 표면장착 밸브 (108 및 110) 는 밸브와 용기 (100) 사이의 튜빙을 제거함으로써 가스 전달 시스템에서 데드 레그 (정체 반응물 가스 유동) 의 체적을 감소시킬 수 있고, 이는 반응물 가스의 이동 경로를 간단하게 하고 단축시킨다. 밸브 및 튜빙은 압축형 기하학적 구조 및 온도 구배를 감소시키는 향상된 열 접촉으로 인해서 가열을 훨씬 더 잘 처리할 수 있다. 설명되는 표면장착 밸브 (108 및 110) 는, 각각, 바람직하게는 밸브 시트 및 상기 밸브 시트를 통과하는 가스 유동을 선택적으로 제어하기 위한 조정가능한 유동 제한기 (예컨대, 다이아프램) 를 포함하는 밸브 포팅 블록 (valve porting block) (118 및 120) 을 갖는다. 이러한 밸브 (108 및 110) 는 밸브 시트를 통과하는 모든 가스 유동을 제한함으로써 용기 (100) 를 고립시킨다. 포팅 블록 (118, 120) 은 용기 뚜껑 (106) 과 일체로 형성되거나 또는 용기 뚜껑 (106) 에 별도로 형성되어 장착될 수 있다. 어느 한 경우에, 포팅 블록 (118, 120) 은 바람직하게는 용기 뚜껑 (106) 과 비교적 높은 정도의 열 접촉을 갖는다. 이는 밸브 (108 및 110) 의 온도가 용기 (100) 의 온도 변화 동안에 뚜껑 (106) 및 컨테이너 보디 (104) 의 온도 근처로 유지되도록 한다. 이러한 표면장착 밸브 구조는 증발된 전구체 가스의 응축을 방지하는데 요구되는 히터의 총 개수를 감소시킬 수 있다. 용기 (100) 가 전구체 소스 화학물질의 증발 온도를 초과할 때, 증발된 전구체는 밸브 (108 및 110) 로 자유롭게 유동할 수 있다. 밸브 (108, 110) 가 온도 램핑 (ramping) 동안에 용기 (100) 의 온도를 근사하게 뒤쫓기 때문에, 밸브는 마찬가지로 증발 온도를 초과하게 되어서, 밸브에서 전구체의 응축을 방지하기 위한 추가적인 히터에 대한 요구를 감소시키게 된다. 단축된 가스 유동 경로 역시 제어된 가열에 더 적합하다. 표면장착 밸브 (108 및 110) 는 또한 훨씬 작은 패키징 공간 요건을 갖는다.In contrast, the shutoff valves 108 and 110 (FIGS. 7-10) of the source vessel 100 are preferably mounted directly to the surface of the lid 106 of the vessel 100. This surface mounting technique may also be called an integrated gas system. Compared to conventional precursor source vessels (eg, FIG. 2), surface mount valves 108 and 110 remove the volume of dead legs (react reactant gas flow) in the gas delivery system by removing tubing between valve and vessel 100. Can be reduced, which simplifies and shortens the path of movement of the reactant gas. Valves and tubing can handle heating even better due to the compressible geometry and improved thermal contact which reduces the temperature gradient. Surface-mounted valves 108 and 110 described are valve pottings, which preferably include an adjustable flow restrictor (eg, diaphragm) for selectively controlling the gas flow through the valve seat and the valve seat. Have valve porting blocks 118 and 120. These valves 108 and 110 isolate the vessel 100 by limiting all gas flow through the valve seat. The potting blocks 118, 120 may be integrally formed with the container lid 106 or may be separately formed and mounted to the container lid 106. In either case, the potting blocks 118, 120 preferably have a relatively high degree of thermal contact with the container lid 106. This allows the temperature of the valves 108 and 110 to be maintained near the temperature of the lid 106 and the container body 104 during the temperature change of the container 100. This surface mount valve structure can reduce the total number of heaters required to prevent condensation of the vaporized precursor gas. When the vessel 100 exceeds the evaporation temperature of the precursor source chemical, the evaporated precursor can flow freely to the valves 108 and 110. Since the valves 108 and 110 closely follow the temperature of the vessel 100 during temperature ramping, the valve likewise exceeds the evaporation temperature, thus requiring a need for an additional heater to prevent condensation of the precursor in the valve. Will be reduced. Shortened gas flow paths are also more suitable for controlled heating. Surface mount valves 108 and 110 also have much smaller packaging space requirements.

다른 실시형태에서, 포팅 블록 (118, 120, 도 8 참조) 의 밸빙은 소스 용기 (400) 의 뚜껑 (406) 에 일체형으로 형성될 수 있고, 이로써, 도 11j 에 도시되는 바와 같이, 입구 밸브 (108), 버프 밸브 (428) 및 출구 밸브 (110) 가 뚜껑 (406) 의 상부 표면 (412) 과 동일 평면에 장착되도록 버프 밸브 (428) 뿐만 아니라 입구 및 출구 밸브 (108, 110) 가 뚜껑 (406) 에 직접 부착되도록 할 수 있다. 밸브를 뚜껑 (406) 의 상부 표면 (412) 과 동일 평면에 바로 장착하는 것은, 그 사이의 거리를 감소시키는 것뿐만 아니라 열 전달을 증가시키고, 불황성 가스 및 증발된 전구체 혼합물은 베이스 (402) 의 내부 체적 (468) 으로부터 반응 챔버 (162, 도 25 참조) 로 이동하게 한다.In another embodiment, the valving of the potting blocks 118, 120 (see FIG. 8) may be integrally formed on the lid 406 of the source vessel 400, whereby, as shown in FIG. 11J, the inlet valve ( 108, the buff valve 428 and the outlet valve 110 are mounted flush with the upper surface 412 of the lid 406, as well as the buff valve 428, as well as the inlet and outlet valves 108, 110. 406 can be attached directly. Mounting the valve directly on the same plane as the top surface 412 of the lid 406 increases the heat transfer as well as reducing the distance therebetween, and the inert gas and evaporated precursor mixture is applied to the base 402. Is moved from the internal volume 468 of the reaction chamber (162, see FIG. 25).

각각의 밸브 (108 및 110) 는 바람직하게는 밸브에 의해 제한되거나 개방될 수 있는 가스 유동 통로를 포함하는 밸브 포팅 블록을 포함한다. 예컨대, 도 9 및 도 10 을 참조하면, 밸브 (108) 의 포팅 블록 (118) 은 바람직하게는 도관 (121) 으로부터 포팅 블록 (118) 의 일측 (123) 을 통과하여 영역 (113) 으로 연장하는 내부 가스 유동 통로를 포함한다. 영역 (113) 은 바람직하게는 밸브 시트 및 이동가능한 제한기 또는 다이아프램 등의 가스의 유동을 제한하기 위한 내부 장치 (도시되지 않음) 를 포함한다. 일 실시형태에서, 이동가능한 내부 제한기 또는 다이아프램은 수동으로 또는 자동으로 노브 (예컨대, 밸브 (108) 의 더 큰 원통형 상부 (181)) 를 회전시킴으로써 이동될 수 있다. 다른 내부 가스 유동 통로는 바람직하게는 영역 (113) 으로부터 블록 (118) 의 대향 측부 (125) 를 통과해 뚜껑 (106) 을 통해 용기 (100) 안으로 연장되는 입구 통로까지 연장된다. 예컨대, 입구 통로는 사형 인서트 (112) 에 의해 규정되는 굴곡진 경로 (111) 안으로 연장될 수 있다. 밸브 (110) 및 배기 밸브 (210) (도 26 ~ 도 28 을 참조하여 이하에서 설명됨) 는 밸브 (108) 와 유사하게 구성될 수 있다. 일 실시형태에서, 밸브 (108 및 110) 는 공압 밸브이다. 밸브 포팅 블록 (118 및 120) 을 용기 뚜껑 (106) 과 일체로 형성하는 것이 특히 바람직하다. 이는 블록과 용기 뚜겅 사이에 별도의 밀봉이 필요하지 않게 해준다.Each valve 108 and 110 preferably includes a valve potting block that includes a gas flow passage that can be opened or restricted by the valve. For example, with reference to FIGS. 9 and 10, the potting block 118 of the valve 108 preferably extends from the conduit 121 through one side 123 of the potting block 118 to the region 113. An internal gas flow passage. Region 113 preferably includes an internal device (not shown) for restricting the flow of gas, such as a valve seat and a movable restrictor or diaphragm. In one embodiment, the movable internal limiter or diaphragm can be moved by rotating the knob (eg, the larger cylindrical top 181 of the valve 108) manually or automatically. The other internal gas flow passage preferably extends from the region 113 through the opposite side 125 of the block 118 to the inlet passage extending through the lid 106 into the vessel 100. For example, the inlet passage may extend into the curved path 111 defined by the sand insert 112. The valve 110 and the exhaust valve 210 (described below with reference to FIGS. 26-28) can be configured similarly to the valve 108. In one embodiment, the valves 108 and 110 are pneumatic valves. It is particularly preferred to form the valve potting blocks 118 and 120 integrally with the container lid 106. This eliminates the need for a separate seal between the block and the container lid.

다른 실시형태에서, 밸브 (108, 110 및 210, 도 26 ~ 도 28) 는 포팅 블록 (118, 120) 과 같은 포팅 블록 없이 형성되고, 바람직하게는 용기 뚜껑 (106) 과 같은 용기 (100) 의 일부와 일체로 형성된다.In other embodiments, the valves 108, 110 and 210, FIGS. 26-28 are formed without potting blocks such as potting blocks 118, 120, and preferably of vessel 100, such as vessel lid 106. It is formed integrally with some.

필터filter

바람직하게는, 전구체 소스 용기는 용기를 통해 가스 유동을 여과하기 위한 여과 장치를 포함하여서 입자상 물질 (예컨대, 소스 화학물질 분말) 이 용기를 나가는 것을 방지해준다. 여과 장치는 용기의 뚜껑에, 바람직하게는 표면장착 밸브 (108, 110 및/또는 210) (도 26 ~ 도 28) 아래에 제공될 수 있다. 바람직하게는, 여과 장치는 용기의 각각의 입구 및 출구를 위한 별도의 필터를 포함한다.Preferably, the precursor source vessel includes a filtration device for filtering the gas flow through the vessel to prevent particulate matter (eg, source chemical powder) from leaving the vessel. The filtration device may be provided in the lid of the container, preferably under the surface mount valves 108, 110 and / or 210 (FIGS. 26-28). Preferably, the filtration device comprises separate filters for each inlet and outlet of the vessel.

도 17 은 반응물 소스 용기의 보디 또는 뚜껑 (예컨대, 도 8 의 뚜껑 (106)) 에 설치될 수 있는 여과 장치 (130) 의 일 실시형태의 단면도이다. 설명되는 장치 (130) 는 플랜지 (132), 필터 매체 (134), 및 체결 (fastener) 요소 (136) 로 형성된 필터이다. 이 실시형태에서, 필터 (130) 는 용기 (예컨대, 도 8 의 뚜껑 (106)) 의 뚜껑의 리세스 (138) 안으로 딱 맞도록 하는 크기 및 형상을 갖는다. 플랜지 (132) 의 주변은 원형, 직사각형, 또는 다른 형상일 수 있고, 상기 형상은 바람직하게는 리세스 (138) 의 주변과 꼭 일치한다. 필터 재료 (134) 는 플랜지 (132) 의 환형 내벽 (140) 에 의해 규정되는 개구를 통과하는 특정 크기보다 더 큰 가스-동반 입자의 통로를 제한하도록 구성된다. 재료 (134) 는 바람직하게는 벽 (140) 에 의해 규정되는 전체 개구를 차단한다. 재료 (134) 는 다양한 상이한 재료 중 임의의 재료를 포함할 수 있고, 일 실시형태에서는 고 유동 소결 니켈 섬유 매체이다. 다른 실시형태에서는, 필터 매체는 다른 금속 (예컨대, 스테인레스강), 세라믹 (예컨대, 알루미나), 석영, 또는 통상적으로 가스 또는 액체 필터에 채용되는 다른 재료로 제조된다. 재료 (134) 는 바람직하게는 환형 벽 (140) 에 용접되거나 부착된다. 일 실시형태서는, 필터 (130) 는 TEM Products (Santa Clara, CA) 에서 판매되는 것과 같은 표면장착 샌드위치 필터를 포함한다.FIG. 17 is a cross-sectional view of one embodiment of a filtration device 130 that may be installed on the body or lid of a reactant source vessel (eg, lid 106 of FIG. 8). The device 130 described is a filter formed of a flange 132, a filter medium 134, and a fastener element 136. In this embodiment, the filter 130 is sized and shaped to fit into the recess 138 of the lid of the container (eg, lid 106 of FIG. 8). The perimeter of the flange 132 may be circular, rectangular, or other shape, which shape preferably coincides with the perimeter of the recess 138. The filter material 134 is configured to restrict the passage of gas-bearing particles larger than a certain size through the opening defined by the annular inner wall 140 of the flange 132. The material 134 preferably blocks the entire opening defined by the wall 140. Material 134 may comprise any of a variety of different materials, and in one embodiment is a high flow sintered nickel fiber medium. In other embodiments, the filter media is made of other metals (eg, stainless steel), ceramics (eg, alumina), quartz, or other materials typically employed in gas or liquid filters. Material 134 is preferably welded or attached to annular wall 140. In one embodiment, filter 130 includes a surface mount sandwich filter, such as sold by TEM Products (Santa Clara, Calif.).

도시된 실시형태에 있어서, 체결 요소 (136) 는 뚜껑 (106) 의 벽 (146) 에 대해 플랜지 (132) 를 치우치게 하는 스프링 스냅 링을 포함한다. 링 (136) 은 리세스 (138) 의 주변의 환형 리세스 (142) 내에 꽉 끼워지는 것이 바람직하다. 스냅 링 (136) 은, 예컨대 Lake Zurich, IL 의 Smalley Steel Ring Company 에 의해 판매되는 Spirawave® 웨이브 스프링 (wave spring) 과 같은 플랫 와이어 압축 스프링 (flat wire compression spring) 을 포함할 수 있다. 추가적이고 상이한 유형의 체결 요소가 뚜껑 (106) 에 필터 (130) 를 체결하기 위해 제공될 수 있다. 바람직하게는, 체결 요소 (136) 는 플랜지 (132) 와 뚜껑 (106) 사이의 인터페이스를 통한 캐리어 가스 및 반응물 증기의 유동을 방지하고, 따라서 모든 가스는 필터 재료 (134) 를 통해 유동해야 한다. 서브리세스 (sub-recess) (147) 가 필터 (130) 의 외측에 충만부 (plenum) (148) 를 규정하기 위해 제공될 수 있고, 이는 여과된 가스 유동의 품질을 향상시킬 수 있다. 도시된 필터 (130) 는, 간단하게는 환형 리세스 (142) 로부터 스냅 링 (136) 을 제거하고, 홈 (138) 으로부터 필터 (130) 를 제거하고, 새로운 필터 (130) 를 삽입하며, 그리고 환형 리세스 (142) 에 스냅 링 (136) 을 재삽입함으로써, 용이하게 교체가능하다.In the embodiment shown, the fastening element 136 includes a spring snap ring that biases the flange 132 relative to the wall 146 of the lid 106. The ring 136 is preferably fitted tightly in the annular recess 142 around the recess 138. The snap ring 136 may include a flat wire compression spring, such as, for example, a Spirawave® wave spring sold by the Smalley Steel Ring Company of Lake Zurich, IL. Additional and different types of fastening elements can be provided for fastening the filter 130 to the lid 106. Preferably, the fastening element 136 prevents the flow of carrier gas and reactant vapor through the interface between the flange 132 and the lid 106, so all gas must flow through the filter material 134. Sub-recess 147 may be provided to define the plenum 148 on the outside of the filter 130, which may improve the quality of the filtered gas flow. The illustrated filter 130 simply removes the snap ring 136 from the annular recess 142, removes the filter 130 from the groove 138, inserts a new filter 130, and By reinserting the snap ring 136 into the annular recess 142, it is easily replaceable.

필터 리세스 (138) 는 전구체 소스 용기의 차단 밸브 중 하나에 가깝게 위치되는 것이 바람직하다. 도 17 의 실시형태에 있어서, 리세스 (138) 는 소스 용기 (100) 의 출구 차단 밸브 (110) (도 1) 의 밸브 포팅 블록 (120) 바로 아래에 있다. 당업자라면, 개별적인 필터 (130) 가 입구 밸브 (108) 및 배기 밸브 (210) (도 26 ~ 도 28) 를 포함하는 용기의 각각의 차단 밸브와 연관되어 제공될 수 있다는 것을 이해할 것이다. 통로 (145) 가 충만부 (148) 로부터 밸브 포팅 블록 (120) 의 통로 (144) 까지 연장된다. 도시된 실시형태에 있어서, 포팅 블럭 (120) 은 용기 뚜껑 (106) 과 별도로 형성되고, 시일이 그 사이에 제공되는 것이 바람직하다. 다른 실시형태에서, 블록 (120) 은 뚜껑 (106) 과 일체로 형성되고, 통로 (144 및 145) 는 동일한 드릴링 작업으로 형성된다.The filter recess 138 is preferably located close to one of the shutoff valves of the precursor source vessel. In the embodiment of FIG. 17, the recess 138 is directly under the valve potting block 120 of the outlet shutoff valve 110 (FIG. 1) of the source container 100. Those skilled in the art will appreciate that a separate filter 130 may be provided in association with each shutoff valve of the vessel including the inlet valve 108 and the exhaust valve 210 (FIGS. 26-28). The passage 145 extends from the fill 148 to the passage 144 of the valve potting block 120. In the embodiment shown, the potting block 120 is formed separately from the container lid 106, preferably with a seal provided therebetween. In another embodiment, block 120 is integrally formed with lid 106 and passages 144 and 145 are formed in the same drilling operation.

도 18 은 일 실시형태에 따른 필터 재료 (134) 의 표면 부분의 확대 단면도이다. 이 실시형태에서, 필터 재료 (134) 는 대입자 여과층 (150) 및 소입자 여과층 (152) 을 포함한다. 대입자 여과층 (150) 은 바람직하게는 비교적 더 큰 입자를 여과하고, 소입자 여과층 (152) 은 바람직하게는 비교적 더 작은 입자를 여과한다. 대입자 여과층 (150) 은 다수의 공극 (void) (151) 을 포함한다. 일 실시형태에서, 대입자 여과층 (150) 은 약 20 ~ 60 % 공극이 있고, 더 바람직하게는 30 ~ 50 % 공극이 있다. 일 실시형태에서, 대입자 여과층 (150) 은 약 42 % 공극이 있다. 대입자 여과층 (150) 은 예컨대 스테인리스강 재료를 포함할 수 있다. 대입자 여과층 (150) 은 바람직하게는 필터 재료 (134) 의 대부분을 포함한다. 공극 (151) 으로 인해, 필터 재료 (134) 는 비교적 낮은 압력 강하를 야기한다. 대입자 여과층 (150) 의 향상된 구조적 강성을 위해 1 이상의 지지 튜브 (154) 가 제공될 수 있다. 소입자 여과층 (152) 은 0.05 ~ 0.2 미크론, 더 바람직하게는 약 0.10 미크론의 기공 크기를 가질 수 있다. 소입자 여과층 (152) 은 약 5 ~ 20 미크론, 더 바람직하게는 약 10 미크론의 두께를 가질 수 있다. 소입자 여과층 (152) 은 예컨대 지르코니아의 코팅을 포함할 수 있다. 대입자 여과층 (150) 의 각각의 측부는 소입자 여과층 (152) 으로 코팅될 수 있다. 적절한 필터 재료는 Pall Corporation 에 의해 판매되는 AccuSep 필터와 유사한 것이다.18 is an enlarged cross sectional view of a surface portion of a filter material 134 according to one embodiment. In this embodiment, the filter material 134 includes a large particle filtration layer 150 and a small particle filtration layer 152. Large particle filtration layer 150 preferably filters relatively larger particles, and small particle filtration layer 152 preferably filters relatively smaller particles. The large particle filtration layer 150 includes a plurality of voids 151. In one embodiment, the large particle filtration layer 150 has about 20-60% voids, more preferably 30-50% voids. In one embodiment, the large particle filtration layer 150 has about 42% voids. Large particle filtration layer 150 may, for example, comprise a stainless steel material. The large particle filtration layer 150 preferably comprises most of the filter material 134. Due to the voids 151, the filter material 134 causes a relatively low pressure drop. One or more support tubes 154 may be provided for improved structural rigidity of the large particle filtration layer 150. Small particle filtration layer 152 may have a pore size of 0.05 to 0.2 microns, more preferably about 0.10 microns. Small particle filtration layer 152 may have a thickness of about 5-20 microns, more preferably about 10 microns. Small particle filtration layer 152 may comprise, for example, a coating of zirconia. Each side of the large particle filtration layer 150 may be coated with a small particle filtration layer 152. Suitable filter materials are similar to AccuSep filters sold by Pall Corporation.

가스 인터페이스 조립체Gas interface assembly

도 19 는 전구체 소스 용기 (100) 및 증기상 반응 챔버 (162) 를 통해 캐리어 및 반응물 가스를 유동시키는데 사용될 수 있는 가스 전달 시스템 (160) 의 개략도이다. 전달 시스템 (160) 은, 본원에 기재된 바와 같이, 용기 (100), 캐리어 가스 소스 (164), 하류 정화기 또는 필터 (166), 및 수개의 추가적인 밸브를 포함한다. 차단 밸브 (108, 110) 는 바람직하게는 상기와 같이 용기 (100) 에 표면-장착된다. 캐리어 가스 소스 (164) 는 불활성 캐리어 가스를 연결 지점 (168) 에 전달하도록 작동가능하다. 밸브 (170) 가 연결 지점 (168) 과 용기 입구 밸브 (108) 사이에 개재된다. 밸브 (172) 가 연결 지점 (168) 과 연결 지점 (174) 사이에 개재된다. 밸브 (176) 가 연결 지점 (174) 과 용기 출구 밸브 (110) 사이에 개재된다. 정화기 (166) 및 추가적인 밸브 (178) 는 연결 지점 (174) 과 반응 챔버 (162) 사이에 개재된다. 도시된 바와 같이, 용기 (100) 는 적절한 제어 및 알람 (alarm) 인터페이스, 디스플레이, 패널 등을 구비할 수 있다.19 is a schematic diagram of a gas delivery system 160 that may be used to flow carrier and reactant gases through precursor source vessel 100 and vapor phase reaction chamber 162. Delivery system 160 includes a vessel 100, a carrier gas source 164, a downstream purifier or filter 166, and several additional valves, as described herein. The shutoff valves 108, 110 are preferably surface-mounted in the vessel 100 as above. Carrier gas source 164 is operable to deliver inert carrier gas to connection point 168. A valve 170 is interposed between the connection point 168 and the vessel inlet valve 108. Valve 172 is interposed between connection point 168 and connection point 174. A valve 176 is interposed between the connection point 174 and the vessel outlet valve 110. Purifier 166 and additional valve 178 are interposed between connection point 174 and reaction chamber 162. As shown, the vessel 100 may have appropriate control and alarm interfaces, displays, panels, and the like.

용기 (100) 를 통해 반응 챔버 (162) 로 캐리어 가스를 유동시키는 것이 바람직할 때, 밸브 (170, 108, 110, 176 및 178) 는 개방되고 밸브 (172) 는 폐쇄된다. 반대로, 캐리어 가스가 반응 챔버 (162) 로 가는 도중에 용기 (100) 를 우회하는 것이 바람직할 때, 밸브 (172 및 178) 는 개방되고, 바람직하게는 밸브 (170, 108, 110 및 176) 모두는 폐쇄된다. 밸브 (178) 는, 예컨대 보수 및 수리를 위해, 가스 전달 시스템 (160) 으로부터 반응 챔버 (162) 를 격리시키기 위해 사용될 수 있다.When it is desirable to flow the carrier gas through the vessel 100 into the reaction chamber 162, the valves 170, 108, 110, 176 and 178 are opened and the valve 172 is closed. Conversely, when it is desirable for the carrier gas to bypass the vessel 100 on the way to the reaction chamber 162, the valves 172 and 178 are opened, preferably all of the valves 170, 108, 110 and 176 It is closed. The valve 178 can be used to isolate the reaction chamber 162 from the gas delivery system 160, for example for maintenance and repair.

다시 도 7 을 참조하면, 전구체 가스 전달 시스템 (도 19 에 도시된 바와 같음) 이, 용기 (100) 및 연관된 증기상 반응 챔버를 통한 캐리어 가스 및 반응물 증기의 유동의 제어를 용이하게 하는 가스 인터페이스 조립체 (180) 에서 구현될 수 있다. 도시된 가스 인터페이스 조립체 (180) 는 다수의 밸브 (182) (실질적으로 도 19 의 밸브 (170, 172, 176 및 178) 와 동일한 기능을 실행할 수 있음), 하류 정화기 또는 필터 (184), 및 히터 플레이트 (186) 를 포함한다. 밸브 (182) 는 밸브 포팅 블록 (118 및 120) 과 원리 및 작동에 있어서 유사한 밸브 포팅 블록 (188) 을 포함할 수 있다.Referring again to FIG. 7, a gaseous interface assembly that facilitates control of the flow of carrier gas and reactant vapor through the vessel 100 and associated vapor phase reaction chamber is provided by a precursor gas delivery system (as shown in FIG. 19). It may be implemented at 180. The illustrated gas interface assembly 180 can perform a number of valves 182 (which can perform substantially the same functions as the valves 170, 172, 176 and 178 of FIG. 19), downstream purifiers or filters 184, and heaters. Plate 186. The valve 182 may include a valve potting block 188 that is similar in principle and operation to the valve potting blocks 118 and 120.

도 7 및 도 19 를 참조하면, 가스 라인 (133) 이 캐리어 가스 소스 (164) 로부터 캐리어 가스를 수용하는 밸브 (182) 중 하나로부터 연장된다. 예컨대, 가스 라인 (133) 이 연장되는 밸브 (182) 는 실질적으로 도 19 의 밸브 (170) 의 기능을 실행할 수 있다. 도 7 은 캐리어 가스 소스로부터 이러한 밸브로 연장되는 가스 라인을 도시하지는 않지만, 이것이 제공된다는 것을 이해할 것이다. 가스 라인 (133) 은, 용기 및 가스 인터페이스 조립체 (180) 가 연결될 때 용기 (100) 의 캐리어 가스 입구 부속품 (122) 에 연결되는 부속품 (131) 을 포함한다. 가스 인터페이스 조립체 (180) 의 출구 (135) 가 가스를 반응 챔버 (162) 에 전달한다. 소스 용기의 캐리어 가스 입구는 출구 오리피스 (128) 와 유사하도록 구성될 수 있다는 것을 이해할 것이다.7 and 19, a gas line 133 extends from one of the valves 182 that receives the carrier gas from the carrier gas source 164. For example, the valve 182 from which the gas line 133 extends can substantially perform the function of the valve 170 of FIG. 19. 7 does not show a gas line extending from a carrier gas source to such a valve, it will be appreciated that this is provided. The gas line 133 includes an accessory 131 that is connected to the carrier gas inlet accessory 122 of the container 100 when the container and the gas interface assembly 180 are connected. The outlet 135 of the gas interface assembly 180 delivers gas to the reaction chamber 162. It will be appreciated that the carrier gas inlet of the source vessel may be configured to resemble the outlet orifice 128.

도 7 을 계속 참조하면, 히터 플레이트 (186) 는 밸브 (182) 및 용기 (100) 를 바람직하게는 전구체의 증발 온도보다 높은 온도로 가열시킨다. 바람직한 실시형태의 다양한 밸브, 밸브 포팅 블록, 및 가스 도관 사이의 높은 수준의 열적 접촉과 이들 구성요소에 대한 히터 플레이트 (186) 의 근접성은, 용기 (100) 의 하류의 가스-운반 구성요소에서의 전구체의 응축을 방지하기 위해 요구되는 총 열을 감소시킨다. 히터 플레이트 (186) 는 카트리지 히터 또는 라인 히터와 같은 다양한 상이한 유형의 히터에 의해 가열될 수 있다. 히터 플레이트는 알루미늄, 스테인리스강, 티타늄, 또는 다양한 니켈 합금과 같은 다양한 재료로 형성될 수 있다. 또한, 서모포일-유형 (thermofoil-type) 히터가 히터 플레이트 (186) 및 밸브 포팅 블록 (188) 을 가열하기 위해 사용될 수 있다. 서모포일-유형 히터를 사용하면 가변적인 와트 밀도 (watt density) 또는 하나 초과의 온도 제어 영역이 허용될 수 있다. 히터 플레이트 (186) 에 가변적인 와트 밀도 또는 다수의 온도 제어 영역을 결합하면 가스의 유동 경로를 따라 온도 구배를 유발하는 것이 가능해질 수 있다. 이는, 반응물 증기가 하류로 이동할 때 반응물 증기의 점진적인 가열을 제공할 수 있고, 따라서 응축이 회피된다. 적절한 서모포일 히터가 Minneapolis, MN 의 Minco 에 의해 판매된다. 또한, 추가적인 히터 (라인 히터, 카트리지 히터, 복사열 램프, 및 서모포일-유형 히터를 포함) 가 용기 뚜껑 (106) 및 컨테이너 보디 (104) 를 가열하기 위해 제공될 수 있다.With continued reference to FIG. 7, the heater plate 186 heats the valve 182 and the vessel 100 preferably to a temperature higher than the evaporation temperature of the precursor. The high level of thermal contact between the various valves, valve potting blocks, and gas conduits of the preferred embodiments, and the proximity of the heater plate 186 to these components, in the gas-carrying component downstream of the vessel 100. Reduce the total heat required to prevent the condensation of the precursors. The heater plate 186 may be heated by various different types of heaters, such as cartridge heaters or line heaters. The heater plate may be formed of various materials such as aluminum, stainless steel, titanium, or various nickel alloys. Also, a thermofoil-type heater may be used to heat the heater plate 186 and the valve potting block 188. Using a thermofoil-type heater may allow for varying watt densities or more than one temperature control region. Combining variable watt densities or multiple temperature control regions to the heater plate 186 may make it possible to induce a temperature gradient along the flow path of the gas. This may provide for gradual heating of the reactant vapor as it moves downstream, thus condensation is avoided. Suitable thermofoil heaters are sold by Minco, Minneapolis, MN. In addition, additional heaters (including line heaters, cartridge heaters, radiant heat lamps, and thermofoil-type heaters) may be provided to heat container lid 106 and container body 104.

어떤 실시형태에서, 용기 (100) 를 가열하기 위해 전용 히터가 제공될 수 있다. 도 18 에 도시된 일 특정 실시형태에서 (이하에서 더 상세하게 설명됨), 전용 가열 장치 (220) 가 용기의 컨테이너 보디 (104) 의 하부 표면 아래에 제공된다.In some embodiments, a dedicated heater may be provided to heat the vessel 100. In one particular embodiment shown in FIG. 18 (described in more detail below), a dedicated heating device 220 is provided below the bottom surface of the container body 104 of the container.

전술한 바와 같이, 전구체 증기가 "증기 회수법" 및 외부 가스 유동법에 의해 용기 (100) 로부터 회수될 수 있다. 증기 회수법 (vapor draw method) 에 있어서, 증기를 회수하기 위해서, 용기 (100) 는 진공이 된다. 예컨대, 밸브 (110, 176, 178) 가 개방되고, 밸브 (108, 170, 172) 가 폐쇄된 채로, 반응 챔버 (162) 의 하류에 진공이 적용될 수 있다. 진공은 예컨대, 진공 펌프를 사용함으로써 적용될 수 있다. 외부 가스 유동법에 있어서, 밸브 (110, 172, 176, 178) 가 개방되고, 밸브 (108, 170) 가 폐쇄된 채로, 소스 (164) 로부터 반응 챔버 (162) 로 캐리어 가스를 유동시킴으로써 전구체 증기가 용기 (100) 로부터 회수될 수 있다. 소정 조건 하에서, 용기 (100) 와 캐리어 가스의 유동 통로 사이의 압력 차를 만들 수 있고, 이는 전구체 증기가 반응 챔버를 향해 유동할 수 있게 한다.As mentioned above, precursor vapor may be recovered from the vessel 100 by a "vapor recovery method" and an external gas flow method. In the vapor draw method, in order to recover the vapor, the vessel 100 is vacuumed. For example, a vacuum may be applied downstream of the reaction chamber 162 with the valves 110, 176, 178 open and the valves 108, 170, 172 closed. The vacuum can be applied, for example, by using a vacuum pump. In the external gas flow method, the precursor vapor is discharged by flowing a carrier gas from the source 164 to the reaction chamber 162 with the valves 110, 172, 176, 178 open and the valves 108, 170 closed. May be recovered from the vessel 100. Under certain conditions, a pressure difference can be made between the vessel 100 and the flow passage of the carrier gas, which allows the precursor vapor to flow towards the reaction chamber.

퀵 연결 (Quick connect ( QuickQuick -- ConnectionConnection ) 조립체Assembly

계속해서 도 7 을 참조하면, 퀵 연결 조립체 (102) 가, 바람직하게는 전구체 소스 용기 (100) 와 가스 인터페이스 조립체 (180) 의 더 신속하고 쉬운 탑재, 정렬 및 연결을 용이하게 한다. 퀵 연결 조립체 (102) 는 인간 환경 공학적으로 친숙하고, 용기 (100) 의 교체, 재충전 및 내구성을 용이하게 한다. 매우 다양한 유형의 퀵 연결 조립체가 제공될 수 있고, 이러한 목적을 기억하고, 당업자라면 도시된 조립체 (102) 가 단지 일 실시형태라는 것을 이해할 것이다. 퀵 연결 조립체 (102) 는, 소스 용기 (100) 와 지지 제어 하드웨어가 포장되는 진공 인클로저에 내장된다.With continued reference to FIG. 7, the quick connect assembly 102 preferably facilitates quicker and easier mounting, alignment, and connection of the precursor source vessel 100 and the gas interface assembly 180. The quick connect assembly 102 is ergonomically friendly and facilitates replacement, refilling and durability of the container 100. A wide variety of types of quick connect assemblies can be provided, remembering this purpose, and those skilled in the art will understand that the illustrated assembly 102 is just one embodiment. The quick connect assembly 102 is embedded in a vacuum enclosure in which the source container 100 and the support control hardware are packaged.

도 7, 도 20 및 도 21 을 참조하면, 퀵 연결 조립체 (102) 는 베이스 (190), 이 베이스 (190) 의 가장자리로부터 상방으로 연장되는 받침대 (pedestal) (192), 트랙 구성요소 (194) 및 리프트 조립체 (196) 를 포함한다. 베이스 (190) 는 바람직하게는, 반응물 소스 캐비넷 (16) 의 플로어 (9) 상에서와 같이, 가스 전달 시스템 (6) (도 1) 의 하부 내면에 고정될 수 있다. 바람직하게는, 받침대 (192) 는 베이스 (190) 위의 위치에서 가스 인터페이스 조립체 (180) 에 연결되어 지지한다. 트랙 구성요소 (194) 는 플랫폼 (198) 과 이 플랫폼 (198) 의 양측에 있는 2 개의 롤러 트랙 (200) 을 포함한다. 정렬된 롤러 (204) 를 갖는 한 쌍의 롤러 조립체 (202) 가, 바람직하게는 용기 (100) 의 양측에 고정된다. 본 실시형태에서, 롤러 (204) 는, 트랙 구성요소 (194) 의 트랙 (200) 내에서 구를 정도로 크기를 갖게 구성되어, 용기 (100) 가 플랫폼 (198) 상에 쉽고 빠르게 위치될 수 있다.7, 20 and 21, the quick connect assembly 102 includes a base 190, a pedestal 192 extending upwardly from an edge of the base 190, the track component 194. And lift assembly 196. Base 190 may preferably be secured to the lower inner surface of gas delivery system 6 (FIG. 1), such as on floor 9 of reactant source cabinet 16. Preferably, pedestal 192 is connected and supported to gas interface assembly 180 at a location above base 190. Track component 194 includes a platform 198 and two roller tracks 200 on either side of the platform 198. A pair of roller assemblies 202 with aligned rollers 204 are preferably secured to both sides of the container 100. In this embodiment, the roller 204 is configured to be rolled in size within the track 200 of the track component 194 so that the container 100 can be quickly and easily positioned on the platform 198. .

롤러 조립체 (202) 가 트랙 (200) 에 결합된 채로 용기 (100) 가 플랫폼 (198) 에 로딩될 때, 출구 밸브 (110) 의 출구는, 바람직하게는 가스 인터페이스 조립체 (180) 의 밸브 (182) 중 하나의 밸브의 입구와 수직방향으로 정렬된다. 리프트 조립체 (196) 는, 플랫폼 (198) 을 하강 위치 (도 7 참조) 와 상승 위치 (도 20 및 도 21 참조) 사이에서 수직방향으로 움직이도록 구성된다. 용기 (100) 가 플랫폼 (198) 상에 로딩되고, 플랫폼이 그의 상승 위치로 이동될 때, 출구 밸브 (110) 의 출구는, 바람직하게는 밸브 (182) 중 하나의 입구와 직접 또는 간접적으로 소통한다. 출구 밸브 (110) 의 출구와 밸브 (182) 의 입구 사이의 인터페이스를 적절하게 밀봉하기 위해서는 최소의 수동 조절이 요구될 수도 있다. 개시된 실시형태에서, 출구 밸브 (110) 의 출구는 밸브 포팅 블록 (120) 에 있는 오리피스 (128) 이다. 이러한 방식에서, 퀵 연결 조립체 (102) 가 전구체 소스 용기 (100) 와 가스 인터페이스 조립체 (180) 의 퀵 연결을 가능하게 한다.When the container 100 is loaded onto the platform 198 with the roller assembly 202 coupled to the track 200, the outlet of the outlet valve 110 is preferably a valve 182 of the gas interface assembly 180. Is aligned vertically with the inlet of one of the valves. The lift assembly 196 is configured to move the platform 198 vertically between the lowered position (see FIG. 7) and the raised position (see FIGS. 20 and 21). When the vessel 100 is loaded on the platform 198 and the platform is moved to its raised position, the outlet of the outlet valve 110 preferably communicates directly or indirectly with the inlet of one of the valves 182. do. Minimal manual adjustment may be required to properly seal the interface between the outlet of outlet valve 110 and the inlet of valve 182. In the disclosed embodiment, the outlet of the outlet valve 110 is an orifice 128 in the valve potting block 120. In this manner, the quick connection assembly 102 enables quick connection of the precursor source container 100 and the gas interface assembly 180.

도 20 에 도시된 바와 같이, 개시된 리프트 조립체 (196) 는, 플랫폼 (198) 을 수직하게 움직이게 시저 레그 (scissor legs) (197) 를 수동으로 구동시킬 수 있는 리프트 핸들 (195) 을 포함한다. 예컨대, 핸들 (195) 및 레그 (197) 는 몇몇의 기존 오토 잭 (auto jacks) 과 유사한 방식으로 작동할 수 있다. 일 실시형태에서, 리프트 조립체 (196) 는, 핸들 (195) 이 대략 180°회전될 때, 플랫폼 (198) 을 그의 상승 위치로 들어올린다. 그러나, 다른 유형의 리프트 장치가 대안적으로 제공될 수도 있음이 상정될 것이다.As shown in FIG. 20, the disclosed lift assembly 196 includes a lift handle 195 that can manually drive scissor legs 197 to vertically move the platform 198. For example, the handle 195 and leg 197 can operate in a similar manner to some existing auto jacks. In one embodiment, the lift assembly 196 lifts the platform 198 to its raised position when the handle 195 is rotated approximately 180 °. However, it will be assumed that other types of lift apparatus may alternatively be provided.

퀵 연결 조립체 (102) 는 고갈된 용기 (100) 를 새로운 용기로 교환하는 것을 용이하게 한다. 게다가, 조립체 (102) 는 용기 제거 및 설치가 단순하기 때문에, 용기 (100) 상에서 일상적인 보수를 실행하는 것이 더 용이하다. 바람직하게는, 용기 (100) 의 중량은, 기술자 1 인에 의해 용이하게 처리될 수 있는 정도이다.The quick connect assembly 102 facilitates replacement of the depleted container 100 with a new container. In addition, since assembly 102 is simple to remove and install, it is easier to perform routine maintenance on container 100. Preferably, the weight of the container 100 is such that it can be easily processed by one technician.

도 22 내지 도 24 는 퀵 연결 조립체 (102) 의 대안적인 실시형태를 도시한다. 개시된 조립체 (102) 는, 플랫폼 (198) 과 받침대 (192) 를 포함한다. 플랫폼 (198) 은 용기 (100) 의 양측에 부착된 텅 (tongues) (206) 을 수용하도록 이루어진 트랙 (200) 을 포함한다. 플랫폼 (198) 을 들어올리기 위해 1 이상의 리프트 장치 (208) 가 제공된다. 기재된 실시형태에서, 리프트 장치 (208) 는 플랫폼 (198) 아래에 볼트를 포함한다. 볼트가 회전하여, 용기 (100) 에 관련된 연결 위치로 플랫폼 (198) 을 상승시킬 수 있다. 플랫폼 (198) 의 수직 정렬을 유지하기 위해서 안내 장치 (도시 생략) 가 제공될 수 있다.22-24 show alternative embodiments of the quick connect assembly 102. The disclosed assembly 102 includes a platform 198 and a pedestal 192. The platform 198 includes a track 200 configured to receive tongues 206 attached to both sides of the container 100. One or more lift devices 208 are provided to lift the platform 198. In the described embodiment, the lift device 208 includes a bolt under the platform 198. The bolt can rotate to raise the platform 198 to a connection position associated with the vessel 100. A guide device (not shown) may be provided to maintain the vertical alignment of the platform 198.

배기 밸브 (Exhaust valve ( VentVent ValveValve ))

전술한 바와 같이, 전구체 소스 용기에는, 통상적으로 용기에 불활성 가스 (예컨대, 헬륨) 의 헤드 압력이 제공된다. 이러한 헤드 압력의 통상적인 공정 압력 아래로의 배기, 또는 "버핑 (burping)" 중, 고체 전구체 입자는 에어로졸화되고 불활성 가스 유출물에서 동반된다. 이는, 이러한 가스가, 통상 용기의 출구 차단 밸브를 통해 배기되기 때문에 가스 전달 시스템, 반응 가스 전달 시스템, 및 최종적으로 반응기의 배기/스크러버 (exhaust/scrubber) 를 오염시킬 수 있다. 이후, 기판 처리중, 전구체 전달 경로 및 배기 경로에 공통인 가스 패널의 오염된 부분들은 기판의 ALD 중 처리 결함을 유발할 수 있다.As mentioned above, the precursor source vessel is typically provided with a head pressure of an inert gas (eg, helium) in the vessel. During the exhaust, or “burping” of this head pressure below the usual process pressure, the solid precursor particles are aerosolized and entrained in an inert gas effluent. This can contaminate the gas delivery system, the reactive gas delivery system, and finally the exhaust / scrubber of the reactor since such gas is normally exhausted through the outlet shutoff valve of the vessel. Subsequently, during substrate processing, contaminated portions of the gas panel that are common to the precursor delivery path and the exhaust path may cause processing defects in the ALD of the substrate.

도 26 은 배기 밸브 (210) 를 포함하는 전구체 소스 용기 (100) 의 예를 도시한다. 이 실시형태에서, 배기 밸브 (210) 는 입구 차단 밸브 (108) 와 출구 차단 밸브 (110) 중간에 위치된다. 그러나, 당업자는 다른 배치가 가능하다는 것을 상정할 수 있다. 바람직하게는, 배기 밸브 (210) 는, 밸브 포팅 블록 (118, 120) 과 실질적으로 유사할 수 있는 밸브 포팅 블록 (212) 을 포함한다. 도 27 은 전술한 바와 같이 도 22 내지 도 24 의 가스 인터페이스 조립체에 연결되는 도 26 의 용기 (100) 를 도시한다.FIG. 26 shows an example of a precursor source vessel 100 that includes an exhaust valve 210. In this embodiment, the exhaust valve 210 is located between the inlet shutoff valve 108 and the outlet shutoff valve 110. However, those skilled in the art can assume that other arrangements are possible. Preferably, the exhaust valve 210 includes a valve potting block 212, which may be substantially similar to the valve potting blocks 118, 120. FIG. 27 shows the container 100 of FIG. 26 connected to the gas interface assembly of FIGS. 22-24 as described above.

도 28 은 도 26 의 용기 (100) 의 실시형태의 단면도이다. 상기한 바와 같이, 용기 (100) 는 컨테이너 보디 (104), 사형 인서트 (112), 스프링 (114) 및 용기 뚜껑 (106) 을 포함한다. 용기 뚜껑 (106) 은 바람직하게 표면 장착 차단 밸브 (210) 뿐만 아니라 표면 장착 차단 밸브 (108, 110) 를 포함한다. 바람직하게는, 밸브 (108, 210, 110) 는 각각 밸브 포팅 블록 (118, 212, 120) 을 포함한다. 또한, 도 28 은 밸브 포팅 블록의 내부 가스 통로 (214) 를 도시한다. 전술한 바와 같이, 밸브 포팅 블록 (120) 은 전구체 증기와 캐리어 가스를 가스 인터페이스 조립체 (180) 에 공급하는 가스 출구 (128) 를 포함한다.FIG. 28 is a cross-sectional view of an embodiment of the container 100 of FIG. 26. As noted above, the container 100 includes a container body 104, a sand insert 112, a spring 114, and a container lid 106. The container lid 106 preferably includes surface mount shutoff valves 210 as well as surface mount shutoff valves 108, 110. Preferably, the valves 108, 210, 110 each comprise valve potting blocks 118, 212, 120. 28 also shows the internal gas passage 214 of the valve potting block. As noted above, the valve potting block 120 includes a gas outlet 128 that supplies precursor vapor and carrier gas to the gas interface assembly 180.

바람직하게는, 밸브 (108, 210, 110) 각각에 필터가 연결된다. 기재된 실시형태에 있어서, 용기 뚜껑 (106) 은 각각의 밸브에 연결된 필터 (130) (예컨대, 도 17 에 도시되고 전술함) 를 포함한다. 다양한 여러가지 유형의 필터가 사용될 수 있음이 상정될 것이다. 필터는 용기 (100) 로부터 전구체 입자가 빠져나오는 것을 방지한다.Preferably, a filter is connected to each of the valves 108, 210, 110. In the described embodiment, the container lid 106 includes a filter 130 (eg, shown and described above in FIG. 17) connected to each valve. It will be assumed that various different types of filters can be used. The filter prevents precursor particles from escaping from the vessel 100.

본 발명의 바람직한 실시형태가 기술되었지만, 본 발명은 제한되지 않으며, 변형예가 본 발명을 벗어나지 않고 만들어질 수도 있다는 것을 이해하여야 한다. 본 발명의 범위는 첨부의 청구범위에 의해 규정되며, 글자 뜻대로 또는 균등물 중 하나에 의한 청구범위의 의미 내에 있는 모든 장치, 프로세스 및 방법이 내포되도록 의도된다.While preferred embodiments of the invention have been described, it is to be understood that the invention is not limited and that modifications may be made without departing from the invention. It is intended that the scope of the invention be defined by the claims appended hereto and include all such devices, processes and methods as are intended or within the meaning of the claims by one of their equivalents.

Claims (25)

입구 포트, 출구 포트 및 버프 포트 (burp port) 를 갖는 뚜껑; 및
상기 뚜껑에 제거가능하게 부착되는 베이스를 포함하며,
상기 베이스는 내부에 형성된 오목 영역을 갖는 전구체 소스 용기.
A lid having an inlet port, an outlet port and a burp port; And
A base removably attached to said lid,
And the base has a recessed region formed therein.
제 1 항에 있어서, 상기 오목 영역은 입구 오목 패드, 출구 오목 패드, 버프 오목 패드, 및 상기 패드의 각각을 유체적으로 (fluidly) 연결하는 채널을 포함하는 전구체 소스 용기.The precursor source container of claim 1, wherein the concave region comprises an inlet concave pad, an outlet concave pad, a buff concave pad, and a channel fluidly connecting each of the pads. 제 2 항에 있어서, 상기 채널은 복수의 선형 부분을 포함하는 전구체 소스 용기.The precursor source container of claim 2, wherein the channel comprises a plurality of linear portions. 제 3 항에 있어서, 상기 선형 부분 중 적어도 2 개의 부분은 인접하고 실질적으로 평행한 전구체 소스 용기.4. The precursor source container of claim 3, wherein at least two of the linear portions are adjacent and substantially parallel. 제 2 항에 있어서, 상기 채널은 복수의 호형 부분 (arced sections) 을 포함하는 전구체 소스 용기.The precursor source container of claim 2, wherein the channel comprises a plurality of arced sections. 제 5 항에 있어서, 상기 호형 부분 중 적어도 2 개의 부분은 인접하고 실질적으로 동심인 전구체 소스 용기.6. The precursor source container of claim 5, wherein at least two of the arc portions are adjacent and substantially concentric. 제 1 항에 있어서, 상기 포트의 각각에 작동식으로 (operatively) 연결된 밸브 조립체를 더 포함하는 전구체 소스 용기.The precursor source container of claim 1 further comprising a valve assembly operatively connected to each of the ports. 제 7 항에 있어서, 상기 밸브 조립체의 각각은 상기 뚜껑에 직접 연결되는 전구체 소스 용기.8. The precursor source container of claim 7, wherein each of the valve assemblies is directly connected to the lid. 제 1 항에 있어서, 상기 포트의 각각에 작동식으로 연결된 밸브를 더 포함하고, 상기 밸브의 각각은 상기 뚜껑의 상부 표면과 동일 평면으로 설치되는 전구체 소스 용기.The precursor source container of claim 1, further comprising a valve operatively connected to each of said ports, each of said valves being coplanar with an upper surface of said lid. 제 1 항에 있어서, 상기 오목 영역은 상기 입구 포트, 상기 출구 포트 및 상기 버프 포트를 유체적으로 연결하는 채널을 포함하는 전구체 소스 용기.The precursor source container of claim 1, wherein the concave region comprises a channel fluidly connecting the inlet port, the outlet port, and the buff port. 제 10 항에 있어서, 상기 채널은 굴곡진 (tortuous) 경로인 전구체 소스 용기.The precursor source container of claim 10, wherein the channel is a tortuous path. 내부에 형성된 오목 영역을 갖는 베이스,
상기 베이스에 제거가능하게 부착되는 뚜껑, 및
상기 뚜껑에 작동식으로 부착되는 버프 밸브를 포함하며,
상기 오목 영역은 전구체 재료를 수용하도록 되어 있고,
상기 뚜껑은 입구 포트, 출구 포트 및 버프 포트를 갖고,
상기 버프 밸브는 상기 버프 포트에 작동식으로 연결되는 전구체 소스 용기.
A base having a recessed area formed therein,
A lid removably attached to the base, and
A buff valve operatively attached to the lid,
The concave region is adapted to receive the precursor material,
The lid has an inlet port, an outlet port and a buff port,
The buff valve is operatively connected to the buff port.
제 12 항에 있어서, 상기 버프 포트에 인접한 상기 뚜껑의 상부 표면과 동일 평면으로 설치된 버프 필터를 더 포함하는 전구체 소스 용기.13. The precursor source container of claim 12 further comprising a buff filter coplanar with an upper surface of the lid adjacent the buff pot. 제 12 항에 있어서, 상기 버프 포트는 반응 챔버를 우회하는 버프 가스 라인에 직접 유체적으로 연결되는 전구체 소스 용기.13. The precursor source vessel of claim 12 wherein the buff port is fluidly connected directly to a buff gas line bypassing the reaction chamber. 저면, 접촉 표면, 상기 접촉 표면과 저면 사이에 연장된 측면, 및 상기 접촉 표면으로부터 연장되어 베이스 내에 오목 영역을 규정하는 내면을 갖는 베이스;
상기 베이스에 제거가능하게 부착되는 뚜껑을 포함하며,
상기 뚜껑은 입구 포트, 출구 포트 및 버프 포트를 갖는 전구체 소스 용기.
A base having a bottom surface, a contact surface, a side surface extending between the contact surface and the bottom surface, and an inner surface extending from the contact surface to define a recessed area in the base;
A lid removably attached to the base,
Said lid having an inlet port, an outlet port and a buff port.
제 15 항에 있어서, 상기 뚜껑은 상부 표면, 하부 표면, 및 상기 상부 표면과 하부 표면 사이에 연장된 측면을 포함하고, 상기 뚜껑의 상기 하부 표면은, 상기 뚜껑이 상기 베이스에 부착되는 때, 상기 베이스의 상기 접촉 표면과 접하는 관계에 있는 전구체 소스 용기.The lid of claim 15, wherein the lid comprises a top surface, a bottom surface, and a side extending between the top surface and the bottom surface, wherein the bottom surface of the lid is attached to the base when the lid is attached to the base. A precursor source container in contact with the contact surface of the base. 제 16 항에 있어서, 상기 베이스의 상기 내면과 상기 뚜껑의 하부 표면 사이에 규정되는 내부 체적을 더 포함하는 전구체 소스 용기.17. The precursor source container of claim 16 further comprising an interior volume defined between the inner surface of the base and the lower surface of the lid. 제 15 항에 있어서, 상기 오목 영역은 상기 입구 포트와 상기 출구 포트 사이에 유체 경로를 제공하는 전구체 소스 용기.16. The precursor source container of claim 15, wherein the concave region provides a fluid path between the inlet port and the outlet port. 제 18 항에 있어서, 상기 오목 영역은 적어도 입구 오목 패드, 출구 오목 패드, 및 상기 입구 오목 패드와 출구 오목 패드를 유체적으로 연결하는 채널을 포함하는 전구체 소스 용기.19. The precursor source container of claim 18, wherein the concave region comprises at least an inlet concave pad, an outlet concave pad, and a channel fluidically connecting the inlet concave pad and the outlet concave pad. 제 19 항에 있어서, 상기 채널은 복수의 선형 부분을 포함하는 전구체 소스 용기.20. The precursor source container of claim 19, wherein the channel comprises a plurality of linear portions. 제 20 항에 있어서, 상기 선형 부분 중 적어도 2 개의 부분은 인접하고 실질적으로 평행한 전구체 소스 용기.21. The precursor source container of claim 20, wherein at least two of the linear portions are adjacent and substantially parallel. 제 19 항에 있어서, 상기 채널은 복수의 호형 부분을 포함하는 전구체 소스 용기.20. The precursor source container of claim 19, wherein the channel comprises a plurality of arced portions. 제 22 항에 있어서, 상기 호형 부분 중 적어도 2 개의 부분은 인접하고 실질적으로 동심인 전구체 소스 용기.23. The precursor source container of claim 22, wherein at least two of the arc portions are adjacent and substantially concentric. 제 15 항에 있어서, 상기 베이스 내에 배치된 가열 조립체를 더 포함하는 전구체 소스 용기.16. The precursor source vessel of claim 15 further comprising a heating assembly disposed within the base. 제 1 포트, 제 2 포트 및 제 3 포트를 갖는 뚜껑; 및
상기 뚜껑에 제거가능하게 부착되는 베이스를 포함하며,
상기 베이스는 내부에 형성된 오목 영역을 갖는 전구체 소스 용기.
A lid having a first port, a second port, and a third port; And
A base removably attached to said lid,
And the base has a recessed region formed therein.
KR1020110036449A 2010-04-19 2011-04-19 Precursor delivery system KR101943099B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/763,037 2010-04-19
US12/763,037 US8986456B2 (en) 2006-10-10 2010-04-19 Precursor delivery system

Publications (2)

Publication Number Publication Date
KR20110117021A true KR20110117021A (en) 2011-10-26
KR101943099B1 KR101943099B1 (en) 2019-01-28

Family

ID=44885899

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110036449A KR101943099B1 (en) 2010-04-19 2011-04-19 Precursor delivery system

Country Status (3)

Country Link
KR (1) KR101943099B1 (en)
CN (1) CN102234790B (en)
TW (1) TWI557261B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180036525A (en) * 2016-09-30 2018-04-09 에이에스엠 아이피 홀딩 비.브이. Reactant vaporizer and related systems and methods
US11377732B2 (en) 2016-09-30 2022-07-05 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI129627B (en) * 2019-06-28 2022-05-31 Beneq Oy Atomic layer deposition apparatus
FI129579B (en) * 2019-06-28 2022-05-13 Beneq Oy Precursor source arrangement and atomic layer deposition apparatus
CN112144114A (en) * 2020-09-08 2020-12-29 巩义市泛锐熠辉复合材料有限公司 Precursor source gas conveying device

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5199603A (en) * 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
KR101183109B1 (en) * 2002-07-30 2012-09-24 에이에스엠 아메리카, 인코포레이티드 Sublimation system employing carrier gas
US20050000428A1 (en) * 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
JP5073751B2 (en) * 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド Precursor delivery system

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180036525A (en) * 2016-09-30 2018-04-09 에이에스엠 아이피 홀딩 비.브이. Reactant vaporizer and related systems and methods
US11377732B2 (en) 2016-09-30 2022-07-05 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US11773486B2 (en) 2018-08-16 2023-10-03 Asm Ip Holding B.V. Solid source sublimator
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system

Also Published As

Publication number Publication date
KR101943099B1 (en) 2019-01-28
TW201209216A (en) 2012-03-01
CN102234790A (en) 2011-11-09
TWI557261B (en) 2016-11-11
CN102234790B (en) 2015-12-16

Similar Documents

Publication Publication Date Title
US8986456B2 (en) Precursor delivery system
US9593416B2 (en) Precursor delivery system
US11377732B2 (en) Reactant vaporizer and related systems and methods
KR101943099B1 (en) Precursor delivery system
US20240209501A1 (en) Reactant vaporizer and related systems and methods
EP2247769B1 (en) Solid precursor sublimator
KR20200020608A (en) Solid source sublimator
US20050000428A1 (en) Method and apparatus for vaporizing and delivering reactant
KR20180074632A (en) Vessel and method for delivery of precursor materials
US20230235454A1 (en) Heating zone separation for reactant evaporation system
US20050217575A1 (en) Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US20210071301A1 (en) Fill vessels and connectors for chemical sublimators
KR20230086586A (en) Remote solid source reactant delivery systems for vapor deposition reactors
JP2006216597A (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
X701 Decision to grant (after re-examination)
GRNT Written decision to grant