KR20110090838A - Methods to prepare silicon-containing films - Google Patents

Methods to prepare silicon-containing films Download PDF

Info

Publication number
KR20110090838A
KR20110090838A KR1020110010656A KR20110010656A KR20110090838A KR 20110090838 A KR20110090838 A KR 20110090838A KR 1020110010656 A KR1020110010656 A KR 1020110010656A KR 20110010656 A KR20110010656 A KR 20110010656A KR 20110090838 A KR20110090838 A KR 20110090838A
Authority
KR
South Korea
Prior art keywords
silicon
film
dielectric film
deposition
precursor
Prior art date
Application number
KR1020110010656A
Other languages
Korean (ko)
Inventor
리우 양
만차오 시아오
빙 한
키르크 에스. 컷힐
마크 엘. 오'닐
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20110090838A publication Critical patent/KR20110090838A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Ceramic Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Silicon Compounds (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Thin Film Transistor (AREA)

Abstract

PURPOSE: A method of manufacturing a silicon bearing film is provided to form a dielectric film comprising Si, Oxide, N, C, H and B. CONSTITUTION: A method of manufacturing a silicon bearing film is as follows. A substrate is put into an ALD reactor. Silicon precursor, comprising one or more selected from a group of precursors, is introduced into the ALD reactor. The ALD reactor is purged with gas. An oxygen source is introduced into the ALD reactor and then the ALD reactor is purged with gas. Previous steps are repeated until a desired thickness of a dielectric film is obtained. The dielectric film comprises nitrogen less than about 30 atomic weight% if being measured by photoelectron spectroscopy.

Description

실리콘 함유 막을 제조하는 방법{METHODS TO PREPARE SILICON-CONTAINING FILMS}METHODS TO PREPARE SILICON-CONTAINING FILMS

관련 출원에 대한 상호 참조 Cross Reference to Related Application

본 특허 출원은 2010년 2월 4일에 우선 출원된 미국 가특허 출원 일련번호 61/301,375을 우선권으로 주장한다.This patent application claims priority to US Provisional Patent Application Serial No. 61 / 301,375, filed February 4, 2010.

발명의 배경Background of the Invention

본 발명은 다양한 전자 응용분야에 사용되는 실리콘 함유 재료 또는 막, 예를 들어 비제한적으로 화학량론적 또는 비화학량론적 실리콘 옥사이드, 실리콘 옥시니트라이드 또는 실리콘 옥시카르보니트라이드 막을 제조하기 위한 방법 및 조성물에 관한 것이다.DETAILED DESCRIPTION The present invention relates to methods and compositions for making silicon containing materials or membranes for use in various electronic applications, such as, but not limited to, stoichiometric or non stoichiometric silicon oxide, silicon oxynitride or silicon oxycarbonitride films. will be.

실리콘 옥사이드의 박막은 이의 유전 특성으로 인해 반도체 제조에서 유전체로서 흔히 사용된다. 실리콘 기반 반도체 디바이스(device)의 제조에서, 실리콘 옥사이드 막은 게이트 절연물(gate insulation), 확산 마스크(diffusion mask), 측벽 스페이서(sidewall spacer), 하드 마스크(hard mask), 반사방지 코팅(anti-reflection coating), 패시베이션(passivation) 및 인캡슐레이션(encapsulation)으로서, 그리고 다양한 다른 용도로 사용될 수 있다. 또한, 실리콘 옥사이드 막은 다른 복합 반도체 디바이스의 패시베이션을 위해 점점 더 중요해지고 있다.Thin films of silicon oxide are commonly used as dielectrics in semiconductor manufacturing because of their dielectric properties. In the fabrication of silicon-based semiconductor devices, silicon oxide films may be used as gate insulation, diffusion masks, sidewall spacers, hard masks, and anti-reflection coatings. ), As passivation and encapsulation, and for a variety of other purposes. In addition, silicon oxide films are becoming increasingly important for passivation of other composite semiconductor devices.

실리카 및 산소 뿐만 아니라 다른 원소들이 실리콘 디옥사이드 막에 존재할 수 있다. 이러한 다른 원소들은 그러한 막의 결과적인 적용분야 또는 요망되는 최종 특성에 따라 구성 혼합물 및/또는 증착 공정내로 때때로 의도적으로 첨가될 수 있다. 예를 들어, 낮은 누설 전류(leakage current)와 같은 특정한 유전 성능(dielectric performance)을 제공할 수 있는 실리콘 옥시니트라이드 막을 형성하기 위해 실리콘 옥사이드 막에 질소(N) 원소가 첨가될 수 있다. 막의 증착 온도를 감소시킬 수 있는 Ge 도핑된 실리콘 옥사이드를 제공하기 위해 실리콘 옥사이드 막에 게르마늄(G) 원소가 첨가될 수 있다. 에칭 저항성(etch resistance)을 증가시키기 위해 실리콘 옥사이드 막에 붕소(B) 또는 탄소(C)와 같은 다른 원소들이 첨가될 수 있다. 그러나, 적용분야에 따라, 특정 원소들은 막에 적은 농도 수준으로 존재하더라도 바람직하지 않을 수 있다.In addition to silica and oxygen, other elements may be present in the silicon dioxide film. Such other elements may sometimes be intentionally added into the constituent mixture and / or deposition process depending on the resulting application of the film or the desired final properties. For example, a nitrogen (N) element can be added to the silicon oxide film to form a silicon oxynitride film that can provide specific dielectric performance, such as a low leakage current. A germanium (G) element may be added to the silicon oxide film to provide a Ge doped silicon oxide that can reduce the deposition temperature of the film. Other elements, such as boron (B) or carbon (C), may be added to the silicon oxide film to increase etch resistance. However, depending on the application, certain elements may be undesirable even when present at low concentration levels in the film.

예를 들어, 실리콘 디옥사이드 막이 원자외선(DUV) 포토레지스트 아래에서 에칭 정지층(etch stop) 또는 단순히 유전체층으로서 사용되는 경우, 막에 존재하는 소량의 질소가 DUV 포토레지스트와 상호작용할 수 있고, 포토레지스트의 재료 특성을 화학적으로 증폭시킬 수 있거나 포토레지스트를 포이즈닝(poisoning)시키고, 포토레지스트의 일부가 현상제(developer)에 불용성이 되게 할 수 있다. 결과적으로, 잔류 포토레지스트가 구조의 패터닝된 피쳐 에지(patterned feature edge) 또는 측벽상에 남아있을 수 있다. 이는 반도체 디바이스의 포토리토그래피 패터닝 공정에 유해할 수 있다. For example, when a silicon dioxide film is used as an etch stop or simply a dielectric layer under an ultraviolet (DUV) photoresist, a small amount of nitrogen present in the film may interact with the DUV photoresist and the photoresist It can chemically amplify the material properties of the material or poison the photoresist and render some of the photoresist insoluble in the developer. As a result, residual photoresist may remain on the patterned feature edges or sidewalls of the structure. This can be detrimental to the photolithographic patterning process of semiconductor devices.

질소를 함유하지 않는 실리콘 옥사이드 막의 또 다른 예는 반사방지 코팅(ARC) 적용분야에서 발견될 수 있다. ARC는 레지스트 이미징(resist imaging) 동안 밑에 있는 재료 층으로부터의 반사를 억제함으로써 에너지 민감성 레지스트의 층에서 정확한 패턴 복제(pattern replication)를 제공한다. 그러나, 통상적인 ARC 재료는 질소를 함유하는데, 이러한 재료의 예로는 실리콘 니트라이드 및 티탄 니트라이드가 있다. ARC 층에 질소가 존재하면 포토레지스트 재료의 조성을 화학적으로 변화시킬 수 있다. 질소와 포토레지스트 재료 사이의 화학 반응은 "포토레지스트 포이즈닝(photoresist poisoning)"으로 일컬어질 수 있다. 전형적인 패터닝 단계들에 적용되는 포토레지스트 포이즈닝된 재료는 패터닝 후에 포토레지스트 또는 과도한 잔류 포토레지스트에 피쳐가 부정확하게 형성되게 할 수 있고, 이 둘 모두는 PR 공정, 예를 들어 에칭 공정에 유해하게 영향을 미칠 수 있다. 예를 들어, 질소는 포토레지스트 및 ARC 계면 근처에서 산을 중화시킬 수 있고 풋팅(footing)으로 알려진 잔류물 형성을 일으킬 수 있는데, 이는 피쳐의 저부와 측벽의 계면에서 요망되는 직각 보다는 곡선형 또는 원형 형상을 또한 초래할 수 있다.Another example of a silicon oxide film that does not contain nitrogen can be found in antireflective coating (ARC) applications. ARC provides accurate pattern replication in layers of energy sensitive resist by suppressing reflections from underlying material layers during resist imaging. However, conventional ARC materials contain nitrogen, examples of which are silicon nitride and titanium nitride. The presence of nitrogen in the ARC layer can chemically change the composition of the photoresist material. The chemical reaction between nitrogen and the photoresist material may be referred to as "photoresist poisoning." The photoresist poisoned material applied in typical patterning steps can cause features to be incorrectly formed in the photoresist or excessive residual photoresist after patterning, both of which adversely affect the PR process, such as the etching process. Can have For example, nitrogen can neutralize acids near the photoresist and ARC interfaces and cause residue formation, known as footing, which is curved or circular rather than the desired right angle at the interface of the bottom and sidewalls of the feature. It can also result in shape.

수 가지 적용분야의 경우, 플라즈마 강화 화학 기상 증착("PECVD") 공정은 전형적인 열화학 기상 증착("CVD") 공정 보다 낮은 증착 온도에서 실리콘 옥사이드 막을 생성시키는 데에 사용된다. 분자식 Si(OC2H5)4을 지닌 테트라에틸옥시실란("TEOS")은, 최소의 잔류 탄소 오염을 나타내는 실리콘 옥사이드 막의 PECVD 증착을 위해 하나 이상의 산소원(oxygen source), 예를 들어 비제한적으로 O2 또는 O3와 함께 사용될 수 있는 공통의 전구체이다. TEOS는 안정하고 비활성이고 증기압이 높은 액체로서 공급되고, SiH4와 같은 다른 실리콘 함유 전구체 보다 덜 위험하다.For some applications, plasma enhanced chemical vapor deposition ("PECVD") processes are used to produce silicon oxide films at lower deposition temperatures than typical thermochemical vapor deposition ("CVD") processes. Tetraethyloxysilane (“TEOS”) with the molecular formula Si (OC 2 H 5 ) 4 is one or more oxygen source, eg, non-limiting, for PECVD deposition of silicon oxide films exhibiting minimal residual carbon contamination. As a common precursor that can be used with O 2 or O 3 . TEOS is supplied as a stable, inert, high vapor pressure liquid and is less dangerous than other silicon containing precursors such as SiH 4 .

하기 이유들 중 하나 이상으로 인해 낮은 증착 온도 (예를 들어, 400℃ 미만)로 이동하려는 일반적인 경향이 존재한다: 비용 (예를 들어, 보다 저렴한 기판을 사용할 수 있음) 및 써멀 버짓(thermal budget) (예를 들어, 온도 민감성 고성능 필름들의 집적화에 기인함). 또한, PECVD TEOS 막의 경우, 갭 필(gap fill) 및 컨포말리티(conformality)가 낮은 온도에서 비교적 나을 수 있다. 그러나, PECVD TEOS 막의 막 품질은 보다 불량할 수 있는데, 이는 막이 화학량론적 조성을 지니지 않고/거나, 수소가 풍부하고/거나, 낮은 막 밀도를 지니고/거나 빠른 에칭 속도를 나타내기 때문이다. 이와 같이, TEOS 보다 더 나은 성능을 지닌 대안적인 전구체가 필요한 실정이다.There is a general tendency to move to low deposition temperatures (eg, below 400 ° C.) for one or more of the following reasons: cost (eg, cheaper substrates can be used) and thermal budget (Eg due to the integration of temperature sensitive high performance films). In addition, for PECVD TEOS films, the gap fill and conformality may be relatively good at low temperatures. However, the film quality of a PECVD TEOS film may be poorer because the film does not have a stoichiometric composition, is rich in hydrogen, and / or has a low film density and / or exhibits a fast etch rate. As such, alternative precursors with better performance than TEOS are needed.

발명의 간단한 개요A brief overview of the invention

본 발명은 질소, 탄소, 할로겐 및 수소와 같은 중요 원소를 함유하지 않거나 X선 광전자분광법(XPS)에 의해 측정한 경우 약 0 내지 약 30 원자 중량 퍼센트의 질소 및/또는 약 0 내지 약 30 원자 중량 퍼센트의 탄소를 포함하고 5% 또는 그 미만의 비균일성(non-uniformity) %를 나타내는, 실리콘과 산소를 포함하는 재료 또는 막을 형성하는 방법을 제공한다. 비균일성 %는 다음과 같은 표준 방정식을 사용하여 측정될 수 있다: 비균일성 % = ((최대값 - 최소값)/(2*평균값)). 본 명세서에 기재된 방법 및 전구체들을 사용하여 증착된 막은, 특정 경우에는 온도, 플라즈마, 플라즈마 유사(plasma-like) 방법 또는 이들의 조합의 도움에 의존함이 없이도, 매우 균일하다. 또한, 본 발명은 질소 및/또는 탄소를 실질적으로 함유하지 않거나, 비교적 소량의 질소와 탄소를 함유하는, 유전체 막 또는 코팅을 반도체 웨이퍼와 같은 처리하고자 하는 물건상에 형성하는 방법을 제공한다.The present invention does not contain important elements such as nitrogen, carbon, halogens and hydrogen, or when measured by X-ray photoelectron spectroscopy (XPS), about 0 to about 30 atomic weight percent nitrogen and / or about 0 to about 30 atomic weight Provided are a method of forming a material or film comprising silicon and oxygen, comprising percent carbon and exhibiting a non-uniformity% of 5% or less. The non-uniformity% can be measured using the following standard equation: non-uniformity% = ((max-min) / (2 * average)). Films deposited using the methods and precursors described herein are very uniform in certain cases without having to resort to the aid of temperature, plasma, plasma-like methods, or a combination thereof. The present invention also provides a method of forming a dielectric film or coating on an article to be treated, such as a semiconductor wafer, that is substantially free of nitrogen and / or carbon or that contains relatively small amounts of nitrogen and carbon.

다른 구체예들에서, 본 명세서에 기재된 방법 및 전구체는 비교적 낮은 질소 함량을 지닌 재료를 제공할 수 있는데, 이는 질소 도핑된 옥사이드 재료에 제어된 조성을 제공한다. 다른 구체예들에서, 본 명세서에 기재된 방법 및 전구체는 비교적 낮은 탄소 함량을 지닌 재료를 제공할 수 있는데, 이는 탄소 도핑된 옥사이드 재료에 제어된 조성을 제공한다. 이러한 구체예들에서, 상기 재료는 XPS에 의해 측정한 경우 약 0 내지 약 30 원자 중량 퍼센트의 질소 및/또는 탄소를 포함할 수 있다. 특정 구체예들에서, 사용되는 전구체는 순도가 매우 높은 SiO2 재료를 생성시킬 수 있는데, 여기서 탄소, 질소, 염소 및 할로겐, 그리고 XPS에 의해 정량할 수 있는 다른 화학종(species)을 포함하는 다른 원소들은 검출가능하지 않은 양으로 존재한다.In other embodiments, the methods and precursors described herein can provide a material with a relatively low nitrogen content, which provides a controlled composition to the nitrogen doped oxide material. In other embodiments, the methods and precursors described herein can provide a material with a relatively low carbon content, which provides a controlled composition to the carbon doped oxide material. In such embodiments, the material may comprise about 0 to about 30 atomic weight percent nitrogen and / or carbon as measured by XPS. In certain embodiments, the precursors used can produce very high purity SiO 2 materials, where other materials, including carbon, nitrogen, chlorine and halogens, and other species that can be quantified by XPS Elements are present in undetectable amounts.

한 가지 양태에서, 본 발명은 기판의 하나 이상의 표면상에 실리콘과 산소를 포함하는 막을 형성하는 방법을 제공하는데, 이러한 방법은,In one aspect, the invention provides a method of forming a film comprising silicon and oxygen on one or more surfaces of a substrate, the method comprising:

반응 챔버내에서 상기 기판의 하나 이상의 표면을 제공하고,Providing one or more surfaces of the substrate in a reaction chamber,

하기 화학식 I, II 및 III을 지닌 전구체들의 군으로부터 선택되는 하나 이상의 전구체를 포함하는 실리콘 전구체 및 임의로 산소원을 사용하여 화학 기상 증착 공정 및 원자층 증착(ALD) 공정으로부터 선택되는 증착 공정에 의해 상기 하나 이상의 표면상에 상기 막을 형성하는 것을 포함하며, 상기 유전체 막은 XPS에 의해 측정한 경우 약 5 원자 % 미만의 질소 또는 탄소를 포함한다:By a deposition process selected from a chemical vapor deposition process and an atomic layer deposition (ALD) process using a silicon precursor and optionally an oxygen source comprising at least one precursor selected from the group of precursors having formulas (I), (II) and (III) Forming said film on at least one surface, said dielectric film comprising less than about 5 atomic percent nitrogen or carbon as measured by XPS:

Figure pat00001
Figure pat00001

상기 식에서, R, R1 및 R2는 각각 독립적으로 알킬기, 아릴기, 아실기 또는 이들의 조합물이다. 상기 막이 질소 또는 탄소를 포함하는 구체예의 경우, 질소원(nitrogen source) 및/또는 탄소원(carbon source)이 상기 막 형성 단계 동안 또한 도입될 수 있다. 이러한 구체예들에서, NH3, N2O, NH2(CH3) 및 이들의 조합물을 포함하지만 이들에 제한되지 않는 예시적인 질소원이 막 형성 단계 및/또는 추가의 도입 단계 동안 도입될 수 있다. 탄소원과 질소원은 동일할 수 있다.Wherein R, R 1 and R 2 are each independently an alkyl group, an aryl group, an acyl group or a combination thereof. In embodiments where the membrane comprises nitrogen or carbon, a nitrogen source and / or a carbon source may also be introduced during the film formation step. In such embodiments, exemplary nitrogen sources, including but not limited to NH 3 , N 2 O, NH 2 (CH 3 ), and combinations thereof, may be introduced during the film formation step and / or further introduction steps. have. The carbon source and the nitrogen source may be the same.

또 다른 양태에서, 본 발명은 원자층 증착(ALD) 공정에 의해 실리콘과 산소를 포함하는 막을 형성하는 방법을 제공하는데, 이러한 방법은,In another aspect, the invention provides a method of forming a film comprising silicon and oxygen by an atomic layer deposition (ALD) process, which method comprises:

a. 기판을 ALD 반응기에 넣는 단계;a. Placing the substrate in an ALD reactor;

b. 하기 화학식 I, II 및 III을 지닌 전구체들의 군으로부터 선택되는 하나 이상의 전구체를 포함하는 실리콘 전구체 및 임의로 산소원을 상기 반응기내로 도입시키는 단계로서, 하기 화학식 I, II 및 III에서 R, R1 및 R2는 각각 독립적으로 알킬기, 아릴기, 아실기 또는 이들의 조합물인, 단계:b. Introducing a silicon precursor comprising at least one precursor selected from the group of precursors having formulas (I), (II) and (III) and optionally an oxygen source into the reactor, wherein R, R 1 and Each R 2 is independently an alkyl group, an aryl group, an acyl group or a combination thereof:

Figure pat00002
;
Figure pat00002
;

c. 상기 ALD 반응기를 가스로 퍼징(purging)하는 단계; c. Purging the ALD reactor with a gas;

d. 산소원을 상기 ALD 반응기내로 도입시키는 단계;d. Introducing an oxygen source into the ALD reactor;

e. 상기 ALD 반응기를 가스로 퍼징하는 단계; 및e. Purging the ALD reactor with a gas; And

f. 요망되는 두께의 상기 막이 수득될 때까지 상기 단계 b 내지 d를 반복하는 단계를 포함하며, 상기 유전체 막은 XPS에 의해 측정한 경우 약 5 원자 % 미만의 탄소 및/또는 질소를 포함한다.f. Repeating steps b to d until the film of the desired thickness is obtained, wherein the dielectric film comprises less than about 5 atomic% carbon and / or nitrogen as measured by XPS.

또 다른 양태에서, 본 발명은 ALD 또는 CVD 공정을 이용하여 기판의 하나 이상의 표면상에 실리콘 옥사이드를 포함하는 막을 형성하는 방법을 제공하는데, 이러한 방법은,In another aspect, the invention provides a method of forming a film comprising silicon oxide on one or more surfaces of a substrate using an ALD or CVD process, which method comprises:

a. 기판을 반응기에 넣고;a. Placing the substrate into the reactor;

b. 하기 화학식 I, II 및 III을 지닌 전구체들의 군으로부터 선택되는 하나 이상의 전구체를 포함하는 실리콘 전구체 및 임의로 산소원을 상기 반응기내로 도입하여 상기 하나 이상의 표면상에 상기 막을 증착시키는 것을 포함하며, 상기 유전체 막은 XPS에 의해 측정한 경우 약 0 원자 중량% 내지 약 30 원자 중량%의 탄소 및/또는 질소를 포함한다.b. A silicon precursor comprising at least one precursor selected from the group of precursors having Formulas I, II and III and optionally introducing an oxygen source into the reactor to deposit the film on the at least one surface, wherein the dielectric The membrane comprises from about 0 atomic weight percent to about 30 atomic weight percent carbon and / or nitrogen as measured by XPS.

발명의 상세한 설명Detailed description of the invention

본 발명은 매우 균일한 유전체 막을 형성하는 방법을 제공한다 (예를 들어 이러한 막은 다음과 같은 표준 방정식을 이용하여 측정한 경우 5% 또는 그 미만의 비균일성 %를 나타낸다: 비균일성 % = (최대값 - 최소값)/(2*평균값)). 본 명세서에 기재된 방법을 이용하여 생성된 유전체 막은 대체로 실리콘과 산소를 주로 함유한다. 특정 구체예들에서, 유전체 막은 임의의 다른 원소, 예를 들어 질소, 탄소, 염소 및 할로겐, 및 수소를 실질적으로 함유하지 않는다. 본 명세서에서 사용되는 용어 "실질적으로 함유하지 않는"이란 XPS에 의해 측정한 경우 2 원자 중량% 또는 그 미만의 질소를 포함하는 막을 의미한다. 다른 구체예들에서, 유전체 막은 질소 및/또는 탄소와 같은 다른 원소들을 약 2 원자% 내지 약 30 원자%의 범위의 양으로 포함하고, 공정에 이용되는 공정 조건 또는 첨가제에 따라 다른 원소들을 함유할 수 있다. 특정 구체예들에서, 본 명세서에 기재된 방법은 플라즈마 도움(plasma assist)을 필요로 하지 않고/거나 낮은 온도 (예를 들어, 600℃ 또는 그 미만)에서 수행된다. 또 다른 구체예에서, 본 명세서에 기재된 방법은 저온 (예를 들어, 450℃ 또는 그 미만) 열 공정을 이용하여 수행된다. 본 명세서에 기재된 막은 유전체 막이며, 이는 이러한 막이 전형적으로 7 또는 그 미만이거나 6 또는 그 미만이거나 5 또는 그 미만인 유전 상수를 나타냄을 의미한다. 특정 구체예들에서, 생성된 재료는 붕소, 알루미늄, 및/또는 상기 재료의 바람직한 특징에 기여할 수 있는 다른 원소들과 같은 원소들을 또한 함유할 수 있다. 이러한 원소들은 별도의 첨가제들의 원소로서 또는 주요 전구체의 치환기로서 공정내로 도입될 수 있다.The present invention provides a method of forming a very uniform dielectric film (e.g., such a film shows a% non-uniformity of 5% or less as measured using the following standard equation:% non-uniformity = ( Max-min) / (2 * average)). Dielectric films produced using the methods described herein generally contain mainly silicon and oxygen. In certain embodiments, the dielectric film is substantially free of any other element, such as nitrogen, carbon, chlorine and halogen, and hydrogen. As used herein, the term "substantially free" means a membrane comprising 2 atomic weight percent or less nitrogen as measured by XPS. In other embodiments, the dielectric film may contain other elements, such as nitrogen and / or carbon, in amounts ranging from about 2 atomic% to about 30 atomic%, and may contain other elements depending on the process conditions or additives used in the process. Can be. In certain embodiments, the methods described herein do not require plasma assist and / or are performed at low temperatures (eg, 600 ° C. or less). In another embodiment, the methods described herein are performed using a low temperature (eg, 450 ° C. or less) thermal process. The membranes described herein are dielectric membranes, meaning that such membranes exhibit dielectric constants that are typically 7 or less, 6 or less, or 5 or less. In certain embodiments, the resulting material may also contain elements such as boron, aluminum, and / or other elements that may contribute to the desired characteristics of the material. These elements may be introduced into the process as elements of separate additives or as substituents of the main precursor.

유전체 막 또는 코팅을 형성하기 위해 사용되는 방법은 증착 공정이다. 본 명세서에 기재된 방법을 위해 적절한 증착 공정의 예로는 비제한적으로 사이클릭 CVD(CCVD), MOCVD(금속 유기 CVD), 열 화학 기상 증착(thermal chemical vapor deposition), 플라즈마 강화 화학 기상 증착(plasma enhanced chemical vapor deposition, PECVD), 고밀도 PECVD, 광자 보조형 CVD(photon assisted CVD), 플라즈마-광자 보조형 CVD(PPECVD), 저온 화학 기상 증착(cryogenic chemical vapor deposition), 케미컬 보조형 기상 증착(chemical assisted vapor deposition), 고온 필라멘트 화학 기상 증착(hot-filament chemical vapor deposition), 액체 폴리머 전구체의 CVD, 초임계 유체로부터의 증착, 및 저에너지(low energy) CVD(LECVD)가 있다. 특정 구체예들에서, 금속 함유 필름은 플라즈마 강화 ALD(PEALD) 또는 플라즈마 강화 사이클릭 CVD(PECCVD) 공정에 의해 증착된다. 본 명세서에서 사용되는 용어 "화학 기상 증착 공정"은 기판이 하나 이상의 휘발성 전구체에 노출되는 임의의 공정을 지칭하며, 여기서 상기 전구체는 기판 표면상에서 반응하고/거나 분해되어 요망되는 증착물을 생성시킨다. 본 명세서에서 사용되는 용어 "원자층 증착 공정"은, 조성이 다양한 기판상에 균일한(conformal) 막 또는 재료를 증착시키는, 자기제한적(self-limiting) (예를 들어, 각각의 반응 사이클에서 증착되는 막 재료의 양이 일정함)인 순차적 표면 화학을 지칭한다. 본 발명에서 사용되는 전구체, 시약 및 공급원(source)이 때때로 "기체(gaseous)"로서 기재될 수 있지만, 상기 전구체는 직접 기화, 버블링(bubbling) 또는 승화(sublimation)에 의해 비활성 가스와 함께 또는 비활성 가스없이 반응기내로 운반되는 액체 또는 고체일 수 있는 것으로 이해된다. 일부 경우, 기화된 전구체는 플라즈마 발생기를 통과할 수 있다. 한 가지 구체예에서, 유전체 막은 ALD 공정을 이용하여 증착된다. 또 다른 구체예에서, 유전체 막은 CCVD 공정을 이용하여 증착된다. 추가의 구체예에서, 유전체 막은 열 CVD 공정을 이용하여 증착된다. 또 다른 구체예에서, 전구체는 최소 반응을 일으키며 기판상으로 응축된 후, 후처리(post-treatment)됨으로써, 재료가 고체 상태가 되게 하고 증착시키고자 하는 물품에 부착되는 것을 보조할 수 있다. 화학 전구체로부터 막을 형성하기 위해 공정 조건들을 사용할 수 있게 하는 다수의 방법들이 존재하지만, 증착된 재료의 최종 특성은 상기 화학 전구체의 성질 또는 이러한 전구체와 함께 사용되는 첨가제의 성질에 의해 특유하게 정해질 수 있는 것으로 인식될 수 있다.The method used to form the dielectric film or coating is a deposition process. Examples of suitable deposition processes for the methods described herein include, but are not limited to, cyclic CVD (CCVD), metal organic CVD (MOCVD), thermal chemical vapor deposition, plasma enhanced chemical vapor deposition. vapor deposition (PECVD), high density PECVD, photon assisted CVD, plasma-photon assisted CVD (PPECVD), cryogenic chemical vapor deposition, chemical assisted vapor deposition ), Hot-filament chemical vapor deposition, CVD of liquid polymer precursors, deposition from supercritical fluids, and low energy CVD (LECVD). In certain embodiments, the metal containing film is deposited by a plasma enhanced ALD (PEALD) or plasma enhanced cyclic CVD (PECCVD) process. As used herein, the term “chemical vapor deposition process” refers to any process in which a substrate is exposed to one or more volatile precursors, where the precursor reacts and / or decomposes on the substrate surface to produce the desired deposit. As used herein, the term “atomic layer deposition process” refers to self-limiting (eg, deposition in each reaction cycle), which deposits a conformal film or material onto a substrate of varying composition. Sequential surface chemistry). Although precursors, reagents and sources used in the present invention may sometimes be described as "gaseous", the precursors may be combined with an inert gas or by direct vaporization, bubbling or sublimation, or It is understood that it can be a liquid or a solid carried into the reactor without an inert gas. In some cases, the vaporized precursor may pass through a plasma generator. In one embodiment, the dielectric film is deposited using an ALD process. In another embodiment, the dielectric film is deposited using a CCVD process. In further embodiments, the dielectric film is deposited using a thermal CVD process. In another embodiment, the precursor can be condensed onto the substrate with minimal reaction and then post-treatment to assist in bringing the material to a solid state and adhering to the article to be deposited. There are a number of methods that allow the use of process conditions to form a film from chemical precursors, but the final properties of the deposited material may be uniquely determined by the nature of the chemical precursor or the additive used with such precursor. It can be recognized that there is.

특정 구체예들에서, 본 명세서에 기재된 방법은 반응기에 도입하기 전 및/또는 반응기에 도입하는 동안 전구체들을 분리시키는 ALD 또는 CCVD 방법을 사용함으로써 전구체들의 사전 반응(pre-reaction)을 방지한다. 이와 관련하여, ALD 또는 CCVD 공정과 같은 증착 기술이 유전체 막을 증착시키기 위해 사용된다. 한 가지 구체예에서, 막은 기판 표면을 하나 이상의 실리콘 함유 전구체, 산소원, 또는 다른 전구체 또는 시약에 택일적으로 노출시킴으로써 ALD 공정에 의해 증착된다. 막 성장은 표면 반응, 각각의 전구체 또는 시약의 펄스(pulse) 길이, 및 증착 온도의 자기제한적 제어에 의해 진행된다. 그러나, 기판의 표면이 포화된 경우, 막 성장은 정지한다.In certain embodiments, the method described herein prevents pre-reaction of precursors by using an ALD or CCVD method that separates precursors prior to introduction into and / or during introduction into the reactor. In this regard, deposition techniques such as ALD or CCVD processes are used to deposit the dielectric film. In one embodiment, the film is deposited by an ALD process by alternatively exposing the substrate surface to one or more silicon containing precursors, oxygen sources, or other precursors or reagents. Film growth proceeds by surface reaction, pulse length of each precursor or reagent, and self-limiting control of the deposition temperature. However, when the surface of the substrate is saturated, film growth stops.

특정 구체예들에서, 전구체는 순수한(neat) 상태로 또는 추가의 반응물 또는 첨가제없이 도입됨으로써 응축되거나 피처(feature)를 채우거나 표면을 평탄화시킨 후, 반응물 단계를 거치는데, 이러한 반응물 단계는 전구체가 반응하게 하거나 고체를 형성한다. 특정 구체예들에서, 이러한 공정은 산화 공정, 촉매, 또는 다른 에너지 형태 (화학, 열, 복사, 플라즈마, 광자, 또는 임의의 다른 이온화 또는 비이온화 복사 에너지)를 사용하여 전구체 및 임의의 첨가제를 변화시켜서 고체 재료를 형성한다.In certain embodiments, the precursor is introduced neat or without additional reactants or additives to condense, fill a feature or planarize the surface, and then undergo a reactant step, which reacts with the precursor. React or form a solid. In certain embodiments, this process changes the precursor and any additives using an oxidation process, a catalyst, or other form of energy (chemical, heat, radiation, plasma, photon, or any other ionized or non-ionized radiant energy). To form a solid material.

실질적으로 질소를 함유하지 않는, 실리콘과 산소를 포함하는 유전체 막을 형성하기 위해, 실리콘 함유 전구체가 질소를 함유하지 않는 것이 바람직하다. 특정 구체예들에서는 전구체가 비교적 낮은 온도 (예를 들어, 400℃ 또는 그 미만)에서 막을 증착시키기에 충분히 반응성인 것이 또한 바람직하다. 전구체 반응성에 대한 요망에도 불구하고, 전구체는 시간이 지남에 따라 임의의 현저한 정도로 분해되거나 변화하지 않기에 또한 충분히 안정해야 한다 (예를 들어, 1% 미만의 년간 변화율). 또한, 이러한 구체예들 또는 다른 구체예들에서, 증착 방법이 플라즈마없이 수행되는 것이 바람직하다. 이론으로 제한시키고자 하는 것은 아니지만, 치환된 실란의 산화하려는 반응성은 실리콘 원자에 결합된 수소 원자의 개수에 비례하는 것으로 믿어진다.In order to form a dielectric film containing silicon and oxygen, which is substantially free of nitrogen, it is preferred that the silicon-containing precursor does not contain nitrogen. In certain embodiments it is also preferred that the precursor is sufficiently reactive to deposit the film at a relatively low temperature (eg, 400 ° C. or less). Despite the desire for precursor reactivity, the precursor must also be stable enough to not decompose or change to any significant extent over time (eg, an annual rate of change of less than 1%). In addition, in these or other embodiments, it is preferred that the deposition method be performed without a plasma. Without wishing to be bound by theory, it is believed that the reactivity to oxidize substituted silanes is proportional to the number of hydrogen atoms bonded to the silicon atoms.

본 명세서에 기재된 방법은 하기 화학식 I, II 및 III을 지닌 전구체들의 군으로부터 선택되는 하나 이상의 전구체를 포함하는 실리콘 전구체로부터 선택되는 실리콘 함유 전구체; 임의로 추가의 실리콘 함유 전구체, 임의로 산소원 또는 산소 시약, 그리고 임의로 환원제를 사용하여 유전체 막을 형성한다:The methods described herein include silicon containing precursors selected from silicon precursors including one or more precursors selected from the group of precursors having Formulas I, II, and III; Optionally a further silicon containing precursor, optionally an oxygen source or oxygen reagent, and optionally a reducing agent are used to form the dielectric film:

Figure pat00003
Figure pat00003

상기 식에서, R, R1 및 R2는 각각 독립적으로 알킬기, 아릴기, 아실기 또는 이들의 조합물이다. 증착을 위한 전구체 재료의 선택은 요망되는 결과적인 유전체 재료 또는 막에 좌우된다. 예를 들어, 전구체 재료는 이의 화학 원소들의 함량, 이의 화학 원소들의 화학량론적 비율, 및/또는 CVD하에 형성되는 결과적인 유전체 막 또는 코팅에 대해 선택될 수 있다. 또한, 전구체 재료는 다양한 다른 특성, 예를 들어 비용, 안정성, 무독성(non-toxicity), 취급 특성, 실온에서 액체상을 유지하는 능력, 휘발성, 분자량, 또는 이들의 조합에 대해 선택될 수 있다.Wherein R, R 1 and R 2 are each independently an alkyl group, an aryl group, an acyl group or a combination thereof. The choice of precursor material for deposition depends on the resulting dielectric material or film desired. For example, the precursor material may be selected for the content of its chemical elements, the stoichiometric ratio of its chemical elements, and / or the resulting dielectric film or coating formed under CVD. In addition, the precursor material may be selected for a variety of other properties such as cost, stability, non-toxicity, handling properties, ability to maintain a liquid phase at room temperature, volatility, molecular weight, or combinations thereof.

본 명세서에 기재된 방법의 한 가지 구체예에서, 유전체 막은 하기 화학식 I, II 및 III을 지닌 전구체들의 군으로부터 선택되는 하나 이상의 전구체를 포함하는 실리콘 전구체를 사용하여 형성된다:In one embodiment of the methods described herein, the dielectric film is formed using a silicon precursor comprising one or more precursors selected from the group of precursors having Formulas I, II, and III:

Figure pat00004
Figure pat00004

상기 식에서, R, R1 및 R2는 각각 독립적으로 알킬기, 아릴기, 아실기 또는 이들의 조합물이다. 화학식 I 내지 III에서 그리고 본 명세서 전반에 걸쳐서, "알킬"이란 용어는 1개 내지 20개, 또는 1개 내지 12개 또는 1개 내지 6개의 탄소 원자를 지닌 선형, 분지형 또는 시클릭 작용기를 의미한다. 예시적인 알킬기로는 비제한적으로 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 2차-부틸, 3차-부틸, 펜틸, 헥실, 옥틸, 데실, 도데실, 테트라데실, 옥타데실, 이소펜틸, 및 3차-펜틸이 있다. 화학식 I 내지 III에서 그리고 본 명세서 전반에 걸쳐서, "아릴"이란 용어는 6개 내지 12개의 탄소 원자를 지닌 시클릭 작용기를 의미한다. 예시적인 알킬기로는 비제한적으로 페닐, 벤질, 톨릴, 및 o-크실릴이 있다.Wherein R, R 1 and R 2 are each independently an alkyl group, an aryl group, an acyl group or a combination thereof. In Formulas I-III and throughout this specification, the term "alkyl" means a linear, branched or cyclic functional group having 1 to 20, or 1 to 12 or 1 to 6 carbon atoms. do. Exemplary alkyl groups include, but are not limited to, methyl, ethyl, propyl, isopropyl, butyl, isobutyl, secondary-butyl, tert-butyl, pentyl, hexyl, octyl, decyl, dodecyl, tetradecyl, octadecyl, iso Pentyl, and tert-pentyl. In Formulas I through III and throughout this specification, the term "aryl" refers to a cyclic functional group having 6 to 12 carbon atoms. Exemplary alkyl groups include, but are not limited to, phenyl, benzyl, tolyl, and o-xylyl.

특정 구체예들에서, 알킬기, 아릴기 및/또는 아실기 중 하나 이상은 치환되거나 치환되지 않을 수 있거나 수소 원자 대신 치환된 하나 이상의 원자 또는 원자군을 지닐 수 있다. 예시적인 치환기로는 비제한적으로 산소, 황, 할로겐 원자 (예를 들어, F, Cl, I, 또는 Br), 질소, 붕소, 및 인이 있다. 특정 구체예들에서, 화학식 I 내지 III을 지닌 실리콘 함유 전구체는 산소 원자를 포함하는 하나 이상의 치환기를 지닐 수 있다. 이러한 구체예들의 경우, 증착 공정 동안 산소원에 대한 필요성이 제거될 수 있다. 다른 구체예들에서, 화학식 I 내지 III을 지닌 실리콘 함유 전구체는 산소 원자를 포함하는 하나 이상의 치환기를 지니며 산소원을 또한 사용한다.In certain embodiments, one or more of the alkyl groups, aryl groups and / or acyl groups may be substituted or unsubstituted or may have one or more atoms or groups of atoms substituted in place of hydrogen atoms. Exemplary substituents include, but are not limited to, oxygen, sulfur, halogen atoms (eg, F, Cl, I, or Br), nitrogen, boron, and phosphorus. In certain embodiments, silicon containing precursors having Formulas (I) through (III) may have one or more substituents that include an oxygen atom. In such embodiments, the need for an oxygen source during the deposition process can be eliminated. In other embodiments, silicon containing precursors having Formulas (I) through (III) have one or more substituents that include oxygen atoms and also use an oxygen source.

특정 구체예들에서, 알킬기, 아릴기, 및/또는 아실기 중 하나 이상은 포화되거나 포화되지 않을 수 있다. 하나 이상의 알킬기 또는 아릴기가 불포화된 구체예들의 경우, 이러한 기는 하나 이상의 이중 결합 또는 삼중 결합을 함유한다.In certain embodiments, one or more of the alkyl group, aryl group, and / or acyl group may or may not be saturated. In embodiments where one or more alkyl or aryl groups are unsaturated, such groups contain one or more double bonds or triple bonds.

화학식 I을 지닌 실리콘 함유 전구체의 예로는 3차부톡시실란, 이소프로폭시실란, 에톡시실란, n-부톡시실란, 이소부톡시실란, 메톡시실란, 또는 페녹시실란이 있다. 화학식 II를 지닌 실리콘 함유 전구체의 예로는 디-3차-부톡시실란, 디이소-프로폭시실란, 디에톡시실란, 디-n-부톡시실란, 디이소부톡시실란, 디메톡시실란, 또는 디페녹시실란이 있다. 화학식 III을 지닌 실리콘 함유 전구체의 예로는 트리-3차-부톡시실란, 트리이소-프로폭시실란, 트리에톡시실란, 트리-n-부톡시실란, 트리이소-부톡시실란, 트리메톡시실란, 또는 트리페녹시실란이 있다. 본 명세서에 기재된 방법의 한 가지 구체예에서, 실리콘 함유 전구체는 하기 전구체들 중 적어도 하나를 포함한다:Examples of silicon-containing precursors having Formula I include tert-butoxysilane, isopropoxysilane, ethoxysilane, n-butoxysilane, isobutoxysilane, methoxysilane, or phenoxysilane. Examples of silicon-containing precursors having Formula II include di-tert-butoxysilane, diiso-propoxysilane, diethoxysilane, di-n-butoxysilane, diisobutoxysilane, dimethoxysilane, or diphenoxy There is a sisilane. Examples of silicon-containing precursors having Formula III include tri-tert-butoxysilane, triiso-propoxysilane, triethoxysilane, tri-n-butoxysilane, triiso-butoxysilane, trimethoxysilane Or triphenoxysilane. In one embodiment of the methods described herein, the silicon containing precursor comprises at least one of the following precursors:

Figure pat00005
Figure pat00005

한 가지 특정 구체예에서, 실리콘 함유 전구체는 디-3차-부톡시실란을 포함한다.In one specific embodiment, the silicon containing precursor comprises di-tert-butoxysilane.

특정 구체예들에서, 본 명세서에 기재된 방법은 상기 기재된 화학식 I 내지 III을 지닌 실리콘 함유 전구체가 아닌 하나 이상의 추가의 실리콘 함유 전구체를 추가로 포함한다. 추가의 실리콘 함유 전구체의 예로는 비제한적으로 유기-실리콘 화합물, 예를들어 실록산 (예를 들어, 헥사메틸 디실록산(HMDSO) 및 디메틸 실록산(DMSO)); 유기실란 (예를 들어, 메틸실란; 디메틸실란; 비닐 트리메틸실란; 트리메틸실란; 테트라메틸실란; 에틸실란; 디실릴메탄; 2,4-디실라펜탄; 1,2-디실라노에탄; 2,5-디실라헥산; 2,2-디실릴프로판; 1,3,5-트리실라시클로헥산, 및 이들 화합물의 플루오르화된 유도체; 페닐 함유 유기실리콘 화합물 (예를 들어, 디메틸페닐실란 및 디페닐메틸실란); 산소 함유 유기실리콘 화합물, 예를 들어 디메틸디메톡시실란; 1,3,5,7-테트라메틸시클로테트라실록산; 1,1,3,3-테트라메틸디실록산; 1,3,5,7-테트라실라-4-옥소-헵탄; 2,4,6,8-테트라실라-3,7-디옥소-노난; 2,2-디메틸-2,4,6,8-테트라실라-3,7-디옥소-노난; 옥타메틸시클로테트라실록산; [1,3,5,7,9]-펜타메틸시클로펜타실록산; 1,3,5,7-테트라실라-2,6-디옥소-시클로옥탄; 헥사메틸시클로트리실록산; 1,3-디메틸디실록산; 1,3,5,7,9-펜타메틸시클로펜타실록산; 헥사메톡시디실록산, 및 이들 화합물의 플루오르화된 유도체; 및 질소 함유 유기실리콘 화합물 (예를 들어, 헥사메틸디실라잔; 디비닐테트라메틸디실라잔; 헥사메틸시클로트리실라잔; 디메틸비스(N-메틸아세트아미도)실란; 디메틸비스-(N-에틸아세트아미도)실란; 비스(3차-부틸아미노)실란(BTBAS), 비스(3차-부틸아미노)메틸실란(BTBMS), 비스(N-메틸아세트아미도)메틸비닐실란; 비스(N-부틸아세트아미도)메틸비닐실란; 트리스(N-페닐아세트아미도)메틸실란; 트리스(N-에틸아세트아미도)비닐실란; 테트라키스(N-메틸아세트아미도)실란; 비스(디에틸아민옥시)디페닐실란; 트리스(디에틸아민옥시)메틸실란; 및 비스(트리메틸실릴)카르보디이미드)이 있다. In certain embodiments, the methods described herein further comprise one or more additional silicon containing precursors that are not silicon containing precursors having Formulas I-III described above. Examples of further silicon containing precursors include, but are not limited to, organo-silicon compounds, such as siloxanes (eg, hexamethyl disiloxane (HMDSO) and dimethyl siloxane (DMSO)); Organosilanes (eg, methylsilane; dimethylsilane; vinyl trimethylsilane; trimethylsilane; tetramethylsilane; ethylsilane; disilylmethane; 2,4-disilapentane; 1,2-disilanoethane; 2, 5-disilahexane; 2,2-disylylpropane; 1,3,5-trisilacyclohexane, and fluorinated derivatives of these compounds; phenyl containing organosilicon compounds (e.g., dimethylphenylsilane and diphenyl Methylsilane); oxygen-containing organosilicon compounds such as dimethyldimethoxysilane; 1,3,5,7-tetramethylcyclotetrasiloxane; 1,1,3,3-tetramethyldisiloxane; 1,3,5 , 7-tetrasila-4-oxo-heptane; 2,4,6,8-tetrasila-3,7-dioxo-nonane; 2,2-dimethyl-2,4,6,8-tetrasila-3 , 7-dioxo-nonane; octamethylcyclotetrasiloxane; [1,3,5,7,9] -pentamethylcyclopentasiloxane; 1,3,5,7-tetrasila-2,6-dioxo- Cyclooctane; hexamethylcyclotrisiloxane; 1,3-dimethyldisiloxane; 1,3,5,7,9-pentamethyl Clopentasiloxane, hexamethoxydisiloxane, and fluorinated derivatives of these compounds, and nitrogen-containing organosilicon compounds (eg, hexamethyldisilazane; divinyltetramethyldisilazane; hexamethylcyclotrisilazane; Dimethylbis (N-methylacetamido) silane; dimethylbis- (N-ethylacetamido) silane; bis (tert-butylamino) silane (BTBAS), bis (tert-butylamino) methylsilane (BTBMS ), Bis (N-methylacetamido) methylvinylsilane; bis (N-butylacetamido) methylvinylsilane; tris (N-phenylacetamido) methylsilane; tris (N-ethylacetamido) vinyl Silane; tetrakis (N-methylacetamido) silane; bis (diethylamineoxy) diphenylsilane; tris (diethylamineoxy) methylsilane; and bis (trimethylsilyl) carbodiimide).

특정 구체예들에서, 실리콘 함유 전구체는 하나 이상의 N-H 조각(fragment) 및 하나 이상의 Si-H 조각을 지닌 질소 함유 유기실리콘 전구체를 포함한다. N-H 조각과 Si-H 조각을 모두 함유하는 적절한 전구체로는 예를 들어 비스(3차-부틸아미노)실란(BTBAS), 트리스(3차-부틸아미노)실란, 비스(이소-프로필아미노)실란, 트리스(이소-프로필아미노)실란, 및 이들의 혼합물이 있다. 한 가지 구체예에서, 전구체는 화학식 (R5NH)nSiR6 mH4-(n+m)을 지니는데, 여기서 R5 및 R6는 동일하거나 상이하며 알킬, 비닐, 알릴, 페닐, 시클릭 알킬, 플루오로알킬, 및 실릴알킬로 구성된 군으로부터 독립적으로 선택되고, n은 1 내지 3의 숫자이고, m은 0 내지 2의 숫자이고, "n+m"의 합은 3 또는 그 미만의 숫자이다. 또 다른 구체예에서, 실리콘 함유 전구체는 화학식 (R7 2N-NH)xSiR8 yH4-(x+y)을 지닌 히드라지노실란을 포함하는데, 여기서 R7과 R8은 동일하거나 상이하며 알킬, 비닐, 알릴, 페닐, 시클릭 알킬, 플루오로알킬, 실릴알킬로 구성된 군으로부터 독립적으로 선택되고, x는 1 내지 2의 숫자이고, y는 0 내지 2의 숫자이고, "x+y"의 합은 3 또는 그 미만의 숫자이다. 적절한 히드라지노실란 전구체의 예로는 비제한적으로 비스(1,1-디메틸히드라지노)실란, 트리스(1,1-디메틸히드라지노)실란, 비스(1,1-디메틸히드라지노)에틸실란, 비스(1,1-디메틸히드라지노)이소프로필실란, 비스(1,1-디메틸히드라지노)비닐실란, 및 이들의 혼합물이 있다. 특정 구체예들에서, 전구체 또는 첨가제는 할로겐화된 실란, 보란, 보라진, 보레이트, 및 이들의 변형체를 추가로 포함한다.In certain embodiments, the silicon containing precursor comprises a nitrogen containing organosilicon precursor with one or more NH fragments and one or more Si-H fragments. Suitable precursors containing both NH and Si-H fragments include, for example, bis (tert-butylamino) silane (BTBAS), tris (tert-butylamino) silane, bis (iso-propylamino) silane, Tris (iso-propylamino) silane, and mixtures thereof. In one embodiment, the precursor has the formula ( R5 NH) n Si R6 mH4- (n + m) , wherein R5 and R6 are the same or different and are alkyl, vinyl, allyl, phenyl, cyclic alkyl, fluoro Independently selected from the group consisting of alkyl, and silylalkyl, n is a number from 1 to 3, m is a number from 0 to 2, and the sum of “n + m” is 3 or less. In another embodiment, the silicon containing precursor comprises hydrazinosilanes having the formula (R 7 2 N-NH) x SiR 8 y H 4- (x + y) , wherein R 7 and R 8 are the same or different And independently selected from the group consisting of alkyl, vinyl, allyl, phenyl, cyclic alkyl, fluoroalkyl, silylalkyl, x is a number from 1 to 2, y is a number from 0 to 2, and “x + y The sum of "is a number of 3 or less. Examples of suitable hydrazinosilane precursors include, but are not limited to, bis (1,1-dimethylhydrazino) silane, tris (1,1-dimethylhydrazino) silane, bis (1,1-dimethylhydrazino) ethylsilane, bis ( 1,1-dimethylhydrazino) isopropylsilane, bis (1,1-dimethylhydrazino) vinylsilane, and mixtures thereof. In certain embodiments, the precursor or additive further comprises halogenated silanes, boranes, borazines, borates, and variants thereof.

증착 방법에 따라, 특정 구체예들의 경우, 하나 이상의 실리콘 함유 전구체가 소정의 몰 부피 또는 약 0.1 내지 약 1000 마이크로몰로 반응기내로 도입될 수 있다. 이러한 구체예 또는 다른 구체예들에서, 실리콘 함유 전구체는 소정의 시간 또는 약 0.001 내지 약 500초 동안 반응기내로 도입될 수 있다.Depending on the deposition method, for certain embodiments, one or more silicon containing precursors may be introduced into the reactor at a predetermined molar volume or from about 0.1 to about 1000 micromoles. In these or other embodiments, the silicon containing precursor may be introduced into the reactor for a predetermined time or from about 0.001 to about 500 seconds.

앞서 언급된 바와 같이, 본 명세서에 기재된 방법을 이용하여 증착되는 유전체 막의 일부는 산소원, 산소 시약 또는 산소를 포함하는 전구체를 사용하여 산소의 존재하에서 형성될 수 있다. 산소원은 하나 이상의 산소원의 형태로 반응기내로 도입될 수 있고/거나 증착 공정에 사용되는 다른 전구체들에 부수적으로 존재할 수 있다. 적절한 산소원 가스로는 예를 들어 물(H2O) (예를 들어, 탈이온수, 정제수, 및/또는 증류수), 산소(O2), 산소 플라즈마, 오존(O3), NO, NO2, 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합물이 있을 수 있다. 특정 구체예들에서, 산소원은 전형적으로 약 1 내지 약 2000 표준 입방 센티미터(standard cubic centimeter, sccm) 범위의 유량으로 반응기내로 도입되는 산소원 가스를 포함하는데, 상기 유량 범위는 반응 공정, 요망되는 재료, 기판 크기, 증착 속도 등에 좌우된다. 산소원은 전구체 도입 전에, 전구체와 동시에, 반복 사이클 방식으로 전구체와 순차적으로, 또는 모든 전구체가 도입된 후에 도입될 수 있다. 한 가지 특정 구체예에서, 산소원은 물을 포함한다. 막이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예들의 경우, 전구체 펄스는 0.01 보다 긴 펄스 지속시간을 지니고, 산소원은 0.01초 보다 긴 펄스 지속시간을 지닐 수 있으며, 물 펄스 지속시간은 0.01초 보다 긴 펄스 지속시간을 지닐 수 있다. 또 다른 구체예에서, 펄스와 펄스 사이의 퍼지(purge) 지속시간은 0.01초 만큼 짧을 수 있거나 중간 퍼지없이 연속적으로 펄스가 일어난다.As mentioned above, a portion of the dielectric film deposited using the methods described herein may be formed in the presence of oxygen using an oxygen source, an oxygen reagent or a precursor comprising oxygen. The oxygen source may be introduced into the reactor in the form of one or more oxygen sources and / or additionally present in other precursors used in the deposition process. Suitable oxygen source gases include, for example, water (H 2 O) (eg, deionized water, purified water, and / or distilled water), oxygen (O 2 ), oxygen plasma, ozone (O 3 ), NO, NO 2 , Carbon monoxide (CO), carbon dioxide (CO 2 ), and combinations thereof. In certain embodiments, the oxygen source typically comprises an oxygen source gas introduced into the reactor at a flow rate in the range of about 1 to about 2000 standard cubic centimeters (sccm), the flow rate range being a reaction process, desired. Depending on the material, substrate size, deposition rate, and the like. The oxygen source may be introduced prior to precursor introduction, simultaneously with the precursor, sequentially with the precursor in a repetitive cycle manner, or after all precursors have been introduced. In one particular embodiment, the oxygen source comprises water. For embodiments in which the film is deposited by an ALD or cyclic CVD process, the precursor pulse may have a pulse duration longer than 0.01, the oxygen source may have a pulse duration longer than 0.01 seconds, and the water pulse duration is 0.01 seconds. It can have a longer pulse duration. In another embodiment, the purge duration between pulses can be as short as 0.01 seconds or pulses occur continuously without an intermediate purge.

본 명세서에 기재된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징해내기 위해 사용되는 퍼지 가스는 특정 구체예들의 경우에는 전구체와 반응하지 않는 비활성 가스이다. 예시적인 비활성 가스로는 비제한적으로 Ar, N2, He, Xe, 네온, H2 및 이들의 혼합물이 있다. 특정 구체예들에서, Ar과 같은 퍼지 가스를 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 반응기내로 공급함으로써 반응하지 않은 재료 및 반응기에 남아있을 수 있는 임의의 부산물을 퍼징한다.The deposition methods described herein can include one or more purge gases. The purge gas used to purge unconsumed reactants and / or reaction by-products is an inert gas that does not react with the precursor in certain embodiments. Exemplary inert gases include, but are not limited to, Ar, N 2 , He, Xe, neon, H 2, and mixtures thereof. In certain embodiments, a purge gas such as Ar is fed into the reactor at a flow rate in the range of about 10 to about 2000 sccm for about 0.1 to 1000 seconds to purge unreacted material and any by-products that may remain in the reactor. .

특정 구체예에서, 예컨대, 유전 상수가 질소 및/또는 탄소 및/또는 다른 화학종들의 성분을 추가로 포함하는 구체예들에서, 추가의 가스 예컨대 질소원 가스가 반응기에 도입될 수 있다. 첨가제의 예들은, 예를 들어, NO, NO2, 암모니아, 암모니아 플라즈마, 히드라진, 모노알킬히드라진, 디알킬히드라진, 탄화수소, 헤테로원자 탄화수소, 보란, 보레이트, 보라진, 및 이들의 조합물을 포함할 수 있다. In certain embodiments, for example, in embodiments where the dielectric constant further comprises components of nitrogen and / or carbon and / or other species, additional gases such as nitrogen source gas may be introduced into the reactor. Examples of additives may include, for example, NO, NO 2 , ammonia, ammonia plasma, hydrazine, monoalkylhydrazines, dialkylhydrazines, hydrocarbons, heteroatomic hydrocarbons, boranes, borates, borazines, and combinations thereof. Can be.

본 명세서에 기재된 방법의 특정 구체예에서, 반응기 또는 증착 챔버의 온도는 주위 온도(예를 들어, 25℃) 내지 약 700℃의 범위가 될 수 있다. ALD 또는 CVD 증착을 위한 예시적인 반응기 온도는 어느 하나 이상의 하기 종료점(endpoint)들을 갖는 범위를 포함한다: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, 600, 625, 650, 675, 또는 700℃. 특정한 반응기 온도 범위의 예들은 25℃ 내지 375℃, 또는 75℃ 내지 700℃, 또는 325℃ 내지 675℃를 포함하지만, 이들로 제한되는 것은 아니다. 이들 또는 다른 구체예에서, 압력은 약 0.1 Torr 내지 약 100 Torr 또는 약 0.1 Torr 내지 약 5 Torr의 범위가 될 수 있다. 한 특정 구체예에서, 유전체 막은 100 mTorr 내지 600 mTorr의 압력 범위에서 열 CVD 공정을 사용하여 증착된다. 다른 특정 구체예에서, 유전체 막은 1 Torr 또는 그 미만의 압력 범위에서 ALD 공정을 사용하여 증착된다.In certain embodiments of the methods described herein, the temperature of the reactor or deposition chamber may range from ambient temperature (eg, 25 ° C.) to about 700 ° C. Exemplary reactor temperatures for ALD or CVD deposition include ranges having any one or more of the following endpoints: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, 600, 625, 650, 675, or 700 ° C. Examples of specific reactor temperature ranges include, but are not limited to, 25 ° C. to 375 ° C., or 75 ° C. to 700 ° C., or 325 ° C. to 675 ° C. In these or other embodiments, the pressure may range from about 0.1 Torr to about 100 Torr or from about 0.1 Torr to about 5 Torr. In one specific embodiment, the dielectric film is deposited using a thermal CVD process in a pressure range of 100 mTorr to 600 mTorr. In another particular embodiment, the dielectric film is deposited using an ALD process at a pressure range of 1 Torr or less.

본 명세서에 기재된 방법의 특정 구체예에서, 반응기 또는 증착 챔버에서 기판의 온도는 주위 온도(예를 들어, 25℃) 내지 약 700℃의 범위가 될 수 있다. ALD 또는 CVD 증착을 위한 예시적인 기판 온도는 어느 하나 이상의 하기 종료점들을 갖는 범위를 포함한다: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, 600, 625, 650, 675, 또는 700℃. 특정한 기판 온도 범위의 예들은 25℃ 내지 375℃, 또는 75℃ 내지 700℃, 또는 325℃ 내지 675℃를 포함하지만, 이들로 제한되는 것은 아니다. 특정 구체예에서, 기판 온도는 증착 동안 반응기 온도와 동일하거나 동일한 온도 범위가 될 수 있다. 다른 구체예에서, 기판 온도는 증착 동안 반응기 온도와 상이하다.In certain embodiments of the methods described herein, the temperature of the substrate in the reactor or deposition chamber may range from ambient temperature (eg, 25 ° C.) to about 700 ° C. Exemplary substrate temperatures for ALD or CVD deposition include ranges having any one or more of the following endpoints: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350 , 375, 400, 425, 450, 475, 500, 525, 550, 575, 600, 625, 650, 675, or 700 ° C. Examples of specific substrate temperature ranges include, but are not limited to, 25 ° C. to 375 ° C., or 75 ° C. to 700 ° C., or 325 ° C. to 675 ° C. In certain embodiments, the substrate temperature may be the same or in the same temperature range as the reactor temperature during deposition. In other embodiments, the substrate temperature is different from the reactor temperature during deposition.

전구체, 산소원, 및/또는 다른 전구체, 공급원 가스, 및/또는 시약을 공급하는 각각의 단계는 이들을 공급하는 시간의 변화에 의해 최종 유전체 막의 화학량적인 조성을 변화시키도록 수행될 수 있다.Each step of supplying precursors, oxygen sources, and / or other precursors, source gases, and / or reagents may be performed to change the stoichiometric composition of the final dielectric film by varying the time of supplying them.

에너지는 하나 이상의 전구체, 산소원, 환원제, 다른 전구체 또는 이들의 조합물에 적용되어 반응을 유도하고 유전체 막을 형성시키거나 기판을 코팅한다. 이러한 에너지는 열, 플라즈마, 펄스된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도결합(inductively coupled) 플라즈마, X-레이, e-빔, 광자(photon), 및 리모트 플라즈마 방법에 의해 공급될 수 있으나 이들로 제한되는 것은 아니다. 특정 구체예에서, 2차 RF 주파수원은 기판 표면에서 플라즈마 특성을 조절하기 위해 사용될 수 있다. 증착이 플라즈마를 포함하는 구체예에서, 플라즈마-생성 공정은 플라즈마가 반응기에서 직접 생성되는 직접 플라즈마-생성 공정, 또는 택일적으로 플라즈마가 반응기의 외부에서 생성되어 반응기내로 공급되는 리모우트(remote) 플라즈마-생성 공정을 포함할 수 있다.Energy is applied to one or more precursors, oxygen sources, reducing agents, other precursors or combinations thereof to induce a reaction, to form a dielectric film or to coat a substrate. Such energy may be supplied by thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-rays, e-beams, photons, and remote plasma methods, but It is not limited to. In certain embodiments, secondary RF frequency sources can be used to adjust plasma characteristics at the substrate surface. In embodiments where the deposition comprises plasma, the plasma-generating process may be a direct plasma-generating process in which the plasma is generated directly in the reactor, or alternatively a remote where plasma is generated outside of the reactor and fed into the reactor. Plasma-generating processes.

실리콘-함유 전구체 및/또는 다른 전구체는 다양한 방법으로 반응 챔버 예컨대 CVD 또는 ALD 반응기에 전달될 수 있다. 한 구체예에서, 액체 전달 시스템이 사용될 수 있다. 택일적인 구체예에서, 액체 전달 및 플래쉬 기화 공정이 조합된 유닛, 예컨대, MSP 코포레이션(Shoreview, MN)에 의해 제작된 터보 기화기가 사용되어 저 휘발성 물질을 체적으로 전달하는 것을 가능하게 하며, 전구체의 열적 분해없이 재현성 있는 전달 및 증착을 유도한다. 액체 전달 포뮬레이션에서, 본 명세서에 기재된 전구체는 순수한 액체 형태로 전달될 수 있거나, 택일적으로 동일물을 포함한 용매 포뮬레이션 또는 조성물로 사용될 수 있다. 따라서, 특정 구체예에서 전구체 포뮬레이션은 기판상에 막을 형성하기 위한 소정의 최종 사용 분야에 바람직하고 유리할 수 있는 적당한 특성의 용매 성분(들)을 포함할 수 있다.Silicon-containing precursors and / or other precursors may be delivered to reaction chambers such as CVD or ALD reactors in a variety of ways. In one embodiment, a liquid delivery system can be used. In an alternative embodiment, a unit, such as a liquid delivery and flash vaporization process, is used that allows for delivery of low volatility materials in volume, using a turbo vaporizer manufactured by MSP Corporation (Shoreview, MN), Induce reproducible transfer and deposition without thermal degradation. In liquid delivery formulations, the precursors described herein can be delivered in pure liquid form or alternatively can be used in solvent formulations or compositions containing the same. Thus, in certain embodiments the precursor formulation may comprise solvent component (s) of suitable properties that may be desirable and advantageous to certain end applications for forming a film on a substrate.

본 명세서에 기재된 방법의 한 구체예에서, 사이클릭 증착 공정 예컨대 CCVD, ALD, 또는 PEALD가 사용될 수 있으며, 여기서 화학식 I 내지 III 및 이들의 조합물을 갖는 하나 이상의 실리콘-함유 전구체 및 임의로 산소원 예컨대, 오존, 산소 플라즈마 또는 워터(water) 플라즈마가 사용된다. 전구체 캐니스터로부터 반응 챔버로 연결된 가스 라인은 공정 필요 조건에 따른 하나 이상의 온도로 가열되며 화학식 I 내지 III을 갖는 실리콘-함유 전구체의 용기는 직접 액체 주입을 위해 하나 이상의 온도에서 유지되는 기화기 내로 주입된다. 아르곤 및/또는 다른 가스의 흐름은 캐리어 가스로서 사용되어 전구체 펄싱 동안 반응 챔버로 하나 이상의 실리콘-함유 전구체의 전달을 도와줄 수 있다. 특정 구체예에서, 반응 챔버 공정 압력은 약 1 Torr 또는 그 미만이다. 전형적인 ALD 또는 CCVD 공정에서, 기판 예컨대 실리콘 옥사이드 기판은 최초에 실리콘-함유 전구체에 노출된 반응 챔버내에서 히터 스테이지(heater stage)에서 가열되어 착물을 형성하고 기판의 표면상에 화학적으로 흡착되도록 한다. 퍼지 가스 예컨대 아르곤은 공정 챔버로부터 흡착되지 않은 과량의 착물을 퍼지한다. 충분한 퍼징(purging) 후, 흡착된 표면과 반응하도록 산소원가 반응 챔버내로 도입될 수 있으며 이후 다른 가스 퍼지에 의해 챔버로부터 반응 부산물이 제거된다. 공정 사이클은 바람직한 막 두께를 얻기 위해 반복될 수 있다. 이들 또는 다른 구체예에서, 본 명세서에 기재된 방법들의 단계들은 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 적어도 일부의 다른 단계 동안) 수행될 수 있으며, 이들이 임의로 조합되어 수행될 수도 있다. 전구체 및 산소원 가스를 공급하는 각각의 단계는 이들을 공급하는 시간의 주기 변화에 의해 최종 유전체 막의 화학량적인 조성을 변화시키도록 수행될 수 있다.In one embodiment of the methods described herein, cyclic deposition processes such as CCVD, ALD, or PEALD can be used, wherein one or more silicon-containing precursors having Formulas I-III and combinations thereof and optionally oxygen sources such as , Ozone, oxygen plasma or water plasma is used. The gas line from the precursor canister to the reaction chamber is heated to one or more temperatures according to the process requirements and the vessel of silicon-containing precursors having formulas (I) to (III) is injected into a vaporizer maintained at one or more temperatures for direct liquid injection. Argon and / or other gas flows may be used as the carrier gas to assist in the delivery of one or more silicon-containing precursors to the reaction chamber during precursor pulsing. In certain embodiments, the reaction chamber process pressure is about 1 Torr or less. In a typical ALD or CCVD process, a substrate such as a silicon oxide substrate is first heated at a heater stage in a reaction chamber exposed to a silicon-containing precursor to form a complex and to be chemically adsorbed on the surface of the substrate. A purge gas such as argon purges excess complexes that are not adsorbed from the process chamber. After sufficient purging, an oxygen source can be introduced into the reaction chamber to react with the adsorbed surface and then the reaction by-products are removed from the chamber by another gas purge. The process cycle can be repeated to obtain the desired film thickness. In these or other embodiments, the steps of the methods described herein may be performed in a variety of orders, and may be performed sequentially or concurrently (eg, during at least some other steps), and these may be performed in any combination It may be. Each step of supplying the precursor and the oxygen source gas may be performed to change the stoichiometric composition of the final dielectric film by changing the period of time of supplying them.

본 명세서에 기재된 방법의 다른 구체예에서, 유전체 막은 아래 단계들을 포함하는 ALD 증착 방법을 사용하여 형성된다: In another embodiment of the methods described herein, the dielectric film is formed using an ALD deposition method comprising the following steps:

a. 아래 화학식 I, II, 및 III을 갖는 전구체의 군으로부터 선택된 하나 이상을 포함하는 실리콘 전구체 및 임의로 산소원, 질소원, 또는 이들의 조합물을 도입하고 기판상에 하나 이상의 실리콘 전구체를 화학적 흡착하는 단계: a. Introducing a silicon precursor comprising at least one selected from the group of precursors having Formulas I, II, and III and optionally an oxygen source, a nitrogen source, or a combination thereof and chemically adsorbing the one or more silicon precursors onto the substrate:

Figure pat00006
Figure pat00006

상기 식에서 R, R1, 및 R2는 각각 독립적으로 알킬기, 아릴, 아실기, 또는 이들의 조합물임;Wherein R, R 1 , and R 2 are each independently an alkyl group, an aryl, acyl group, or a combination thereof;

b. 퍼지 가스를 사용하여 반응하지 않은 하나 이상의 실리콘-함유 전구체를 퍼징(purging away)하는 단계; b. Purging away one or more silicon-containing precursors that did not react using a purge gas;

c. 임의로, 가열된 기판상에 산소원를 도입하고 흡착된 하나 이상의 실리콘-함유 전구체와 반응시키는 단계; 및c. Optionally, introducing an oxygen source on the heated substrate and reacting with the adsorbed one or more silicon-containing precursors; And

d. 임의로, 반응하지 않은 산소원를 제거하는 단계. d. Optionally, removing the unreacted oxygen source.

상술한 단계들은 본 명세서에 기재된 방법에 대한 하나의 사이클을 형성하고; 상기 사이클은 바람직한 두께의 유전체 막이 얻어질 때까지 반복될 수 있다. 이들 또는 다른 구체예에서, 본 명세서에 기재된 방법들의 단계들은 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 적어도 일부의 다른 단계 동안) 수행될 수 있으며, 이들이 임의로 조합되어 수행될 수도 있다. 전구체 및 임의로 산소원 가스를 공급하는 각각의 단계는 이들을 공급하는 시간의 주기 변화에 의해 최종 유전체 막의 화학량적인 조성을 변화시키도록 수행될 수 있다. 다중-성분 유전체 막을 위해, 다른 전구체 예컨대 실리콘-함유 전구체, 질소-함유 전구체, 환원제, 또는 다른 시약이 단계 "a"에서 반응기 챔버내로 택일적으로 도입될 수 있다. 이 구체예에서, 반응기 온도는 주위 온도 내지 600℃의 범위가 될 수 있다. 이들 또는 다른 구체예에서, 반응기의 압력은 1 Torr 또는 그 미만에서 유지될 수 있다. The steps described above form one cycle for the method described herein; The cycle can be repeated until a dielectric film of the desired thickness is obtained. In these or other embodiments, the steps of the methods described herein may be performed in a variety of orders, and may be performed sequentially or concurrently (eg, during at least some other steps), and these may be performed in any combination It may be. Each step of supplying the precursors and optionally the oxygen source gas may be performed to change the stoichiometric composition of the final dielectric film by changing the period of time of supplying them. For multi-component dielectric films, other precursors such as silicon-containing precursors, nitrogen-containing precursors, reducing agents, or other reagents may alternatively be introduced into the reactor chamber in step "a". In this embodiment, the reactor temperature may range from ambient temperature to 600 ° C. In these or other embodiments, the pressure of the reactor may be maintained at 1 Torr or less.

본 명세서에 기재된 방법의 추가의 구체예에서, 유전체 막은 열 CVD 공정을 사용하여 증착된다. 이 구체예에서, 상기 방법은 다음을 포함하는데, 여기서 반응기는 도입 단계 동안 100 mTorr 내지 600 mTorr의 압력 범위에서 유지된다: 주위 온도 내지 약 700℃ 또는 400 내지 700℃의 온도 범위로 가열된 반응기에 하나 이상의 기판을 넣는 단계; 및 반응기내로 아래 화학식 I, II, 및 III을 갖는 전구체의 군으로부터 선택된 하나 이상을 포함하는 실리콘 전구체 및 임의로 산소원, 질소원, 또는 이들의 조합물로부터 선택된 공급원을 도입하고 하나 이상의 기판상에 유전체 막을 증착시키는 단계: In further embodiments of the methods described herein, the dielectric film is deposited using a thermal CVD process. In this embodiment, the method comprises: wherein the reactor is maintained at a pressure range of 100 mTorr to 600 mTorr during the introduction step: in a reactor heated to an ambient temperature of about 700 ° C. or a temperature range of 400 to 700 ° C. Placing one or more substrates; And introducing into the reactor a silicon precursor comprising at least one selected from the group of precursors having Formulas (I), (II), and (III) below and optionally a source selected from an oxygen source, a nitrogen source, or a combination thereof Deposition of the film:

Figure pat00007
Figure pat00007

상기 식에서 R, R1, 및 R2는 각각 독립적으로 알킬기, 아릴, 아실기, 또는 이들의 조합물이다. 특정 구체예에서, CVD 반응기의 압력은 약 0.01T 내지 약 1T의 범위가 될 수 있다. 반응 가스 예컨대, O2의 유량은 5 sccm 내지 200 sccm의 범위가 될 수 있다. 하나 이상의 실리콘-함유 전구체 증기의 유량은 5 sccm 내지 200 sccm의 범위가 될 수 있다. 증착 온도는 반응기 벽 온도와 동일하다. 주위 온도 내지 약 700℃ 또는 약 400℃ 내지 약 700℃의 범위가 될 수 있다. 증착 시간은 바람직한 두께를 갖는 막을 얻기 위한 공정을 위해 예정된다. 증착 속도는, 제한되는 것은 아니지만, 증착 온도, O2의 유량, 캐리어 가스(He)의 유량, 실리콘-함유 전구체의 액체 질량 흐름, 기화기의 온도, 및/또는 반응기의 압력을 포함하는 하나 이상의 공정 파라미터에 의존할 수 있다. 기화기 온도는 20℃ 내지 150℃의 범위가 될 수 있다. 물질의 증착 속도는 분당 0.1 nm 내지 1000 nm의 범위가 될 수 있다. 속도는 아래의 비-제한 파라미터 중 어느 하나의 변화에 의해 조절될 수 있다: 증착 온도, 기화 온도, LFC의 흐름, 반응성 첨가제의 유량 및/또는 예를 들어, CVD 반응기에서의 압력.Wherein R, R 1 , and R 2 are each independently an alkyl group, an aryl, acyl group, or a combination thereof. In certain embodiments, the pressure in the CVD reactor may range from about 0.01T to about 1T. The flow rate of the reactant gas, such as O 2 , may range from 5 sccm to 200 sccm. The flow rate of the one or more silicon-containing precursor vapors may range from 5 sccm to 200 sccm. The deposition temperature is the same as the reactor wall temperature. It may range from ambient temperature to about 700 ° C or from about 400 ° C to about 700 ° C. Deposition time is intended for the process to obtain a film having the desired thickness. Deposition rates include, but are not limited to, one or more processes including deposition temperature, flow rate of O 2 , flow rate of carrier gas (He), liquid mass flow of silicon-containing precursor, temperature of vaporizer, and / or pressure of reactor It can depend on the parameters. The vaporizer temperature may range from 20 ° C. to 150 ° C. The deposition rate of the material can range from 0.1 nm to 1000 nm per minute. The rate can be adjusted by changing any of the following non-limiting parameters: deposition temperature, vaporization temperature, flow of the LFC, flow rate of the reactive additive and / or pressure in the CVD reactor, for example.

여전히 다른 구체예에서, 방법은 사이클릭 CVD 공정을 사용하여 수행될 수 있다. 이 구체예에서, 동일한 ALD 반응기가 사이클릭 CVD 공정에 대해 사용될 수 있다. 질소가 없는 균일한 막을 증착하기 위한 사이클릭 CVD 공정과 상술한 ALD 방법에서의 한가지 차이점은 실리콘 전구체 및 산소 전구체의 투여량이 ALD에 대해 사용되는 투여량보다 훨씬 클 수 있으며, 따라서 증착 속도가 ALD보다 훨씬 커질 수 있다는 점이다. 증착 온도는, 주위 온도 내지 약 700℃ 또는 400℃ 내지 약 700℃의 범위가 될 수 있다.In still other embodiments, the method can be performed using a cyclic CVD process. In this embodiment, the same ALD reactor can be used for the cyclic CVD process. One difference between the cyclic CVD process and the ALD method described above for depositing a uniform film free of nitrogen is that the doses of silicon precursor and oxygen precursor can be much higher than the doses used for ALD, so the deposition rate is higher than that of ALD. It can be much bigger. Deposition temperatures can range from ambient temperature to about 700 ° C or 400 ° C to about 700 ° C.

특정 구체예에서, 최종 유전체 막 또는 코팅은 증착 후 처리 예컨대, 제한되는 것은 아니지만, 플라즈마 처리, 화학적 처리, 자외선 노출, 전자 빔 노출, 및/또는 막의 하나 이상의 특성에 영향을 주는 다른 처리들에서 노출될 수 있다.In certain embodiments, the final dielectric film or coating is exposed in a post-deposition treatment such as, but not limited to, plasma treatment, chemical treatment, ultraviolet exposure, electron beam exposure, and / or other treatments that affect one or more properties of the film. Can be.

본 명세서에 기재된 유전체 막은 7 또는 그 미만의 유전 상수를 갖는다. 바람직하게, 막은 6 또는 그 미만, 또는 5 또는 그 미만, 또는 4 또는 그 미만의 유전 상수를 갖는다.The dielectric film described herein has a dielectric constant of 7 or less. Preferably, the membrane has a dielectric constant of 6 or less, or 5 or less, or 4 or less.

이전에 언급한 바와 같이, 본 명세서에 기재된 방법은 기판의 적어도 일부에 유전체 막을 증착하는데 사용될 수 있다. 적합한 기판들의 예들은, 제한되는 것은 아니지만, 실리콘, SiO2, Si3N4, 유기실리카 유리(OSG), 플루오르화된 실리카 유리(FSG), 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카보니트라이드, 수소화된 실리콘 카보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트, 유기 폴리머, 다공성 유기 및 무기 재료, 금속 예컨대 구리 및 알루미늄, 및 확산 장벽층 예컨대 제한되는 것은 아니지만 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN을 포함한다. 막은 다양한 이후의 공정 단계 예컨대, 화학적 기계적 평탄화(CMP) 및 이방성 에칭 공정에 적합하다. 기판은 균일하거나 패터닝되고, 매끈하거나 피쳐를 가지며, 평탄하거나 평탄하지 않을 수 있다.As previously mentioned, the methods described herein can be used to deposit a dielectric film on at least a portion of a substrate. Examples of suitable substrates include, but are not limited to, silicon, SiO 2 , Si 3 N 4 , organosilica glass (OSG), fluorinated silica glass (FSG), silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenation Silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, antireflective coatings, photoresists, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as But not including TiN, Ti (C) N, TaN, Ta (C) N, Ta, W, or WN. The film is suitable for a variety of subsequent process steps such as chemical mechanical planarization (CMP) and anisotropic etching processes. The substrate may be uniform or patterned, smooth or feature, flat or non-flat.

증착된 유전체 막은, 이들로 제한되는 것은 아니지만, 컴퓨터 칩, 광학 디바이스, 자기 정보 저장장치, 지지 재료 또는 기판상의 코팅, 미세전자기계 시스템(MEMS), 나노전자기계 시스템, 박막 트랜지스터(TFT), 및 액정 디스플레이(LCD)를 포함하는 어플리케이션을 갖는다.Deposited dielectric films include, but are not limited to, computer chips, optical devices, magnetic information storage devices, coatings on support materials or substrates, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistors (TFTs), and Has an application that includes a liquid crystal display (LCD).

도면의 수 가지 뷰(view)의 간단한 설명
도 1은 실시예 1에 기재된 방법을 이용하여 증착된 막에 대한 X선 광전자분광법(XPS)의 결과를 제공한다.
도 2는 실시예 2에 기재된 방법에 따라 t-부틸 실란, 디에틸실란 및 디-3차-부톡시실란(DTBOS)를 사용하여 증착된 3가지 예시적인 막에 대한 두께 균일성을 제공한다.
도 3은 표 1에 제공된 공정 조건들 중 하나를 이용하며 상기 기재된 전구체 DTBOS를 사용하여 증착된 예시적인 막으로부터 수득된 유전 상수의 플롯(plot)을 제공한다.
도 4는 3가지 상이한 증착 온도 또는 400℃, 300℃, 200℃에서 실시예에 기재된 BL1 조건을 이용하여 증착된 막들의 습식 에칭 속도(Wet Etch Rate, WER)를 비교한 도면이다. 도 4는 DTBOS 증착된 막이 모든 온도에서 TEOS 막 보다 낮은 WER를 지님을 도시한다.
도 5는 실시예 4의 표 3에 기재된 BL1 조건의 경우 200℃ 및 300℃ 증착에서의 TEOS 대 DTBOS에 대한 누설 전류 대 전기장 플롯을 제공한다.
도 6은 실시예 4의 표 3에 기재된 BL2 조건의 경우 200℃ 및 300℃ 증착에서의 TEOS 대 DTBOS에 대한 누설 전류 대 전기장 플롯을 제공한다.
도 7은 실시예 4의 표 3에 기재된 BL3 조건의 경우 200℃ 및 300℃ 증착에서의 TEOS 대 DTBOS에 대한 누설 전류 대 전기장 플롯을 제공한다.
도 8은 DTBOS와 비스(3차부틸)아미노실란(BTBAS)의 동적 2차 이온 질량 분석 데이터 (D-SIMS)를 이들 전구체로부터 증착된 CVD 막에서 비교한 도면이다.
Brief description of several views in the drawing
FIG. 1 provides the results of X-ray photoelectron spectroscopy (XPS) for films deposited using the method described in Example 1. FIG.
FIG. 2 provides thickness uniformity for three exemplary films deposited using t-butyl silane, diethylsilane, and di-tert-butoxysilane (DTBOS) according to the method described in Example 2. FIG.
3 provides a plot of the dielectric constant obtained from an exemplary film deposited using one of the process conditions provided in Table 1 and deposited using the precursor DTBOS described above.
FIG. 4 is a comparison of the wet etch rate (WER) of films deposited using three different deposition temperatures or the BL1 conditions described in the examples at 400 ° C., 300 ° C., and 200 ° C. FIG. 4 shows that the DTBOS deposited film has a lower WER than the TEOS film at all temperatures.
5 provides a leakage current versus electric field plot for TEOS vs. DTBOS at 200 ° C. and 300 ° C. deposition for the BL1 conditions described in Table 3 of Example 4. FIG.
6 provides a leakage current versus electric field plot for TEOS vs. DTBOS at 200 ° C. and 300 ° C. deposition for the BL2 conditions described in Table 3 of Example 4. FIG.
FIG. 7 provides a plot of leakage current versus electric field for TEOS vs. DTBOS at 200 ° C. and 300 ° C. deposition for the BL3 conditions described in Table 3 of Example 4. FIG.
FIG. 8 is a comparison of dynamic secondary ion mass spectrometry data (D-SIMS) of DTBOS and bis (tertbutyl) aminosilane (BTBAS) in CVD films deposited from these precursors.

아래의 실시예들은 본 명세서에 기재된 유전체 막 제조 방법을 설명하지만 어느 경우에도 이들로 제한되도록 의도되지 않는다.The following examples describe the dielectric film fabrication methods described herein but are not intended to be limited to these in any case.

실시예Example

아래의 실시예에서, 별도로 언급하지 않는 한, 특성은 미디움(medium) 저항(8-12 Ωcm) 단결정 실리콘 웨이퍼 기판상에 증착된 샘플 막으로부터 얻었다. 본 연구에서 CVD 증착은 저압 화학 증기 증착(LPCVD) 수평 로(furnace) 또는 ATV PEO 612 로를 사용하여 수행하였다. 전구체는 전구체 재료에 대한 증기 압력에 근거하여 조절되는 증기 드로우(draw) 및 라인 온도를 사용하여 로에 전달하였다. 본 연구에 사용되는 원자층 증착 기구는 가열된 전구체 전달을 위한 부착된 환경 오븐을 갖는 R&D 디자인된 수평 튜브 로이다. 시스템은 실온 내지 700℃에서 증착을 수행할 수 있다. 모든 플라즈마 기반 증착은 TEOS 공정 킷을 사용하여, 어드밴스드 에너지 2000 라디오 프리퀀시(RF) 제너레이터에 장착된 200 mm DXZ 챔버에서 어플라이드 머티리얼스 프리시즌 5000 시스템상에서 수행하였다.In the examples below, unless otherwise noted, properties were obtained from sample films deposited on medium resistance (8-12 Ωcm) single crystal silicon wafer substrates. In this study, CVD deposition was performed using low pressure chemical vapor deposition (LPCVD) furnaces or ATV PEO 612 furnaces. The precursors were delivered to the furnace using a steam draw and line temperature adjusted based on the vapor pressure on the precursor material. The atomic layer deposition apparatus used in this study is an R & D designed horizontal tube furnace with an attached environmental oven for heated precursor delivery. The system can perform deposition at room temperature to 700 ° C. All plasma based depositions were performed on an Applied Materials Precision 5000 system in a 200 mm DXZ chamber mounted in an Advanced Energy 2000 Radio Frequency (RF) generator using a TEOS process kit.

아래 실시예에서, 유전체 막의 두께 및 광학적 특성 예컨대 굴절률은 공지된 데이터 피팅 기술을 사용하여, 표준 반사계 또는 엘립소메트리 측정 시스템 예컨대, 필름텍 2000SE 엘립소미터를 사용하여 수행하였다.In the examples below, the thickness and optical properties such as refractive index of the dielectric film were performed using standard reflectometers or ellipsometry measurement systems, such as the Filmtec 2000SE ellipsometer, using known data fitting techniques.

막의 화학적 조성의 특성화는 다중-채널 플레이트 검출기(MCD) 및 Al 단색 X-레이원이 장치된 피지컬 일렉트로닉스 5000버사프로브 XPS 분광기를 사용하여 얻었다. XPS 데이터는 Alkα X-레이 여기(25 mA 및 15 kV)를 사용하여 수집하였다. 저-분해능 서베이 스펙트럼은 117 eV 패스 에너지(pass energy), 50 밀리세컨드 체류 시간(dwell time), 및 1.0 eV/step에서 수집하였다. 고-분해능 영역 스펙트럼은 23.5 eV 패스 에너지, 50 msec 체류 시간, 0.1 eV/step에서 수집하였다. 분석 영역은 45°의 일정한 각에서 100 ㎛이다. 정량 원소 분석은 고-분해능 영역 스펙트럼으로부터 피크 영역을 측정하고 원자 감도 계수가 수정된 전송-기능을 적용함에 의해 결정하였다. PHI 서밋 소프트웨어가 데이터 수집을 위해 사용되었으며 CasaXPS 소프트웨어가 데이터 분석을 위해 사용되었다. 에칭 속도는 203 nm SiO2/Si에 대해 보정되었고 약 120 Å/min이다.Characterization of the chemical composition of the membrane was obtained using a Physical Electronics 5000 Versaprobe XPS Spectrometer equipped with a multi-channel plate detector (MCD) and an Al monochromatic X-ray source. XPS data was collected using Alk α X-ray excitation (25 mA and 15 kV). Low-resolution survey spectra were collected at 117 eV pass energy, 50 millisecond dwell time, and 1.0 eV / step. High-resolution region spectra were collected at 23.5 eV pass energy, 50 msec residence time, 0.1 eV / step. The analysis area is 100 μm at a constant angle of 45 °. Quantitative elemental analysis was determined by measuring the peak region from the high-resolution region spectrum and applying a transfer-function with modified atomic sensitivity coefficients. PHI Summit software was used for data collection and CasaXPS software was used for data analysis. The etch rate was calibrated for 203 nm SiO 2 / Si and about 120 mA / min.

에칭 시험은 버퍼된 옥사이드 에칭을 형성하기 위해 물 중 6부(parts) 40% NH4F 및 물 중 1부 49% HF 용액의 부피 비율을 갖는 6:1 버퍼된 옥사이드 에칭(“BOE”) 용액에서 수행하였다. 예시적인 유전체 막을 30초 동안 HF 용액에 넣은 후, 에칭 동안 재료의 손실에 대해 측정하기 전에 탈이온(DI)수에서 세척하고 건조시켰다. 공정은 막이 완전히 에칭되기까지 반복된다. 에칭 속도는 후에 에칭 시간 대 에칭된 두께의 기울기로부터 계산된다. 비교되는 실리콘 옥사이드 막과 함께, 막은 에칭 전후에 막 표면을 가로질러 3개의 서로 다른 점에서 이들의 두께를 측정하였다.The etch test is a 6: 1 buffered oxide etch (“BOE”) solution with a volume ratio of 6 parts 40% NH 4 F in water and 1 part 49% HF solution in water to form a buffered oxide etch. Was performed in. The exemplary dielectric film was placed in HF solution for 30 seconds, then washed and dried in deionized (DI) water before measuring for loss of material during etching. The process is repeated until the film is completely etched. The etch rate is later calculated from the slope of etch time versus etched thickness. With the silicon oxide films being compared, the films were measured at three different points across the film surface before and after etching.

푸리에 변환 적외선 분광기(FTIR) 데이터는 DTGS KBR 검출기 및 KBr 빔 스플리터가 장착된 써모 니콜렛 넥서스 470 시스템 또는 유사한 시스템을 사용하여 웨이퍼 상에서 수집하였다. 백그라운드 스펙트럼은 스펙트럼으로부터 CO2 및 물을 제거하기 위해 유사한 미디움 저항 웨이퍼상에서 수집하였다. 데이터는 일반적으로 4 cm-1의 분해능을 갖는 32 스캔을 수집함에 의해 4000 내지 400 cm-1의 영역에서 얻어진다. 모든 막들은 공통적으로 베이스라인(baseline) 수정되었고, 세기는 500 nm의 막 두께로 정규화되며(normalized), 관심있는 피크 면적 및 높이가 측정되었다.Fourier Transform Infrared Spectroscopy (FTIR) data was collected on a wafer using a Thermo Nicolet nexus 470 system or similar system equipped with a DTGS KBR detector and a KBr beam splitter. Background spectra were collected on similar medium resistive wafers to remove CO 2 and water from the spectra. Data is generally obtained in the region of 4000 to 400 cm −1 by collecting 32 scans with a resolution of 4 cm −1 . All films were commonly baseline modified, the intensity was normalized to a film thickness of 500 nm, and the peak area and height of interest were measured.

각 샘플 막의 유전 상수는 ASTM 스탠다드 D150-98에 따라 측정하였다. 유전 상수, k는 예를 들어, MDC 802B-150 머큐리 프로브(Mercury Probe)를 사용하여 측정된 C-V 곡선으로부터 계산하였다. 이는 샘플을 잡고 측정하기 위해 막에서 전기적 접촉을 형성하는 프로브 스테이지, C-V 측정을 위한 키틀리(Keithley) 236 소스 미터(source meter) 및 HP4284A LCR 미터로 구성된다. 상대적으로 적은 전기 저항(0.02 ohm-cm 미만의 시트 저항)을 갖는 Si 웨이퍼가 C-V 측정을 위한 막을 증착하는데 사용된다. 전면 접촉 모드가 막에 전기적 접촉부를 형성하는데 사용된다. 액체 금속(수은)이 저장기로부터 웨이퍼의 표면으로 얇은 튜브를 통해 밀려나 두 개의 전기 전도성 접촉부을 형성한다. 접촉 영역은 수은이 밀려나는 튜브의 직경을 기초로 하여 계산된다. 그 후, 유전 상수는 식 k = 커패시턴스(capacitance) × 접촉 영역/막의 두께로부터 계산된다.The dielectric constant of each sample membrane was measured according to ASTM standard D150-98. The dielectric constant, k, was calculated from the C-V curve measured using, for example, the MDC 802B-150 Mercury Probe. It consists of a probe stage that makes electrical contact in the film to hold and measure a sample, a Keithley 236 source meter for C-V measurements, and an HP4284A LCR meter. Si wafers with relatively low electrical resistance (sheet resistance less than 0.02 ohm-cm) are used to deposit films for C-V measurements. Front contact mode is used to form electrical contacts in the film. Liquid metal (mercury) is pushed through the thin tube from the reservoir to the surface of the wafer to form two electrically conductive contacts. The contact area is calculated based on the diameter of the tube from which mercury is pushed out. The dielectric constant is then calculated from the formula k = capacitance × contact area / film thickness.

실시예 1: 디-3차-부톡시실란(DTBOS)을 사용한 화학 증기 증착에 의한 실리콘 옥사이드 막의 증착Example 1 Deposition of Silicon Oxide Films by Chemical Vapor Deposition Using Di-tert-Butoxysilane (DTBOS)

예시적인 실리콘 옥사이드 막은 전구체 DTBOS 및 산소원로서 산소를 사용하여 증착된다. 각 막의 증착 조건을 표 1에 나타내었다. 각 막의 특성은 표 2에 나타내었다.An exemplary silicon oxide film is deposited using precursor DTBOS and oxygen as the oxygen source. The deposition conditions of each film are shown in Table 1. The properties of each membrane are shown in Table 2.

표 1TABLE 1

Figure pat00008
Figure pat00008

sccm = 분당 표준 cm3 sccm = standard cm 3 per minute

표 2Table 2

Figure pat00009
Figure pat00009

매우 균일하고 원소, 예컨대 탄소 및 질소가 없는 고순도 막인 실시예 1로부터의 어느 하나의 예시적인 막의 전형적인 XPS를 도 1에 도시하였고 서로 다른 원소들의 조성을 또한 표 3에 나타내었다. 도 1 및 표 3 모두에서 볼 수 있듯이, 막에서는 탄소와 질소가 모두 검출되지 않았다.A typical XPS of any of the exemplary membranes from Example 1, which are highly uniform and free of elements, such as carbon and nitrogen, is shown in FIG. 1 and the composition of the different elements is also shown in Table 3. As can be seen in both FIG. 1 and Table 3, neither carbon nor nitrogen was detected in the membrane.

표 3. 고순도 실리콘 디옥사이드 막의 화학적 조성(원자%)Table 3. Chemical Composition (Atom%) of High Purity Silicon Dioxide Film

ND - 검출 한계 미만의 양ND-amount below detection limit

Figure pat00010
Figure pat00010

표 3B. 도 1에서 도시된 스펙트럼에 상응하는, 질소가 없는 실리콘 디옥사이드 막의 화학적 조성(원자%)Table 3B. Chemical composition (atomic%) of nitrogen-free silicon dioxide film corresponding to the spectrum shown in FIG.

Figure pat00011
Figure pat00011

실시예 2: 막의 두께 균일성Example 2: Thickness Uniformity of Membranes

본 명세서에 기재된 방법들 및 조성물을 사용하여 형성된 질소가 없는 실리콘 디옥사이드 막의 두께를 엘립소미터(ellipsometer)를 사용하여 측정하였다. 현재 사용되는 방법들을 사용하여 증착된 질소 실리콘 디옥사이드 막의 낮은 균일성과 대조적으로, 본 발명에 기재된 방법들을 사용하여 증착된 막은 기판(또는 웨이퍼) 내의 막 균일성에서 급격한 개선을 보여준다. 상기 발명을 사용한 막 및 현존하는 방법들을 사용한 막 사이의 막 두께 균일성의 비교가 도 2에 제공되며 여기서 x축은 웨이퍼 기판에서의 측정 위치를 나타내며 y축은 막의 평균 두께로부터 각 지점에서 두께의 편차를 나타낸다. 본 명세서에 기재된 방법을 사용하여 증착된 막은 다른 막들과 비교하여 웨이퍼 기판에 걸쳐 더욱 균일하다는 것을 도 2로부터 볼 수 있다.The thickness of the nitrogen-free silicon dioxide film formed using the methods and compositions described herein was measured using an ellipsometer. In contrast to the low uniformity of nitrogen silicon dioxide films deposited using the methods currently used, films deposited using the methods described herein show a sharp improvement in film uniformity in the substrate (or wafer). A comparison of film thickness uniformity between a film using the invention and a film using existing methods is provided in FIG. 2 where the x axis represents the measurement position on the wafer substrate and the y axis represents the variation in thickness at each point from the average thickness of the film. . It can be seen from FIG. 2 that the film deposited using the method described herein is more uniform across the wafer substrate compared to other films.

박막에 대한 두께 균일성에 대해 사용되는 일반식은, 즉, 균일성 = (최대 두께 - 최소 두께)/(2*평균)*100%이다.The general formula used for thickness uniformity for thin films is, namely, uniformity = (maximum thickness min. Thickness) / (2 * average) * 100%.

본 명세서에 기재된 방법을 사용하여 형성된 막의 두께 균일성을 표 4에 나타내었다. 표 4에서의 결과는 본 명세서에 기재된 방법으로부터의 막 균일성이 현존하는 방법들(전구체)를 사용하여 형성된 막보다 10배 이상 우수함을 보여준다.The thickness uniformity of the films formed using the methods described herein is shown in Table 4. The results in Table 4 show that the film uniformity from the method described herein is at least 10 times better than the film formed using existing methods (precursors).

표 4. 다양한 실리콘 디옥사이드 막의 두께 균일성 (%)Table 4. Thickness uniformity (%) of various silicon dioxide films

Figure pat00012
Figure pat00012

실시예 3: K 및 유전 상수Example 3: K and Dielectric Constant

본 명세서에 기재된 방법을 사용하여 형성된 실리콘 옥사이드 막의 유전 상수는 도 3에 도시된 C-V 플롯으로부터 유도된다. 공지된 막의 두께 및 사용된 머큐리 프로브의 접촉 영역에 대한, 막의 유전 상수는 4.47이 되는 것으로 밝혀졌다.The dielectric constant of the silicon oxide film formed using the method described herein is derived from the C-V plot shown in FIG. 3. It was found that the dielectric constant of the membrane, for the known membrane thickness and the contact area of the Mercury probe used, was 4.47.

실시예 4: 다른 공정 조건하에서 디-3차-부톡시실란 전구체 및 테트라에톡시실란을 사용한 플라즈마 강화 CVD에 의해 증착된 막의 비교 Example 4 Comparison of Films Deposited by Plasma Enhanced CVD Using Di-tert-Butoxysilane Precursor and Tetraethoxysilane Under Different Process Conditions

아래의 실시예에서, 달리 언급되지 않는 한, 특성들은 미디움 저항(8-12 Ωcm) 단결정 실리콘 웨이퍼 기판상에 증착되는 샘플막으로부터 얻어졌다. 증착 온도는 200, 300, 및 400℃였다.In the examples below, unless otherwise stated, the properties were obtained from sample films deposited on medium resistance (8-12 Ωcm) single crystal silicon wafer substrates. Deposition temperatures were 200, 300, and 400 ° C.

표 5는 전구체 또는 디-3차-부톡시실란(DTBOS) 및 비교 전구체 테트라에톡시실란(TEOS)을 비교하기 위해 사용된 세 가지 다른 공정 조건의 요약을 제공한다. 세 가지 다른 공정 조건을 BL-1, BL-2 및 BL-3으로 표시하였다. Table 5 provides a summary of three different process conditions used to compare precursor or di-tert-butoxysilane (DTBOS) and comparative precursor tetraethoxysilane (TEOS). Three different process conditions are indicated as BL-1, BL-2 and BL-3.

표 5Table 5

Figure pat00013
Figure pat00013

표 6은 BL1 조건에 대한 TEOS 대 DTBOS의 K값, 증착 속도 및 습식 에칭 속도(wet etch rate)의 비교를 제공한다. DTBOS의 증착 속도는 전구체의 동일한 부피 흐름에 대해 TEOS보다 높다. 이는 PECVD 증착에 있어 DTBOS가 TEOS보다 더욱 효율적이 될 수 있다는 것을 보여준다. 또한, DTBOS-증착 막의 WER은 TEOS-증착 막의 것보다 동일하거나 우수하다. 이는 DTBOS 전구체를 사용하여 증착된 SiO2 막의 밀도가 동일하거나 우수하다는 것을 의미한다. Table 6 provides a comparison of the K value, deposition rate and wet etch rate of TEOS versus DTBOS for BL1 conditions. The deposition rate of DTBOS is higher than TEOS for the same volume flow of precursor. This shows that DTBOS can be more efficient than TEOS for PECVD deposition. In addition, the WER of the DTBOS-deposited membrane is the same or better than that of the TEOS-deposited membrane. This means that the density of SiO 2 films deposited using DTBOS precursors is the same or better.

표 6Table 6

Figure pat00014
Figure pat00014

표 7은 BL2 공정 조건에 대한 TEOS 대 DTBOS의 K값, 증착 속도 및 습식 에칭 속도의 비교를 제공한다. DTBOS의 증착 속도는 전구체의 동일한 부피 흐름에 대해 TEOS보다 높다. 이는 PECVD 증착에 있어 DTBOS의 효율성이 높음을 증명한다. 그러나, WER은 TEOS 막에 대한 것보다 동일하거나 우수하다. 이는 DTBOS로부터 형성된 SiO2 막의 밀도가 동일하거나 우수하다는 것을 의미한다.Table 7 provides a comparison of K values, deposition rates, and wet etch rates of TEOS versus DTBOS for BL2 process conditions. The deposition rate of DTBOS is higher than TEOS for the same volume flow of precursor. This demonstrates the high efficiency of DTBOS in PECVD deposition. However, WER is the same or better than that for TEOS membranes. This means that the density of SiO 2 film formed from DTBOS is the same or superior.

표 7TABLE 7

Figure pat00015
Figure pat00015

표 8은 BL3 공정 조건에 대한 TEOS 대 DTBOS의 K값, 증착 속도 및 습식 에칭 속도의 비교를 제공한다. DTBOS의 증착 속도는 전구체의 동일한 부피 흐름에 대해 TEOS와 동일하다. 그러나, WER은 TEOS 막에 대한 것보다 분명히 우수하다. 이는 DTBOS로부터 형성된 SiO2 막의 밀도가 우수하다는 것을 의미한다. 또한, DTBOS에 대한 K값은 더욱 낮은데, 이는 낮은 수분 흡수를 의미한다.Table 8 provides a comparison of K values, deposition rates, and wet etch rates of TEOS vs. DTBOS for BL3 process conditions. The deposition rate of the DTBOS is the same as TEOS for the same volume flow of precursor. However, WER is clearly superior to that for TEOS membranes. This means that the density of SiO 2 film formed from DTBOS is excellent. In addition, the K value for DTBOS is lower, which means lower moisture absorption.

표 8Table 8

Figure pat00016
Figure pat00016

도 4는 표 3(예를 들어, BL-1, BL-2, 및 BL-3 및 200, 300, 및 400℃)에 기재된 모든 베이스라인 조건 및 증착 온도를 사용하여 증착된 막의 WER의 비교를 보여준다. DTBOS 막은 동일한 K에 대해 더욱 낮은 WER을 갖는데, 이는 보다 높은 밀도 및 고품질의 옥사이드 막을 의미한다. 따라서, DTBOS는 PECVD 증착에 있어서 상대적으로 낮은 온도에서 TEOS 보다 우수한 품질의 막을 제조할 수 있다.4 shows a comparison of the WER of a deposited film using all baseline conditions and deposition temperatures described in Table 3 (eg, BL-1, BL-2, and BL-3 and 200, 300, and 400 ° C.). Shows. DTBOS membranes have a lower WER for the same K, which means higher density and higher quality oxide membranes. Thus, DTBOS can produce films of better quality than TEOS at relatively low temperatures in PECVD deposition.

아래의 표 9는 이전의 표 5에서 정의된 공정 조건들 BL1, BL2 및 BL3 하에 서로 다른 온도에서 TEOS 및 DTBOS의 파괴 전압(Vbd) 비교를 제공한다. 일반적으로, 파괴 전압은 8-12 MV/cm이고, 두 전구체 사이에서 비교할 수 있다. 도 5, 6, 및 7은 200℃ 및 300℃ 증착에서 TEOS 증착막 대 DTBOS 증착막에 대한 누설 전류 대 전기장 플롯을 보여준다.Table 9 below provides a breakdown voltage (Vbd) comparison of TEOS and DTBOS at different temperatures under the process conditions BL1, BL2 and BL3 defined in the previous Table 5. In general, the breakdown voltage is 8-12 MV / cm and can be compared between the two precursors. 5, 6, and 7 show leakage currents versus electric field plots for TEOS and DTBOS deposited films at 200 ° C. and 300 ° C. depositions.

도 5는 BL1 조건에 대해 200℃ 및 300℃ 증착에서 TEOS 대 DTBOS에 대한 누설 전류 대 전기장 플롯을 제공한다. BL1에 대해 DTBOS가 TEOS보다 200℃에서 보다 높은 K 및 WER을 가지므로, 막 누설에 대한 영향이 또한 관찰된다. 그러나, 이는 DTBOS가 TEOS보다 불량한 누설 성능을 보여주는 유일한 조건이다. 300℃ 데이터 및 도 6 및 7에서 보여주는 것처럼, DTBOS SiO2 누설은 대체로 TEOS SiO2 누설보다 우수하다. FIG. 5 provides a plot of leakage current vs. electric field for TEOS vs. DTBOS at 200 ° C. and 300 ° C. deposition for BL1 conditions. Since the DTBOS has a higher K and WER at 200 ° C. than TEOS for BL1, the effect on membrane leakage is also observed. However, this is the only condition where DTBOS shows poor leakage performance than TEOS. As shown in the 300 ° C. data and FIGS. 6 and 7, the DTBOS SiO 2 leakage is generally superior to TEOS SiO 2 leakage.

도 6은 BL2 조건에 대해 200℃ 및 300℃ 증착에서 TEOS 대 DTBOS에 대한 누설 전류 대 전기장 플롯을 제공한다. DTBOS가 더욱 높은 D/R을 가지긴 하지만; DTBOS SiO2 막의 누설은 TEOS에 대한 것보다 낮고, 이는 우수한 전기적 특성을 설명하며 WER 데이터를 지지한다.FIG. 6 provides a leakage current versus electric field plot for TEOS vs. DTBOS at 200 ° C. and 300 ° C. deposition for BL2 conditions. Although DTBOS has higher D / R; The leakage of the DTBOS SiO 2 film is lower than that for TEOS, which explains the excellent electrical properties and supports the WER data.

도 7은 BL3 조건에 대해 200℃ 및 300℃ 증착에서 TEOS 대 DTBOS에 대한 누설 전류 대 전기장 플롯을 제공한다. BL3에 대해 전체적으로, 누설은 DTBOS가 TEOS보다 낮다.FIG. 7 provides a plot of leakage current versus electric field for TEOS vs. DTBOS at 200 ° C. and 300 ° C. deposition for BL3 conditions. Overall for BL3, leakage is lower in DTBOS than TEOS.

표 9Table 9

Figure pat00017
Figure pat00017

도 8은 비스(3차부틸)아미노실란, (aka. BTBAS)과 비교한 DTBOS의 동적 이차 이온 질량 분석기 데이터(D-SIMS)를 제공한다. BTBAS에 대한 XPS 데이터로부터, CVD 공정은 일반적으로 ~ 10 원자% C(수소 제외)를 제공한다는 것을 알 수 있다. 이는 DTBOS 막에서의 탄소 수준을 검출할 수 없는 표 3과 비교된다. D-SIMS 데이터는 약 102(two orders of magnitude)배 정도 탄소 함량이 적음을 나타내며, 이는 BTBAS XPS 데이터와의 비교로부터 추론되는 이들 막의 실제 탄소 수준이 < 0.1 원자%일 수 있음을 제시한다.8 provides dynamic secondary ion mass spectrometer data (D-SIMS) of DTBOS compared to bis (tertbutyl) aminosilane, (aka. BTBAS). From the XPS data for BTBAS, it can be seen that the CVD process generally provides ˜10 atomic% C (excluding hydrogen). This is compared with Table 3, where no carbon levels in the DTBOS membrane can be detected. The D-SIMS data indicates that the carbon content is about 10 2 (two orders of magnitude) times less, suggesting that the actual carbon levels of these films, deduced from comparison with the BTBAS XPS data, may be <0.1 atomic%.

DTBOS로부터의 ALD 증착 데이터를 표 10에 나타내었다. 실리콘 옥사이드의 증착은 이들 막에 대한 적절한 굴절률에 의해 설명된다.ALD deposition data from DTBOS is shown in Table 10. The deposition of silicon oxide is explained by the proper refractive index for these films.

표 10Table 10

Figure pat00018
Figure pat00018

또한, 본 발명은 3차부톡시실란, 이소프로폭시실란, 에톡시실란, n-부톡시실란, 이소부톡시실란, 메톡시실란, 페녹시실란, 디-3차-부톡시실란, 디이소-프로폭시실란, 디에톡시실란, 디-n-부톡시실란, 디이소부톡시실란, 디메톡시실란, 디페녹시실란, 트리-3차-부톡시실란, 트리이소-프로폭시실란, 트리에톡시실란, 트리-n-부톡시실란, 트리이소-부톡시실란, 트리메톡시실란, 또는 트리페녹시실란을 함유한, 사공간(deadspace)이 작은 고순도 밸브를 갖는 유입구와 유출구를 갖춘 전해연마된(electropolished) 스테인리스강 용기를 포함하는, 상기 기재된 바와 같은 반응물을 갖는 패키지(package)를 포함한다.The present invention also relates to tertiary butoxysilane, isopropoxysilane, ethoxysilane, n-butoxysilane, isobutoxysilane, methoxysilane, phenoxysilane, di-tert-butoxysilane, diiso-pro Foxysilane, diethoxysilane, di-n-butoxysilane, diisobutoxysilane, dimethoxysilane, diphenoxysilane, tri-tert-butoxysilane, triiso-propoxysilane, triethoxysilane, Electropolished with inlet and outlet with low-purity, low-purity valves containing tri-n-butoxysilane, triiso-butoxysilane, trimethoxysilane, or triphenoxysilane ) A package with a reactant as described above, comprising a stainless steel container.

본 발명의 반응물 및 방법들은 광학 디바이스, 자기 정보 저장장치, 지지 재료 또는 기판상의 코팅, 미세전자기계 시스템(MEMS), 나노전자기계 시스템, 박막 트랜지스터(TFT), 및 액정 디스플레이(LCD)로 구성되는 군으로부터 선택된 디바이스를 제조하는데 사용될 수 있다.The reactants and methods of the present invention consist of an optical device, a magnetic information storage device, a support material or a coating on a substrate, a microelectromechanical system (MEMS), a nanoelectromechanical system, a thin film transistor (TFT), and a liquid crystal display (LCD). It can be used to make a device selected from the group.

Claims (14)

기판의 하나 이상의 표면상에 유전체 막을 형성하는 방법으로서,
반응 챔버내에서 상기 기판의 하나 이상의 표면을 제공하고;
하기 화학식 I, II 및 III을 지닌 전구체들의 군으로부터 선택되는 하나 이상의 전구체를 포함하는 실리콘 전구체를 상기 반응 챔버내로 도입하여 유전체 막을 형성하는 것을 포함하는, 기판의 하나 이상의 표면상에 유전체 막을 형성하는 방법:
Figure pat00019

상기 식에서, R, R1 및 R2는 각각 독립적으로 알킬기, 아릴기, 아실기 또는 이들의 조합물이다.
A method of forming a dielectric film on one or more surfaces of a substrate, the method comprising:
Providing at least one surface of the substrate in a reaction chamber;
A method of forming a dielectric film on at least one surface of a substrate comprising introducing a silicon precursor comprising at least one precursor selected from the group of precursors having Formulas (I), (II) and (III) into the reaction chamber to form a dielectric film :
Figure pat00019

Wherein R, R 1 and R 2 are each independently an alkyl group, an aryl group, an acyl group or a combination thereof.
제 1항에 있어서, 산소원(oxygen source), 질소원(nitrogen source), 또는 이들의 조합물로부터 선택된 하나 이상의 공급원(source)이 상기 반응 챔버내로 도입되는, 방법.The method of claim 1, wherein at least one source selected from an oxygen source, a nitrogen source, or a combination thereof is introduced into the reaction chamber. 제 1항에 있어서, 상기 유전체 막이 사이클릭 화학 기상 증착(cyclic chemical vapor deposition), 플라즈마 강화 화학 기상 증착(plasma enhanced chemical vapor deposition), 또는 원자층 증착(atomic layer deposition)으로부터 선택되는 하나 이상의 증착 공정에 의해 형성되는, 방법.The process of claim 1, wherein the dielectric film is selected from cyclic chemical vapor deposition, plasma enhanced chemical vapor deposition, or atomic layer deposition. Formed by the method. 제 1항에 있어서, 상기 실리콘 전구체가 디-3차-부톡시실란을 포함하는, 방법.The method of claim 1, wherein the silicon precursor comprises di-tert-butoxysilane. 제 1항에 있어서, 상기 실리콘 전구체가 디-3차-펜톡시실란을 포함하는, 방법.The method of claim 1, wherein the silicon precursor comprises di-tert-pentoxysilane. 제 2항에 있어서, 상기 산소원이 산소를 포함하는, 방법.The method of claim 2, wherein the oxygen source comprises oxygen. 제 2항에 있어서, 상기 산소원이 오존을 포함하는, 방법.The method of claim 2, wherein the oxygen source comprises ozone. 원자층 증착(ALD) 공정에 의해 실리콘과 산소를 포함하는 유전체 막을 형성하는 방법으로서,
a. 기판을 ALD 반응기에 넣는 단계;
b. 하기 화학식 I, II 및 III을 지닌 전구체들의 군으로부터 선택되는 하나 이상의 전구체를 포함하는 실리콘 전구체를 상기 ALD 반응기내로 도입시키는 단계로서, 하기 화학식 I, II 및 III에서 R, R1 및 R2는 각각 독립적으로 알킬기, 아릴기, 아실기 또는 이들의 조합물인, 단계:
Figure pat00020
;
c. 상기 ALD 반응기를 가스로 퍼징(purging)하는 단계;
d. 산소원을 상기 ALD 반응기내로 도입시키는 단계;
e. 상기 ALD 반응기를 가스로 퍼징하는 단계; 및
f. 요망되는 두께의 유전체 막이 수득될 때까지 상기 단계 b 내지 d를 반복하는 단계를 포함하며, 상기 유전체 막은 광전자분광법(XPS)에 의해 측정한 경우 약 30 원자 중량% 이하의 질소를 포함하는, 원자층 증착 공정에 의해 실리콘과 산소를 포함하는 유전체 막을 형성하는 방법.
A method of forming a dielectric film containing silicon and oxygen by an atomic layer deposition (ALD) process,
a. Placing the substrate in an ALD reactor;
b. Introducing a silicon precursor comprising at least one precursor selected from the group of precursors having Formulas (I), (II) and (III) into the ALD reactor, wherein R, R 1 and R 2 in Formulas (I), (II) and (III) Each independently an alkyl group, an aryl group, an acyl group or a combination thereof:
Figure pat00020
;
c. Purging the ALD reactor with a gas;
d. Introducing an oxygen source into the ALD reactor;
e. Purging the ALD reactor with a gas; And
f. Repeating steps b through d until a dielectric film of a desired thickness is obtained, said dielectric film comprising up to about 30 atomic weight percent nitrogen as measured by photoelectron spectroscopy (XPS) A method of forming a dielectric film comprising silicon and oxygen by a deposition process.
제 1항에 있어서, 질소원이 상기 반응 챔버내로 도입되는, 방법.The method of claim 1, wherein a nitrogen source is introduced into the reaction chamber. 제 1항에 있어서, 열 CVD 공정이 사용되고, XPS에 의해 측정한 경우 상기 유전체 막이 약 30 원자 중량% 이하의 질소를 포함하는, 방법.The method of claim 1, wherein a thermal CVD process is used and the dielectric film comprises up to about 30 atomic weight percent nitrogen as measured by XPS. 제 10항에 있어서, 산소원, 질소원, 또는 이들의 조합물로부터 선택되는 하나 이상의 공급원이 상기 반응 챔버내로 도입되는, 방법.The method of claim 10, wherein at least one source selected from an oxygen source, a nitrogen source, or a combination thereof is introduced into the reaction chamber. 제 1항의 방법으로부터 생성된 필름으로서, 조성이 SiaObNcCdHeBf이며, 여기서 a가 10-50 at%이고, b가 10 내지 70 at%이고, c가 0 내지 30 at%이고, d가 0 내지 30 at%이고, e가 0 내지 50 at%이고, f가 0 내지 30 at%인, 필름.A film produced from the method of claim 1, wherein the composition is Si a O b N c C d H e B f , wherein a is 10-50 at%, b is 10-70 at%, c is 0-30 at%, d from 0 to 30 at%, e from 0 to 50 at%, and f from 0 to 30 at%. 3차부톡시실란, 이소프로폭시실란, 에톡시실란, n-부톡시실란, 이소부톡시실란, 메톡시실란, 페녹시실란, 디-3차-부톡시실란, 디이소-프로폭시실란, 디에톡시실란, 디-n-부톡시실란, 디이소부톡시실란, 디메톡시실란, 디페녹시실란, 트리-3차-부톡시실란, 트리이소-프로폭시실란, 트리에톡시실란, 트리-n-부톡시실란, 트리이소-부톡시실란, 트리메톡시실란, 또는 트리페녹시실란을 함유하는, 사공간(deadspace)이 작은 고순도 밸브를 지닌 유입구와 유출구를 갖춘 전해연마된(electropolished) 스테인리스강 용기.Tert-butoxysilane, isopropoxysilane, ethoxysilane, n-butoxysilane, isobutoxysilane, methoxysilane, phenoxysilane, di-tert-butoxysilane, diiso-propoxysilane, diethoxy Silane, di-n-butoxysilane, diisobutoxysilane, dimethoxysilane, diphenoxysilane, tri-tert-butoxysilane, triiso-propoxysilane, triethoxysilane, tri-n-part An electropolished stainless steel vessel having an inlet and an outlet having a low-density, high purity valve containing oxysilane, triiso-butoxysilane, trimethoxysilane, or triphenoxysilane. 광학 디바이스(optical device), 자기 정보 저장장치(magnetic information storage), 지지 재료(supporting material) 또는 기판상의 코팅, 미세전자기계 시스템(microelectromechanical system, MEMS), 나노전자기계 시스템, 박막 트랜지스터(TFT), 및 액정 디스플레이(LCD)로 구성된 군으로부터 선택되는, 제 1항의 방법을 이용하여 제조된 디바이스.Optical devices, magnetic information storage, support material or coatings on substrates, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistors (TFTs), And a liquid crystal display (LCD). A device manufactured using the method of claim 1.
KR1020110010656A 2010-02-04 2011-02-07 Methods to prepare silicon-containing films KR20110090838A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US30137510P 2010-02-04 2010-02-04
US61/301,375 2010-02-04
US13/015,720 2011-01-28
US13/015,720 US8703625B2 (en) 2010-02-04 2011-01-28 Methods to prepare silicon-containing films

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020130017970A Division KR101950956B1 (en) 2010-02-04 2013-02-20 Methods to prepare silicon-containing films
KR1020140094747A Division KR20140105701A (en) 2010-02-04 2014-07-25 Methods to prepare silicon-containing films

Publications (1)

Publication Number Publication Date
KR20110090838A true KR20110090838A (en) 2011-08-10

Family

ID=44530600

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020110010656A KR20110090838A (en) 2010-02-04 2011-02-07 Methods to prepare silicon-containing films
KR1020130017970A KR101950956B1 (en) 2010-02-04 2013-02-20 Methods to prepare silicon-containing films
KR1020140094747A KR20140105701A (en) 2010-02-04 2014-07-25 Methods to prepare silicon-containing films
KR1020160182960A KR101950952B1 (en) 2010-02-04 2016-12-29 Methods to prepare silicon-containing films

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020130017970A KR101950956B1 (en) 2010-02-04 2013-02-20 Methods to prepare silicon-containing films
KR1020140094747A KR20140105701A (en) 2010-02-04 2014-07-25 Methods to prepare silicon-containing films
KR1020160182960A KR101950952B1 (en) 2010-02-04 2016-12-29 Methods to prepare silicon-containing films

Country Status (4)

Country Link
US (2) US8703625B2 (en)
JP (5) JP2011171730A (en)
KR (4) KR20110090838A (en)
CN (2) CN102191479B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101512315B1 (en) * 2012-10-02 2015-04-15 모진희 Plating solution included silicon and manufacturing method for subtract plated silicon using the same
KR20170133442A (en) * 2015-03-31 2017-12-05 버슘머트리얼즈 유에스, 엘엘씨 Methods for depositing boron-containing compounds, compositions, and boron-containing films
CN112771201A (en) * 2018-10-02 2021-05-07 瑞士艾发科技 Plasma Enhanced Atomic Layer Deposition (PEALD) apparatus

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
TW201319299A (en) * 2011-09-13 2013-05-16 Applied Materials Inc Activated silicon precursors for low temperature plasma enhanced deposition
KR102029286B1 (en) 2012-03-09 2019-10-07 버슘머트리얼즈 유에스, 엘엘씨 Barrier materials for display devices
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
TWI595112B (en) 2012-10-23 2017-08-11 蘭姆研究公司 Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20140295141A1 (en) * 2013-03-27 2014-10-02 E I Du Pont De Nemours And Company Making the Surface of an Article Visibly Line Free
JP5852147B2 (en) * 2014-01-23 2016-02-03 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20150275355A1 (en) * 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
WO2016038744A1 (en) * 2014-09-12 2016-03-17 株式会社日立国際電気 Method for manufacturing semiconductor device, substrate processing apparatus and recording medium
KR102332415B1 (en) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
EP3460827B1 (en) * 2015-02-06 2022-05-25 Versum Materials US, LLC Compositions and methods using same for carbon doped silicon containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
EP3298177A1 (en) * 2015-05-22 2018-03-28 Dow Corning Corporation Pentachlorosilane
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
KR102613423B1 (en) * 2015-12-21 2023-12-12 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing film
CN106941103A (en) * 2016-01-04 2017-07-11 中芯国际集成电路制造(北京)有限公司 The forming method of nand memory
JP6523186B2 (en) * 2016-02-01 2019-05-29 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus and program
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
JP6805347B2 (en) * 2017-07-13 2020-12-23 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
US10707073B2 (en) 2017-09-05 2020-07-07 Asm Ip Holding B.V. Film forming method and patterning method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
CN108546927B (en) * 2018-07-23 2019-12-03 业成科技(成都)有限公司 Using chemical vapor deposition Long carbon chain silane compound as the method for air-tight water-proof
KR20220056249A (en) 2018-10-19 2022-05-04 램 리써치 코포레이션 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11594409B2 (en) * 2020-02-28 2023-02-28 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
CN115125512A (en) * 2022-07-11 2022-09-30 杭州师范大学 Substrate surface inert treatment method by using thermal decomposition deposition technology of tetramethyldisiloxane

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579965A (en) * 1985-01-24 1986-04-01 Union Carbide Corporation Process for preparing vinyl-tri-(tertiary substituted) alkoxysilanes
US5177234A (en) * 1991-06-03 1993-01-05 Dow Corning Corporation Preparation of alkoxysilanes by contacting a solution of hydrogen fluoride in an alcohol with silicon
GB9117744D0 (en) * 1991-08-16 1991-10-02 Shell Int Research Polymerization of cycloolefins and catalytic system suitable for use therein
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
JP3166329B2 (en) 1992-08-14 2001-05-14 ソニー株式会社 Sample servo device
JP2884968B2 (en) * 1992-11-17 1999-04-19 東亞合成株式会社 Method for manufacturing silicon oxide film
JP3061255B2 (en) 1995-08-18 2000-07-10 キヤノン販売株式会社 Film formation method
US6667232B2 (en) * 1998-12-08 2003-12-23 Intel Corporation Thin dielectric layers and non-thermal formation thereof
WO2001021528A1 (en) * 1999-09-17 2001-03-29 Mitsui Chemicals, Inc Process for producing fluorinated silicon compound
US6436822B1 (en) * 2000-11-20 2002-08-20 Intel Corporation Method for making a carbon doped oxide dielectric material
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP2002299441A (en) * 2001-03-30 2002-10-11 Jsr Corp Method of forming dual damascene structure
JP2003158127A (en) * 2001-09-07 2003-05-30 Arieesu Gijutsu Kenkyu Kk Method and device for forming film and semiconductor device
KR20030043380A (en) * 2001-11-28 2003-06-02 주식회사 하이닉스반도체 Method of manufacturing capacitor for semiconductor device
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
TW200408015A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
CN1739190A (en) * 2003-01-17 2006-02-22 艾克塞利斯技术公司 Fluorine-free plasma curing process for porous Low-K-materials
JP2004233254A (en) * 2003-01-31 2004-08-19 Asahi Denka Kogyo Kk Feed device of high purity medicine, and feed method of high purity medicine using the same
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US20040180551A1 (en) * 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
JP4032044B2 (en) * 2003-06-17 2008-01-16 株式会社半導体プロセス研究所 Film forming method, semiconductor device manufacturing method, and semiconductor device
KR100697505B1 (en) * 2003-06-27 2007-03-20 어플라이드 마이크로스트럭쳐스, 인코포레이티드 Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
JP4401912B2 (en) * 2003-10-17 2010-01-20 学校法人早稲田大学 Method for forming semiconductor multilayer wiring board
JP2005197561A (en) 2004-01-09 2005-07-21 Hitachi Kokusai Electric Inc Substrate processing apparatus
US7097878B1 (en) * 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
JP4541080B2 (en) * 2004-09-16 2010-09-08 東京応化工業株式会社 Antireflection film forming composition and wiring forming method using the same
SG121180A1 (en) * 2004-09-28 2006-04-26 Air Prod & Chem Porous low dielectric constant compositions and methods for making and using same
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
JP2006120920A (en) * 2004-10-22 2006-05-11 Tokyo Ohka Kogyo Co Ltd Coating liquid for forming silica based film
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7425350B2 (en) * 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP2007123633A (en) * 2005-10-28 2007-05-17 Tokyo Ohka Kogyo Co Ltd Composite for silica-based film formation and silica-based film obtained from the composite
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7989030B2 (en) * 2006-08-04 2011-08-02 Dow Corning Corporation Silicone resin and silicone composition
JP5194028B2 (en) * 2007-01-12 2013-05-08 ユーティルエックス コーポレイション Compositions and methods for repairing power cables and inhibiting corrosion of their aluminum conductor cores
CN101312129A (en) * 2007-02-15 2008-11-26 气体产品与化学公司 Activated chemical process for enhancing material properties of dielectric film
JP4735601B2 (en) * 2007-05-14 2011-07-27 ソニー株式会社 Thin film formation method using atomic layer deposition
EP2193541A1 (en) * 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7846793B2 (en) 2007-10-03 2010-12-07 Applied Materials, Inc. Plasma surface treatment for SI and metal nanocrystal nucleation
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP2012122002A (en) * 2010-12-09 2012-06-28 Daicel Corp Addition-curable metallosiloxane compound
IT1403278B1 (en) * 2010-12-20 2013-10-17 Polimeri Europa Spa CATALYST AND CATALYST PRECURSOR FOR (CO) HIGH TEMPERATURE ALMA-OLEPHINE POLYMERIZATION

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101512315B1 (en) * 2012-10-02 2015-04-15 모진희 Plating solution included silicon and manufacturing method for subtract plated silicon using the same
KR20170133442A (en) * 2015-03-31 2017-12-05 버슘머트리얼즈 유에스, 엘엘씨 Methods for depositing boron-containing compounds, compositions, and boron-containing films
KR20200130500A (en) * 2015-03-31 2020-11-18 버슘머트리얼즈 유에스, 엘엘씨 Boron-containing compounds, compositions, and methods for the deposition of boron containing films
CN112771201A (en) * 2018-10-02 2021-05-07 瑞士艾发科技 Plasma Enhanced Atomic Layer Deposition (PEALD) apparatus

Also Published As

Publication number Publication date
KR101950952B1 (en) 2019-02-21
US8703625B2 (en) 2014-04-22
KR101950956B1 (en) 2019-02-21
JP2011171730A (en) 2011-09-01
CN102191479A (en) 2011-09-21
JP5746119B2 (en) 2015-07-08
CN102191479B (en) 2013-09-18
US9502234B2 (en) 2016-11-22
US20150249007A1 (en) 2015-09-03
KR20130032343A (en) 2013-04-01
JP2015156514A (en) 2015-08-27
JP6359999B2 (en) 2018-07-18
JP2018014503A (en) 2018-01-25
JP5746120B2 (en) 2015-07-08
CN103397307A (en) 2013-11-20
JP2013021360A (en) 2013-01-31
KR20140105701A (en) 2014-09-02
US20110215445A1 (en) 2011-09-08
JP6480527B2 (en) 2019-03-13
JP2013016858A (en) 2013-01-24
CN103397307B (en) 2017-07-07
KR20170004942A (en) 2017-01-11

Similar Documents

Publication Publication Date Title
KR101950952B1 (en) Methods to prepare silicon-containing films
KR101070953B1 (en) Dielectric films comprising silicon and methods for making same
KR101640153B1 (en) Non-oxygen containing silicon-based films and methods of forming the same
JP6092902B2 (en) Method for producing a silicon-containing film on a thin film transistor device
US8940648B2 (en) Process for producing silicon and oxide films from organoaminosilane precursors
EP2363512A1 (en) Methods to prepare silicon-containing films
TWI431147B (en) Methods to prepare silicon-containing films

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
A107 Divisional application of patent
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E801 Decision on dismissal of amendment
A107 Divisional application of patent