KR20110044834A - Apparatus for wetting pretreatment in improved damascene metal filling - Google Patents

Apparatus for wetting pretreatment in improved damascene metal filling Download PDF

Info

Publication number
KR20110044834A
KR20110044834A KR1020107026340A KR20107026340A KR20110044834A KR 20110044834 A KR20110044834 A KR 20110044834A KR 1020107026340 A KR1020107026340 A KR 1020107026340A KR 20107026340 A KR20107026340 A KR 20107026340A KR 20110044834 A KR20110044834 A KR 20110044834A
Authority
KR
South Korea
Prior art keywords
wetting
wafer
fluid
wetting fluid
wafer substrate
Prior art date
Application number
KR1020107026340A
Other languages
Korean (ko)
Other versions
KR101265416B1 (en
Inventor
스티븐 티. 마이어
데이비드 더블유. 포터
마크 제이. 윌리
로버트 래쉬
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/684,787 external-priority patent/US8962085B2/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20110044834A publication Critical patent/KR20110044834A/en
Application granted granted Critical
Publication of KR101265416B1 publication Critical patent/KR101265416B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers

Abstract

프리-웨팅 장치 설계와 방법이 개시된다. 이러한 장치 설계 및 방법은 웨이퍼의 기판 위에 금속을 도금하기 전 웨이퍼를 프리-웨팅하기 위해 이용된다. 웨이퍼 위의 씨앗층의 부식을 방지하고, 웨이퍼 상의 특징부의 채움 속도(filling rate)를 향상시키는 프리-웨팅 유체의 조성물이 개시된다.A pre-wetting device design and method are disclosed. Such device designs and methods are used to pre-wet the wafers before plating the metal on the wafers' substrates. A composition of a pre-wetting fluid is disclosed that prevents corrosion of the seed layer on the wafer and improves the filling rate of the features on the wafer.

Description

개선된 다마신 금속 충전에 있어서 웨팅 전처리를 위한 장치{Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling}[0001] Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling [0002]

관련 출원에 대한 상호-참조Cross-reference to related application

본 출원은 2009년 6월 17에 출원된 미국 특허출원 제61/218,024호; 2010년 1월 8일에 출원된 제12/684,787호 및 12/684,792호를 기초로 우선권을 주장하며, 위 출원은 본원에서 참조로서 포함된다.The present application is related to U.S. Patent Application No. 61 / 218,024, filed June 17, 2009; 12 / 684,787 and 12 / 684,792, filed January 8, 2010, the entire disclosures of which are incorporated herein by reference.

본 발명의 기술 분야The technical field of the present invention

본원에 개시된 실시예는 프리-웨팅(pre-wetting) 장치 설계 및 방법에 관한 것으로, 더욱 상세하게는 집적 회로 제작을 위하여 웨이퍼 위에 전기 전도성 물질을 증착시키기 전에 반도체의 프리-웨팅을 위한 프리-웨팅 장치 설계 및 방법에 관한 것이다.The embodiments described herein relate to pre-wetting device designs and methods, and more particularly to pre-wetting device designs and methods for pre-wetting semiconductor devices prior to depositing an electrically conductive material on the wafers for integrated circuit fabrication. Device design and method.

웨팅(witting)은 액체와 고체 사이의 점착력(adhesive force) 및 유체 내의 점착력에 의해 지배되는 액체/고체 계면의 속성이다. 액체와 고체 사이의 점착력은 액체가 고체 계면 위에 퍼질 수 있도록 한다. 액체 내의 점착력은 액체가 고체 표면과의 접촉을 최소화할 수 있도록 한다. 액체에 의한 고체 계면의 웨팅은 액체가 고체 표면과 상호 작용하는 많은 산업적 공정에서 중요하다. 집적 회로 제작에서의 도금을 포함하는 도금(음극성 공정(cathodic process))이 이러한 산업적 공정 중 하나이다. 웨팅은 또한, 전기-에칭(eletroetching) 및 전해-연마(electropolishing)를 포함하는 양극성 공정(anodic processes)에서도 중요하다. Wetting is an attribute of a liquid / solid interface dominated by an adhesive force between the liquid and the solid and an adhesive force in the fluid. Adhesion between the liquid and the solid allows the liquid to spread over the solid interface. Adhesion in the liquid allows the liquid to minimize contact with the solid surface. The wetting of the solid interface by liquids is important in many industrial processes where the liquid interacts with the solid surface. Plating (cathodic process) involving plating in integrated circuit fabrication is one such industrial process. Wetting is also important in anodic processes, including electro-etching and electropolishing.

예를 들어, 집적 회로 제작에서, 물리적 기상 증착(PVD) 또는 화학적 기상 증착(CVD)에 의해 웨이퍼 표면에 증착된 금속의 씨앗층 위에 전기-도금을 함으로써 구리와 같은 전도성 물질이 흔히 증착된다. 전기-도금은 다마신(damascene) 처리 및 듀얼 다마신 처리 동안 웨이퍼의 비아(via) 및 트렌치(trench) 내에 금속을 증착시키기 위한 선택적 방법이다.For example, in integrated circuit fabrication, conductive materials such as copper are often deposited by electroplating onto a seed layer of metal deposited on a wafer surface by physical vapor deposition (PVD) or chemical vapor deposition (CVD). Electro-plating is an optional method for depositing metal in vias and trenches in wafers during damascene processing and dual damascene processing.

다마신 처리는 집적 회로 위에 인터커넥션을 형성하기 위한 방법이다. 이는, 전도성 물질로서 구리를 이용하는 집적 회로 제작에 특히 적합하다. 다마신 처리는 유전층(금속-간 유전체)에 형성된 트랜치 및 비아에 상감 금속 라인(inlaid metal line)의 형성을 수반한다. 통상적인 다마신 공정에서, 트랜치 및 비아의 패턴이 반도체 웨이퍼 기판의 유전층에서 에칭된다. 통상적으로, 그 후, 탄탈, 탄탈 질화물, 또는 TaN/Ta 이중층과 같은 점착성 금속 확산-장벽 필름의 박막층이 PVD법에 의해 웨이퍼 표면 위에 증착되고, 이러한 확산-장벽 층의 상부에 전기도금-가능 금속 씨앗층(가령, 구리, 니켈, 코발트, 루테늄 등)이 뒤이어 증착된다. 그 후, 트렌치 및 비아가 구리로 전기-충전되고(electrofilled), 웨이퍼의 표면이 평탄화된다.The damascene process is a method for forming an interconnection on an integrated circuit. This is particularly suitable for the fabrication of integrated circuits using copper as a conductive material. The damascene treatment involves the formation of an inlaid metal line in the trenches and vias formed in the dielectric layer (intermetal dielectric). In a typical damascene process, patterns of trenches and vias are etched in the dielectric layer of a semiconductor wafer substrate. Typically, a thin film layer of a tacky metal diffusion-barrier film, such as tantalum, tantalum nitride, or a TaN / Ta bilayer, is then deposited on the wafer surface by the PVD method, and an electroplating- A seed layer (e.g., copper, nickel, cobalt, ruthenium, etc.) is subsequently deposited. The trenches and vias are then electrofilled with copper and the surface of the wafer is planarized.

본 발명은 프리-웨팅(pre-wetting) 장치 설계 및 방법에 관한 것이다.The present invention relates to a pre-wetting device design and method.

일 실시예에서, 웨이퍼 표면을 전기 분해로 처리하기 전에 웨이퍼 표면을 프리-웨팅하는 장치가 개시된다. 상기 장치는 프리-웨팅 전에, 용해되지 않은 하나 이상의 가스를 프리-웨팅 유체로부터 제거하도록 구성된 탈기 장치(degasser)와, 프리-웨팅 유체가 들어오기 위한 주입구를 갖는 공정 챔버를 포함한다. 상기 공정 챔버는 아대기압(sub-atmospheric pressure)에서 탈가스된 프리-웨팅 유체를 이용하여 웨이퍼 표면을 프리-웨팅하도록 구성된다. 공정 챔버 내부에는 프리-웨팅 공정 동안 웨이퍼 표면을 고정하도록 위치되고 구성된 웨이퍼 고정부가 존재한다.In one embodiment, an apparatus for pre-wetting a wafer surface prior to electrolytically treating the wafer surface is disclosed. The apparatus includes a degasser configured to remove one or more undissolved gases from the pre-wetting fluid prior to pre-wetting, and a process chamber having an inlet for introducing the pre-wetting fluid. The process chamber is configured to pre-wet the wafer surface using a degassed pre-wetting fluid at sub-atmospheric pressure. Inside the process chamber there is a wafer fixture positioned and configured to secure the wafer surface during the pre-wetting process.

또 다른 실시예에서, 웨이퍼 기판을 전기 분해로 처리하기 전에 웨이퍼 표면을 프리-웨팅하는 장치가 개시된다. 상기 장치는 프리-웨팅 유체가 들어오기 위한 주입구를 갖는 공정 챔버를 포함한다. 상기 공정 챔버는 기포 제거를 용이하게 하기 위하여 프리-웨팅 동안 또는 프리-웨팅 이후 대기압보다 높은 압력에서 동작하도록 구성된다. 공정 챔버의 내부에는 프리-웨팅 공정 동안 웨이퍼 기판을 고정하도록 위치되고 구성된 웨이퍼 고정부가 존재한다.In yet another embodiment, an apparatus for pre-wetting a wafer surface prior to electrolytically treating the wafer substrate is disclosed. The apparatus includes a process chamber having an inlet for introducing pre-wetting fluid. The process chamber is configured to operate at a pressure greater than atmospheric pressure during pre-wetting or after pre-wetting to facilitate bubble removal. Inside the process chamber there is a wafer fixture positioned and configured to secure the wafer substrate during the pre-wetting process.

도 1은 특징부 크기 대 기포 용해(bubble dissolution)의 그래프를 도시한다.
도 2는 용해된 가스압력 대 기포 용해 시간의 그래프를 도시한다.
도 3은 프리-웨팅 장치의 일 실시예의 도식적 배치이다.
도 4는 프리-웨팅 챔버의 실시예를 도시한다.
도 5는 프리-웨팅 챔버의 실시예의 등각도이다.
도 6은 응축 프리-웨팅 공정을 위해 구성된 프리-웨팅 챔버의 실시예를 도시한다.
도 7은 담금(immersion) 프리-웨팅 공정을 위해 구성된 프리-웨팅 챔버의 실시예를 도시한다.
도 8은 담금 프리-웨팅 공정을 위해 구성된 프리-웨팅 챔버의 또 다른 실시예를 도시한다.
도 9는 프리-웨팅 공정이 도금 셀에서 수행되는 장치의 실시예를 도시한다.
도 10은 전기-도금 시스템의 실시예를 도시한다.
도 11a 및 11b는 프리-웨팅 공정의 실시예에 대한 흐름도이다.
도 12는 웨이퍼 기판 위에 금속층을 전기-도금하기 위한 전기-도금 공정의 실시예에 대한 흐름도이다.
도 13은 프리-웨팅 유체가 충전된 특징부를 갖는 웨이퍼 기판을 도시한다.
Figure 1 shows a graph of feature size versus bubble dissolution.
Figure 2 shows a graph of dissolved gas pressure versus bubble dissolution time.
3 is a schematic representation of one embodiment of a pre-wetting apparatus.
Figure 4 shows an embodiment of a pre-wetting chamber.
5 is an isometric view of an embodiment of a pre-wetting chamber.
Figure 6 illustrates an embodiment of a pre-wetting chamber configured for a condensing pre-wetting process.
Figure 7 illustrates an embodiment of a pre-wetting chamber configured for immersion pre-wetting processes.
Figure 8 shows another embodiment of a pre-wetting chamber configured for a dipping pre-wetting process.
Figure 9 illustrates an embodiment of an apparatus in which a pre-wetting process is performed in a plating cell.
Figure 10 shows an embodiment of an electro-plating system.
11A and 11B are flow charts of an embodiment of a pre-wetting process.
12 is a flow chart of an embodiment of an electro-plating process for electro-plating a metal layer on a wafer substrate.
Figure 13 shows a wafer substrate with features filled with pre-wetting fluid.

구체적 실시예에 대한 참조가 이루어질 것이다. 구체적 실시예의 예시가 첨부 도면에 도시된다. 본 발명이 이러한 구체적 실시예와 관련해 기술될 것이나, 이러한 실시예에 본 발명이 제한되는 것이 아님이 이해될 것이다. 오히려, 대안적 형태, 수정 형태, 및 등가물이, 첨부된 청구항에 의해 정의되는 바와 같은 본 발명의 사상과 범위 내에 포함될 수 있도록 의도되었다. 이하의 설명에서, 본 발명에 대한 완전한 이해를 위하여 수많은 세부 사항이 설명된다. 본 발명은 이러한 세부 사항 중 일부 또는 전부 없이 실시될 수도 있다. 그 밖의 다른 경우에서, 본 발명을 불필요하게 불명료하게 만들지 않도록, 공지된 프로세스 동작은 자세히 설명되지 않았다. Reference will now be made to specific embodiments. Examples of specific embodiments are shown in the accompanying drawings. While the invention will be described in connection with such specific embodiments, it will be understood that the invention is not limited to these embodiments. Rather, alternative forms, modifications, and equivalents are intended to be included within the spirit and scope of the present invention as defined by the appended claims. In the following description, numerous details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these details. In other instances, well known process operations have not been described in detail so as not to unnecessarily obscure the present invention.

웨이퍼 진입 및 도금 중 웨이퍼 처리의 조건을 수정하기 위하여, 웨이퍼 프리-웨팅을 위한 장치 설계와 방법, 및 프리-웨팅 조성물이 본원에 개시된다. 본원에 제공된 실시예에 따른 프리-웨팅 공정이, 전기-도금 쳄버에서, 또는 프리-웨팅 장소 및 전기-도금 장소를 포함하는 모듈의 별개의 프리-웨팅 장소에서 수행될 수 있다. 일부 실시예에서, 프리-웨팅과 전기-도금이 별개의 장치에서 수행된다.To modify the conditions of wafer processing during wafer entry and plating, device design and methods for wafer pre-wetting, and pre-wetting compositions are disclosed herein. The pre-wetting process according to embodiments provided herein may be performed in an electro-plating chamber, or in a separate pre-wetting location of the module including the pre-wetting site and the electroplating site. In some embodiments, pre-wetting and electroplating are performed in separate devices.

상기 장치는 통상적으로 반도체 웨이퍼이며, 상기 반도체 웨이퍼는 그 위에 존재하는 전도성 물질층(가령, 구리 또는 구리 합금을 포함하는 씨앗층)을 가진다. 전기-도금 동안, 전도성 층으로의 전기적 연결이 이루어지고, 웨이퍼 표면이 음으로 바이어스됨으로써, 캐소드의 역할을 할 수 있다. 웨이퍼는 웨이퍼 캐소드에서 감소되는 금속 염(가령, 구리 황산염(copper sulfate), 구리 알킬술폰산염(copper alkylsulfonate), 또는 염의 혼합물)을 포함하는 도금 용액과 접촉하며, 이로써 웨이퍼 위에 금속이 증착될 수 있다. 다양한 실시예에서, 기판은 하나 이상의 오목한 특징부(가령, 비아 및/또는 트렌치)를 포함하고, 이러한 특정부는 전기-도금 공정에 의해 충전될 필요가 있다. 또한, 금속 염에 더하여 도금 용액은 산(acid)을 포함할 수도 있고, 통상적으로는, 기판의 다양한 표면상의 전착 속도(electrodeposition)를 조절하는데 이용되는 할로겐화물(가령, 염화물, 브롬화물 등), 촉진제, 레벨러(leveler), 및 억제제와 같은 하나 이상의 첨가물을 포함한다. The device is typically a semiconductor wafer, which has a layer of a conductive material (e.g., a seed layer comprising copper or a copper alloy) present thereon. During electroplating, an electrical connection to the conductive layer is made and the wafer surface is negatively biased, thereby serving as a cathode. The wafer is contacted with a plating solution comprising a reducing metal salt (e.g., copper sulfate, copper alkylsulfonate, or a mixture of salts) that is reduced at the wafer cathode, whereby metal may be deposited on the wafer . In various embodiments, the substrate includes one or more recessed features (e.g., vias and / or trenches), and this particular portion needs to be filled by an electroplating process. Further, in addition to the metal salt, the plating solution may include an acid, and typically includes a halide (e.g., chloride, bromide, etc.) used to control the electrodeposition on the various surfaces of the substrate, Enhancers, accelerators, levelers, and inhibitors.

개시된 공정 및 관련 장치는, 실리콘 비아(TSV) 전기-충전 구조를 통과해 나타나는 구리에서 일반적으로 발견될 수 있는 (가령, 통상적으로 5μm보다 큰) 와이더(wider) 및 (가령, 통상적으로 10μm보다 큰) 디퍼(deeper) 다마신 구조(비아)를 전기-충전(electrofilling)하는데 특히 이용되고 필요할 수 있다. 관통 실리콘 비아 구조가 2008년 8월 18일에 출원된 미국 특허 출원 제12/193,644호에 추가로 기술되어 있으며, 상기 미국 특허 출원은 본원에서 참조로서 포함된다. 기판 위에 또는 특징부 내에 트랩되거나 존재하는 가스 기포가, 비전도성 가스로 특징부 표면이 차단됨으로써 또는 전류의 자유 통로에 대한 방해물을 형성함으로써 필드 및 특징부 도금 공정을 방해할 것이다. 개시된 공정 및 관련 장치 설계에 의해, 무공동(void-free) 구리 전기-충전(electrofilling)이 가능하다. The disclosed processes and related devices may include wider (e.g., typically greater than 5 袖 m) wirings (e.g., typically greater than 10 袖 m) that are typically found in copper appearing through a silicon via (TSV) Lt; / RTI > may be particularly utilized and needed for electrofilling large deeper damascene structures (vias). A through silicon via structure is further described in U.S. Patent Application No. 12 / 193,644, filed on August 18, 2008, which is incorporated herein by reference. Gas bubbles trapped or present on or in the substrate will interfere with the field and feature plating process by blocking the feature surface with a nonconductive gas or by forming an obstruction to the free path of current. By the disclosed process and associated device design, void-free copper electrofilling is possible.

TSV 인터커넥션의 전기-도금 및 전기-충전에 다수의 도전 과제가 존재한다. 여기에는, 매우 넓거나 깊은 구조로 인한 긴 도금 시간, 그리고 도금 전해질 용액과의 씨앗층 부식 반응 및 PVD-증착된 씨앗층에 의한 낮은 측벽의 불충분한 커버리지로 인한 측벽 공동(void)의 형성이 포함된다. 더욱이, 모든 오목한 특징부 내부가 액체로 충전되고 특징부 내에 도금을 방해하는 트랩된 가스가 존재하지 않음을 보장하는 것이 중요하다. 또한, 특징부의 바닥에서 선택적으로 도금 저항성(plating resistance)을 제거하면서, 강한 벽 및 필드 도금 성장-억제를 동시에 유지하는 것이 유리하다. There are a number of challenges in electro-plating and electro-charging of TSV interconnection. This includes long plating times due to very wide or deep structures, and the formation of sidewall voids due to the seed layer corrosion reaction with the plating electrolyte solution and the insufficient coverage of the low sidewalls by the PVD-deposited seed layer do. Moreover, it is important to ensure that all recessed features are filled with liquid and that there is no trapped gas in the feature that interferes with plating. It is also advantageous to simultaneously maintain strong wall and field plating growth-inhibition while selectively removing plating resistance at the bottom of the feature.

본원에 기술된 프리-웨팅 장치 설계 및 방법은 일반적으로 금속(특히, 구리) 전기-도금(음극성 공정)과 관련하여 설명된다. 그러나, 본원에 기술된 프리-웨팅 장치 설계 및 방법은 일반적으로 모든 전기 분해 공정(가령, 모두 양극성 공정인 전기-에칭 및 전해-연마 공정)에도 적용될 수 있다.The pre-wetting device designs and methods described herein are generally described in connection with metal (especially copper) electroplating (negative polarity processing). However, the pre-wetting apparatus designs and methods described herein are generally applicable to all electrolytic processes (e.g., electro-etch and electrolytic-abrasive processes, both bipolar processes).

도금 공정에 필요한, 액체가 충전된 기포 없는 오목 특징부를 형성하는 방법이 기술된다. 더욱이, 씨앗층 부식을 최소화하는 동시에 도금 속도를 증가시키는 프리-웨팅 유체의 조성물이 기술된다.A method for forming a bubble free concave feature filled with liquid, which is necessary for the plating process, is described. Moreover, compositions of pre-wetting fluids are disclosed that minimize the seed layer corrosion while increasing the plating rate.

도입Introduction

액체와의 기포 계면에서 용해되지 않은 가스의 농도는 헨리의 법칙에 의한 내부 기포압과 관련되며, 헨리의 법칙 중 한 형태가 다음과 같이 표현될 수 있다: The concentration of undissolved gas at the air interface with the liquid is related to the internal bubble pressure by Henry's law, and one form of Henry's law can be expressed as:

Ci =xiHiPi (1)C i = x i H i P i (1)

여기서, 아래 첨자 i는 기포 "내부"를 가리키고, Ci는 기포 계면에서 액체상(liquid phase)의 용해되지 않은 가스 분자 요소의 농도(가령, 각각 moles/l에서 질소, 산소 등)이며, xi는 기포 자체의 가스상(gas phase)에서 상기 가스 분자 요소의 몰분율이고, Hi는 헨리의 법칙 상수이며, Pi는 기포 내부의 압력이다. Where C i is the concentration of undissolved gas molecule elements in the liquid phase at the air interface (e.g., nitrogen, oxygen, etc., in moles / l, respectively) and x i Is the mole fraction of the gas molecule element in the gas phase of the bubble itself, H i is Henry's law constant and P i is the pressure inside the bubble.

위 식은 가스의 혼합물에서 가스의 각각(산소, 질소 등)의 분자 요소에 대하여 쓰여질 수 있다. 벌크 용액(bulk solution)에서 용해되지 않은 가스의 농도에 대한 유사한 식이 존재하며, 여기서 아래 첨자 b는, 예를 들어 벌크 내의 화학종(species)의 농도(Cb)와 평형을 이룰 가스상(gas phase) 압력을 나타내는 Pb와 동일한 P를 갖는 용액 "벌크"를 표시하기 위해 사용된다. 2D 및 3D 분산 효과(Dispersion Effect)를 무시하고, 기포 가스상 내의 가스 분자의 기포/액체 표면으로의 확산이 속도가 제한되지 않는다고 가정함으로써(이로써, 기포 계면에서 용해되지 않은 가스와 기포 안쪽 가스의 농도 사이의 평형 상태가 유지됨), 특징부 안쪽에 트랩된 기포로부터 가스 확산의 속도에 대한 유용한 근사치가 획득될 수 있고, 이러한 속도 근사치는 단음과 같이 표현된다:The above equation can be written for a molecular element of each of the gases (oxygen, nitrogen, etc.) in a mixture of gases. There is a similar equation for the concentration of undissolved gas in a bulk solution, where the subscript b is a gas phase which equilibrates with the concentration (C b ) of the species in the bulk, e. G. ) is used to indicate a solution "bulk" having the same P and P b represents the pressure. By assuming that the rate of diffusion of the gas molecules in the bubble gas phase to the bubbles / liquid surface is not limited (by ignoring the 2D and 3D dispersion effects), the concentration of undissolved gas and gas inside the bubbles , A useful approximation of the rate of gas diffusion from the bubbles trapped inside the feature can be obtained, and this rate approximation is expressed as a single tone: < RTI ID = 0.0 >

R= dV/dt= DH(xiPi - xbPb) / h (2)R = dV / dt = DH ( x i P i - x b P b) / h (2)

여기서, V는 기포 가스 부피이고, t는 시간이며, D는 용액 내 가스의 확산 지수이고, h는 트랩된 기포의 상부에서부터, 상부 웨이퍼 평면 위의 δ거리에 위치하는 경계층 두께의 에지까지의 거리이며, 아래 첨자 b는 확산 경계층 계면에서 용액의 벌크의 상태에 대응한다. 고정된 온도(일정한 헨리의 법칙 상수 및 확산 계수)에서의 주어진 화학 시스템에 있어서, 두 인자: 즉, 1) 큰 농도차/원동력(driving force) (xiP1 - xbPb); 그리고 2) 짧은 확산 거리(h)가 상대적으로 빠른 기포 용해를 가져올 수 있다.Where D is the diffusion index of the gas in solution, h is the distance from the top of the trapped bubble to the edge of the thickness of the boundary layer located at the delta distance above the upper wafer plane, V is the volume of the bubble gas, t is the time, And the subscript b corresponds to the bulk state of the solution at the diffusion boundary layer interface. For a given chemical system at a fixed temperature (constant Henry's law constant and diffusion coefficient), two factors: 1) a large concentration difference / driving force (x i P 1 - x b P b ); And 2) a short diffusion distance (h) can lead to relatively fast bubble melting.

원동력 항 H(xiP1 - xbPb)의 값이 0인 경우, 용해율은 0이다. 일반적으로 상기 항은 매우 작다. 기포 내의 가스가 프리-웨팅 공정 전 웨이퍼 내의 비아 안쪽의 공기로부터 통상적으로 유래되고, 액체가 프리-웨팅 공정 전 통상적으로 위와 동일한 공기로 포화되기 때문에, 기포 계면에서의 몰분율과 벌크 용액 내의 몰분율이 처음에는 공기의 몰분율과 같을 것이다(예를 들어, 기포 내 및 벌크 용액 내 모두에서 산소에 대하여 x=0.21). 따라서, 이러한 상황에서 그리고 일반적으로(즉, 기포 용해를 향상시키기 위하여 그 밖의 다른 수단이 이용되지 않는 경우), 이는 주로, 기포 용해를 발생시키는 기포 외부에 대한 기포 내의 압력의 자연적인 모세관 차이이다. If the value of the driving force term H (x i P 1 - x b P b ) is zero, the dissolution rate is zero. In general, the term is very small. Since gas in the bubbles is typically derived from the air inside the vias in the wafer before the pre-wetting process and the liquid is saturated with the same air as before, normally pre-wetting, the mole fraction at the bubble interface and the mole fraction in the bulk solution (For example, x = 0.21 for oxygen in both the gas bubbles and the bulk solution). Thus, in this situation and in general (i. E., If no other means are used to enhance bubble dissolution), it is primarily a natural capillary difference of the pressure in the bubbles to the outside of the bubbles causing bubble dissolution.

강한 내부 모세관 힘으로 인해, 작은 다마신 특징부(가령, 비아) 내에 있는 트랩된 가스가 매우 큰 내부 압력을 나타낼 수 있다. 총 내부 모세관 압력은 접촉각에 비례하고 기포의 곡률의 반경에 반비례한다.Due to the strong internal capillary forces, the trapped gas in the small damascene features (e.g., vias) can exhibit very large internal pressures. The total internal capillary pressure is proportional to the contact angle and inversely proportional to the radius of curvature of the bubble.

Pi = Pext + σcosθ/ r (3)P i = P ext +? Cos? / R (3)

여기서, Pi는 기포 내의 총 내부 압력이고, Pext는 유체의 외부 압력(통상적으로 약 1 기압)이며, σ는 액체/가스 표면 장력이고, θ는 고체/액체/기체 접촉각이며, r은 곡률 반경이다. 곡률 반경(r)은 특징부 폭과 사실상 다르지 않을 수 있고, 따라서 기포의 곡률 반경에 대한 근사치로서 비아의 반경으로 대체할 수 있다. 작은 비아에 있어서, 총 내부 압력(그리고 이에 의한 각각의 구성요소의 부분압)이 매우 커질 수 있고, 이로써 수 기압(several atmosphere) 이상을 초과할 수 있다. 따라서, 이러한 큰 내부 압력이 용액의 벌크에 관한 비평형 상태를 초래하고, 기포 계면이 동일한 압력에서 용액의 벌크에 용해되지 않은 가스의 양에 관하여 상당히 과포화된다(즉, 기포의 계면에서 용해되지 않은 가스의 양이 액체의 기체 용해도를 초과함). 이는, 빠른 기포 용해를 위한 조건 중 하나를 만족시킨다. 작은 비아에 있어서, 짧은 확산 거리 역시 빠른 용해 속도에 도움이 된다. Where P i is the total internal pressure in the bubble, P ext is the external pressure of the fluid (typically about 1 atmosphere), σ is the liquid / gas surface tension, θ is the solid / liquid / gas contact angle, It is a radius. The radius of curvature r may not be substantially different from the width of the feature, and thus can be substituted by the radius of the via as an approximation to the radius of curvature of the bubble. For small vias, the total internal pressure (and hence the partial pressure of each component) can be very large, thereby exceeding more than several atmospheres. This large internal pressure thus results in a non-equilibrium state with respect to the bulk of the solution, and the cell interface is considerably supersaturated with respect to the amount of gas which is not dissolved in the bulk of the solution at the same pressure (i. E. The amount of gas exceeding the gas solubility of the liquid). This satisfies one of the conditions for fast bubble dissolution. For small vias, short diffusion distances also contribute to fast dissolution rates.

반대로, 더 큰 반경의 기포를 갖는 큰 비아는 작은 초과 내부 압력과 훨씬 긴 확산 거리를 가진다. 대기압에서 초기에 50%가 기체로 채워진 비아에 있어서 3:1 종횡비(깊이-대-폭)의 비아에 대한 비아 깊이의 함수로서, 다양한 조건(즉, 용해된 기체의 부분압, 웨이퍼의 회전 속도)에 있어서 완전한 기포 용해를 위한 시간의 계산/모델링이 도 1에 도시된다. 도 1에 도시된 모든 프로세스에 있어서, 비아의 σ는 60 dyne/cm(예를 들어 웨이퍼에 대한 값), D = 1.9E-5 cm2/sec(예를 들어, 웨이퍼에서 공기에 대한 값), T=20℃, 그리고 Vi = 50%이다.Conversely, large vias with larger radius bubbles have a small excess internal pressure and a much longer diffusion distance. (I. E., The partial pressure of the dissolved gas, the rotational speed of the wafer) as a function of the via depth for vias of 3: 1 aspect ratio (depth-to-width) in vias filled with gas initially at 50% The calculation / modeling of time for complete bubble dissolution is shown in Fig. In every process shown in Figure 1, (the value for a wafer, for example) σ is 60 dyne / cm of the via, D = (value of the air in e.g., a wafer) 1.9E-5 cm 2 / sec , T = 20 [deg.] C, and Vi = 50%.

Vi는 압력의 1기압 하에서 기포의 초기 부피이다(즉, 이러한 그래프를 발생시키기 위하여 각각의 비아의 50%만이 기포로 채워짐). Pext = 0.2인 경우에 있어서, 유체에 대한 압력이 여전히 1기압이나, 액체의 벌크에서 용해되지 않은 기체의 부분압은 오직 가스 압력의 0.2 기압과 평형을 이루는 압력과 동일하다. 예를 들어,탈가스된 유체 위의 가스의 압력이 1 기압인 경우 0.2 기압의 압력을 갖는 상기 유체로 표면을 가득차게 함으로써 트랩된 기포를 형성하는 것에 의해 위와 같은 상태가 획득될 수 있다. Pext= 3인 경우에 있어서, 액체에서 용해되지 않은 가스의 양이 압력의 1 기압과 평형을 이루는 압력과 동일하나, 액체 및 기포에 대한 압력은 압력의 3 기압과 동일하다. 예를 들어, 대기-포화된(atmospheric-saturated) 액체로 표면을 가득 채우고 그 후 3 기압의 비아/액체/웨이퍼 위에 외부 압력을 가함으로써 트랩된 기포를 형성하는 것에 의해 위와 같은 상태가 획득될 수 있다. Vi is the initial volume of bubbles under 1 atmosphere of pressure (ie, only 50% of each via is filled with air bubbles to generate this graph). P ext = 0.2, the pressure on the fluid is still 1 atm, but the partial pressure of the gas which is not dissolved in the bulk of the liquid equals the pressure which equilibrates only with 0.2 atm of the gas pressure. For example, this state can be obtained by forming trapped bubbles by filling the surface with the fluid having a pressure of 0.2 atm when the pressure of the gas on the degassed fluid is 1 atm. In the case of P ext = 3, the amount of undissolved gas in the liquid equals the pressure equilibrating with 1 atm of pressure, while the pressure against liquid and bubbles equals 3 atmospheric pressure. This condition can be obtained, for example, by filling the surface with an atmospheric-saturated liquid and then forming trapped bubbles by applying external pressure on the 3-atmosphere via / liquid / wafer have.

그래프 A 및 F(공기의 1 기압과 평형을 이루는 압력과 동일한 가스의 양을 이용하여, 탈가스되지 않은 프리-웨팅 유체)를 그래프 B 및 C(대기의 0.2와 동일한 부분압까지 탈가스된 프리-웨팅 유체)를 비교함으로써, 탈가스된 용액의 경우 더 낮은 기포 용해 시간을 가진다. 그래프 F 및 C는 비교하면 유사하지만, 웨이퍼가 더 느린 속도(A 및 V에서 90 rpm 대 12 rpm)로 회전하였기 때문에 경계층 두께와 용해 시간이 더 크다.The graphs A and F (non-degassed pre-wetting fluid, using the same amount of gas equilibrating with one atmosphere of air) are shown in graphs B and C (pre-degassed to the same partial pressure of 0.2 atmospheric) Wetting fluid), the degassed solution has a lower bubble dissolution time. The graphs F and C are similar in comparison, but the boundary layer thickness and dissolution time are greater because the wafer rotated at slower speeds (90 rpm vs. 12 rpm at A and V).

도 1의 그래프 A 및 F는, 용액이 50μm의 크기 대 0.2μm의 크기 사이의 5 개 등급 이상의 공기 교환(air change)으로 포화된 비아 안쪽의 기포의 용해 시간을 보여준다. 작은 서브 마이크론(submicron) 특징부에서는 기포가 불안정하여 신속히 용해되지만, 더 큰 특징부에서는 기포가 매우 긴 시간 동안 존속될 것이다. 예를 들어, 기체로 완전히 채워진 1μm의 직경 및 4μm의 깊이를 가진 라인 구조의 비교적 큰 전단부가 4초 내에 완전히 용해되는 가스를 가질 것이라는 계산 결과가 표시한다. 반대로, 1μm 깊이의 0.25μm 특징부는 매우 불안정해서 0.4초 내에 용해될 것이고, 더 작은 구조는 본질적으로 즉시 용해된다. 그러나, 큰 TSV 스케일 구조에서 바람직한 인자(즉, 높은 내부 압력 및 짧은 확산 거리) 모두가 존재하지 않는다. 반대로, 25μm의 폭 및 100μm 깊이의 특정부를 용해시키기 위하여 2시간 이상이 걸릴 수 있음을 계산 결과가 보여준다. 상기 특징부가 그 하부에 오직 10%만이 기체로 채워진 경우라 하더라도, 상기 가스가 제거되기 위하여 여전히 20분 이상이 걸릴 것이다.The graphs A and F of Figure 1 show the dissolution times of the bubbles inside the vias in which the solution is saturated with air changes of at least five grades between the size of 50 [mu] m and the size of 0.2 [mu] m. In small submicron features bubbles are unstable and dissolve quickly, while in larger features bubbles will persist for a very long time. For example, the calculation results indicate that the relatively large shear of a line structure with a diameter of 1 m and a depth of 4 m completely filled with gas will have a gas that is completely dissolved within 4 seconds. Conversely, the 0.25 [mu] m feature at 1 [mu] m depth will be very unstable and will dissolve within 0.4 seconds, and the smaller structure will essentially melt immediately. However, there are no desirable factors (i.e., high internal pressure and short diffusion distance) in a large TSV scale structure. On the contrary, calculation results show that it takes more than 2 hours to dissolve the specific part of the width of 25 占 퐉 and the depth of 100 占 퐉. Even if the feature is filled with only 10% of the gas in its lower portion, it will still take more than 20 minutes for the gas to be removed.

프리-웨팅 유체로부터 기체를 제거함으로써 트랩된 기포를 용해시키기 위한 시간이 줄어든다. 이러한 경우, 용액으로부터 기체를 제거함으로써(예를 들어, 부분 진공 하의 탈가스 유닛에서 프리-웨팅 유체에 노출된 가스의 부분압을 제거함으로써) 원동력의 오른쪽 항(식 2에서 xbPb) 이 감소된다(즉, 탈가스 유닛의 가스 측에서 이러한 제품의 크기를 줄임으로써, 기체가 액체로부터 제거된다). (상당한 모세관 압력이 존재할 때) 트랩된 기포 내의 가스가 대략 1 기압 이상의 압력 있다. 기포 계면에서, 가스의 농도가 동일한 1 기압 이상의 압력과 평형을 이루는 농도에 있을 것이지만, 용액에서는, 탈가스 동작으로 인해 전체적인 농도가 훨씬 낮은 농도에 있다. 이는, 기포가 신속하게 용해될 수 있도록 하는 유의한 농도 원동력 및 용액 내의 가스의 하위-포화(sub-saturation)의 정도(화학적 "수용력")를 생성한다.The time for dissolving trapped bubbles is reduced by removing gas from the pre-wetting fluid. In this case, the right term of the drive force (x b P b in Equation 2) is reduced by removing the gas from the solution (for example, by removing the partial pressure of the gas exposed to the pre-wetting fluid in the degassing unit under partial vacuum) (I.e., by reducing the size of this product on the gas side of the degassing unit, the gas is removed from the liquid). (When significant capillary pressure is present) the gas in the trapped bubbles has a pressure of at least about 1 atmosphere. At the bubble interface, the concentration of gas will be at a concentration that equilibrates to a pressure equal to or greater than one atmosphere, but in solution the overall concentration is at a much lower concentration due to degassing operation. This creates a significant concentration driving force which allows the bubbles to dissolve quickly and the degree of sub-saturation of the gas in the solution (chemical "capacity").

이러한 절차가 처음에는 호소적인 것으로 나타날 수 있으나, 두 가지 제한이 가해질 수 있다. 첫째, 큰 딥 비아(deep via)에 있어서, 가스에 대한 확산 거리가 여전히 유의한 제한 인자일 수 있다. 둘째, 용액 내의 가스의 양이 절대로 0보다 적을 수 없기 때문에, 용해를 위한 원동력의 크기가 대략 HxiP(P=1 기압) 이하로 제한된다. 도 1의 그래프 B 및 C를 그래프 A 및 F와 비교하면, 큰 특징부(가령, 50μm)의 용해 속도가 탈가스되지 않은 가스보다 한 자릿수 이상 감소하지만, 용해 시간은 일반적으로 용납할 수 없을 정도로 길다(가령, 최소한 5-10분). 프로세스가, 용해 가스 원동력의 1기압의 증가와 비교되는 큰 초과 내부 기포 압력에 의해 지배를 받기 때문에, 더 작은 특징부의 용해 속도는 탈가스된 용액의 이용에 사실상 영향을 받지 않는다. These procedures may appear to be appealing at first, but two restrictions may apply. First, for large deep vias, the diffusion distance for the gas may still be a significant limiting factor. Second, since the amount of gas in the solution can never be less than 0, the magnitude of the driving force for dissolution is limited to about Hx i P (P = 1 atm) or less. Comparing graphs B and C in FIG. 1 with graphs A and F, the dissolution rate of large features (e.g., 50 microns) is reduced by at least one order of magnitude over ungashed gases, but the dissolution time is generally unacceptable Long (for example, at least 5-10 minutes). Since the process is dominated by a large excess internal bubble pressure compared to an increase in the pressure of the liquefied gas, the dissolution rate of the smaller feature is virtually unaffected by the use of the degassed solution.

도 2는 (90 rpm 회전수, 60 dyne/cm에서) 다양한 특징부 치수에 대한 기포 용해 시간을 보여주고, 여기서, 용해된 가스의 양은 독립적 파라미터이다. 각각의 경우에서, 기포가 초기에 비아 크기의 50%이고, 용해된 부분압이 x 축의 함수로서 변화한다 하더라도, 유체 및 기포에 대한 1 기압의 외부 압력이 존재한다. 명확성을 위하여, 도 2에서, 용해된 가스의 농도가, 헨리의 법칙에 관련하여, x-축 상의 용해되지 않은 가스에 대응한다. 이러한 부분압은, 예를 들어, 접촉 유체를 x-축 파라미터의 정도까지 탈가스함으로써 획득될 것이다. 더 작고 덜 깊은 특징부 내의 기포가 더 빠르게 용해되고, 큰 내부 모세관 압력에 의해 속도가 촉진된다. 또다시, 더 작은 특징부에 대한 부분압을 감소시키는 것은, 용해 시간 감소에 대한 더 적은 상대 효과를 가진다. 더 큰 특징부(가령, 50μm x 150μm)에 있어서, 용해되지 않은 가스의 부분압을 포화 상태의 30 내지 40% 이하로 감소시키는 것에 대한 감소 이익이 존재한다. 가장 작고 가장 얕은 특징부에서, 용해 시간이 100초를 초과한다. 특징부 깊이는 모든 경우에서 유의한 제한 인자인데, 깊은 특징부는 긴 용해 시간을 갖는다.Figure 2 shows the bubble melting time for various feature dimensions (at 90 rpm, at 60 dyne / cm), where the amount of dissolved gas is an independent parameter. In each case, there is an external pressure of 1 atmosphere for the fluid and bubbles, even though the bubble is initially 50% of the via size and the dissolved partial pressure changes as a function of the x-axis. For clarity, in FIG. 2, the concentration of dissolved gas corresponds to the undissolved gas on the x-axis, in relation to Henry's law. This partial pressure will be obtained, for example, by degassing the contact fluid to an extent of the x-axis parameter. The bubbles within the smaller, less deep feature are dissolved faster and the velocity is promoted by the larger internal capillary pressure. Again, reducing the partial pressure on the smaller features has less relative effect on dissolution time reduction. For larger features (e.g., 50 [mu] m x 150 [mu] m), there is a reduced benefit of reducing the partial pressure of undissolved gas to less than 30 to 40% of saturation. In the smallest and shallowest feature, the dissolution time exceeds 100 seconds. Feature depth is a significant limiting factor in all cases, with deep features having a long dissolution time.

장치Device

일반적으로, 본원에 기술된 장치 설계 및 방법은, 표면과 특징부를 유체로 프리-웨팅하기 전에 먼저 특징부로부터 가스(주로, 모두 비-응축성 가스)(가령, 질소 및 산소)를 제거함으로써 웨이퍼 기판상의 오목 특징부(가령, 비아) 내의 기포의 형성을 막는다. 이를 위하여, 웨이퍼를 지지하고 웨이퍼 표면에서 가스를 제거하기에 적합한 용기(가령, 진공 용기)에 오목 특징부를 갖는 웨이퍼가 배치된다. 이러한 용기 자체에 더하여, 가스를 제거하기 위한 수단(가령, 펌프와 같은 진공 소스에 연결되는 라인) 및 진공 상태가 유지되는 동안 표면 위에 액체를 증착시키기 위한 수단이 요구된다. Generally, the device designs and methods described herein provide for the removal of gases (primarily all of the non-condensable gases) (e.g., nitrogen and oxygen) from the features prior to pre-wetting the surface and features with the fluid, Thereby preventing the formation of bubbles in the concave features (e.g., vias) on the substrate. To this end, a wafer having a concave feature is placed in a container (e.g., a vacuum container) suitable for supporting the wafer and removing gas from the wafer surface. In addition to such a container itself, there is a need for means for removing gas (e.g., a line connected to a vacuum source such as a pump) and means for depositing liquid on the surface while the vacuum is maintained.

도금 공정의 시작 전에 또는 도금 공정의 시작 이후 짧은 시간 내에 웨이퍼를 프리-웨팅하기 위한 다양한 장치 설계가 본원에 기술되며, 여기서, 본 발명 장치 설계가 아니라면 표면 안쪽으로 오목한 특징부 내에 트랩될 수 있는 기포와 가스가 트립되지 않게 된다. 프리-웨팅 장치의 실시예가 다양한 구성요소를 포함한다. 통상적으로, 프리-웨팅 장치는 액체 혼합 디바이스, 액체 레벨 제어기, 및 센서를 포함하는 프리-웨팅 유체 저장 및 반환 탱크를 포함한다. 일부 실시예에서, 상기 장치는 프리-웨팅 유체 탈가스 유동 루프(flow loop)를 포함한다. 일부 실시예에서, 이러한 탈가스 유동 루프는 회전 펌프, 라우팅/전환 밸브, 액체 탈가스 요소, 및 상기 액체 탈가스 요소와 (도구 및 프리-웨팅 챔버 상의 다양한 액체 탈가스 요소를 펌프-다운하여 진공 상태로 만드는데 이용되는) 시스템 진공 펌프 사이의 연결부를 포함한다. 프리-웨팅 장치는 또한 프리-웨팅 챔버를 포함한다. 일부 실시예에서 프리-웨팅 챔버는 두 곳에 위치된 (개방형/폐쇄형) 진공 웨이퍼 액세스 도어(access door) 또는 챔버에 액세스하기 위한 뚜껑(lid) 및 조합형 도어/뚜껑과, 액체가 가열되어 그 후에 상부 벽 또는 웨이퍼 표면 위의 도어로부터 액체가 떨어져 나가는 것을 방지하는 스플래시 실드(splash shield)를 포함한다. 일부 실시예에서, 챔버 내부에는 챔버 내의 웨이퍼를 지지하고 회전시키기 위한 웨이퍼 고정부가 있다. 일부 실시예에서, 챔버는 에어돔(air-dome) 챔버-히터를 포함하고, 상기 챔버-히터는 이것이 없다면 웨이퍼 및 진공 웨이퍼 액세스 도어 위에 존재하여 잠재적으로 웨이퍼 위로 떨어질 액체가 챔버의 벽에서 응축되는 것을 막기 위해 사용된다. 프리-웨팅 챔버는 통상적으로, 프리-웨팅 유체가 챔버 내부로 들어가서 프리-웨팅 유체가 회전 웨이퍼의 상부 표면 위에 다다르게 하기 위한 주입 포트와, 챔버를 진공 상태로 되게 하거나 진공 상태에서 해소시키는 주입 라인 및 챔버 포트를 포함하고, 상기 주입 라인은 입자 여과 디바이스를 포함하고, 상기 주입 포트는 유입 가스 유동을 분산시키고 챔버 유동 난류(flow turbulence)를 최소화하도록 구성된 유동 확산기(flow diffuser)를 포함한다. 일부 실시예에서, 챔버는 빈 상태/준비 상태 및 범람 상태/초과 상태를 모니터링하기 위한 액체 레벨 센서를 포함한다. 프리-웨팅 챔버는 또한, 챔버로부터 액체를 제거하고 배출된 액체가 저장 탱크로 다시 향하도록 하는 배출구를 포함한다. Various device designs for pre-wetting wafers within a short period of time prior to the start of the plating process or after the start of the plating process are described herein wherein bubbles that can be trapped within recessed features And the gas is not tripped. Embodiments of the pre-wetting apparatus include various components. Typically, the pre-wetting apparatus includes a pre-wetting fluid storage and return tank comprising a liquid mixing device, a liquid level controller, and a sensor. In some embodiments, the apparatus includes a pre-wetting fluid degassing flow loop. In some embodiments, such a degassing flow loop may include a rotary pump, a routing / switching valve, a liquid degassing element, and a means for pumping down the various liquid degassing elements on the tool and pre-wetting chamber And a system vacuum pump (used to make the system vacuum pump). The pre-wetting apparatus also includes a pre-wetting chamber. In some embodiments, the pre-wetting chamber comprises a lid and a combined door / lid for accessing a (open / closed) vacuum wafer access door or chamber located in two places, And a splash shield that prevents liquid from falling off the door on the top wall or wafer surface. In some embodiments, the interior of the chamber has a wafer securing portion for supporting and rotating the wafer within the chamber. In some embodiments, the chamber includes an air-dome chamber-heater, which if not present on the wafer and vacuum wafer access door, potentially causes liquid to fall onto the wafer to condense on the walls of the chamber It is used to prevent. The pre-wetting chamber typically comprises an inlet port through which the pre-wetting fluid enters the interior of the chamber to bring the pre-wetting fluid over the upper surface of the rotating wafer, an infusion line that evacuates the chamber to a vacuum or vacuum, Chamber port, the injection line comprising a particle filtration device, the injection port comprising a flow diffuser configured to disperse the incoming gas flow and minimize chamber flow turbulence. In some embodiments, the chamber includes a liquid level sensor for monitoring an empty / ready state and an overflow state / overflow condition. The pre-wetting chamber also includes an outlet for removing liquid from the chamber and directing the discharged liquid back to the storage tank.

본원에 기술된 실시예들은, (1) 대체로 웨이퍼 위 및 비아 내부로부터 대기의 비응축성 가스 중 실질적으로 전부를 제거하고, 그 후 상기 웨이퍼를 프리-웨팅 유체로 프리-웨팅함으로써 프리-웨팅 동안 비아에 가스가 트랩되는 것을 피함으로써; 및/또는 (2) 기포 계면에서 크게 과포화된 상태를 형성하는 것에 의해 큰 내부 압력을 유체에 가하고 이로써 기포가 유체에 용해되도록 함으로써 기포가 용해될 속도를 상당히 증가시킴으로써, 트랩된 기포, 특히 웨이퍼 내의 큰 비아 또는 트랜치에 형성될 수 있는 기포의 유해 효과를 극복한다. 이러한 사전 처리 및 사전 도금 측정치에 더하여, 일부 실시예에서, 탈가스된 상태로 유지되는 도금 용액에서 도금이 수행되고, 그 밖의 다른 실시예에서, 웨이퍼 표면에 도출되기 직전에 라인에서 도금 용액이 탈가스된다.Embodiments described herein can be used to (1) remove substantially all of the atmospheric non-condensable gas from above the wafer and from within the vias, and then pre-wet the wafer with the pre-wetting fluid, By avoiding trapping of gas in the chamber; And / or (2) significantly increasing the rate at which the bubbles are dissolved by applying a large internal pressure to the fluid by forming a largely supersaturated state at the bubble interface thereby allowing the bubbles to be dissolved in the fluid, Overcomes the deleterious effects of bubbles that can form in large vias or trenches. In addition to these pre-treatment and pre-plating measurements, in some embodiments, plating is performed in a plating solution that is maintained in a degassed state, and in other embodiments, the plating solution is removed from the line immediately before being drawn to the wafer surface Gas.

일부 실시예에서, 전기-도금 셀 내에서 프리-웨팅을 수행하는 것이 가능하고, 여기서 프리-웨팅 유체는 도금 용액과 동일한 조성을 가진다. 그러나, 도금 공정과 진공 공정 조합의 하드웨어 복잡성을 포함한 다양한 이유에서, 진공 특징부-재충전된 프리-웨팅을 포함하는) 프리-웨팅이 도금 셀과는 다른 셀, 서브-셀, 또는 모듈에서 종종 수행된다. 진공 하의 프리-웨팅이 도금 용액에서보다는 도금 셀의 명백히 다른 영역에서 또는 도금 셀과 명백히 분리된 모듈에서 수행될 때, 프리-웨팅 유체의 조성물이 선택될 수 있다. 프리-웨팅 유체는 이후에 웨이퍼를 도금하는데 사용되는 것과 같은 또는 매우 유사한 조성물을 가질 수 있다. 프리-웨팅 유체는 도금욕(plating bath)의 요소 모두(가령, 도금 용액에서와 동일한 또는 매우 유사한 농도에서, 동일한 용매, 용해된 동일한 금속 이온, 산, 양이온, 첨가물, 및 할로겐화물)를 포함할 수 있다. 이러한 프리-웨팅 유체가 일부 실시예에서 쓰일 수 있다. 대안적으로, 그 밖의 다른 실시예에서, 도금 용액과는 아주 다른 프리-웨팅 유체가 이용될 수도 있다. 예를 들어, 일부 실시예에서, 1) 물, 2) 도금 용액보다 실질적으로 더 큰 금속 이온 농도를 갖는 유체, 3) 더 낮고 서로 다른 할로겐화물 조합 또는 전혀 용해되지 않은 할로겐화물을 갖는 유체, 4) 실질적으로 도금 첨가제 중 하나, 소수, 또는 모든 도금 첨가제가 없는 유체, 또는 5) 수용성(water-miscible) 용매의 프리-웨팅 유체가 프리-웨팅 유체로서 이용될 수 있다. 이러한 프리-웨팅 유체는 본원에서 추가로 설명된다.In some embodiments, it is possible to perform pre-wetting in the electro-plating cell, wherein the pre-wetting fluid has the same composition as the plating solution. However, for various reasons, including hardware complexity of the plating process and combination of vacuum processes, pre-wetting (including vacuum feature-recharging pre-wetting) is often performed in a different cell, sub-cell, or module than the plating cell do. When the pre-wetting under vacuum is performed in a distinctly different area of the plating cell than in the plating solution or in a module that is clearly separated from the plating cell, a composition of the pre-wetting fluid can be selected. The pre-wetting fluid may have a composition that is the same as or very similar to that used to subsequently coat the wafer. The pre-wetting fluid comprises all of the elements of the plating bath (e.g., the same solvent, dissolved same metal ion, acid, cation, additive, and halide at the same or very similar concentration as in the plating solution) . Such pre-wetting fluid may be used in some embodiments. Alternatively, in other embodiments, a substantially different pre-wetting fluid than the plating solution may be used. For example, in some embodiments, 1) water, 2) a fluid having a metal ion concentration substantially greater than the plating solution, 3) a fluid having lower and different halide combinations or halides that are not dissolved at all, 4 ) One of the plating additives, a minority, or a fluid free of all plating additives, or 5) a pre-wetting fluid of a water-miscible solvent may be used as the pre-wetting fluid. Such pre-wetting fluids are further described herein.

a) 도금 시작 전 웨이퍼 기판 위의 금속층 부식 가능성; b) 도금 공정의 억제 가능성(즉, 특징부 금속-채움 공정의 감속 또는 상기 공정의 완전한 억제); c) 후속 프리-웨팅 유체 재이용을 위한 프리-웨팅 유체의 손실 가능성; 그리고 d) 시간에 따라 도금욕 내의 다양한 중요 화학종 농도의 (추가, 희석, 또는 농축에 의한) 변경 가능성을 포함하는 프리-웨팅 유체 조성물을 선택할 때 다수의 인자가 고려되어야 한다. 위 변경 공정에서, 도금욕 내의 금속 이온 농도, 할로겐화물 농도, 유기 첨가제 등을 변경할 수 있다. 이러한 효과는 꽤 실질적일 수 있다. 더욱이, 도금욕과는 다른 조성물의 프리-웨팅 유체를 이용할 때, 도금 용액에 부가될 반출된 프리-웨팅 유체의 초과량을 제거 및 회복하는 적절한 수단을 활성화함이 없이 동일한 모듈에서 프리-웨팅 공정을 수행함으로써, 시간에 따른 도금 용액 수정을 위한 완화, 모니터링 및/또는 정정 수단을 일반적으로 요구할 것이다. 한편, 상기 유체의 분리 및 회복을 가능하게 하는 도금 셀의 별개의 처리 장소, 모듈, 용기, 또는 서브-용기에서 프리-웨팅 동작이 수행되는 공정 및 하드웨어의 이용이 유리할 수 있는데, 이러한 이용에 의해 위와 같은 문제 거리를 피할 수 있기 때문이다. 이를 배경으로 하여, 그리고 실시예의 핵심 개념에 대한 설명을 간소화하기 위하여, 별개의 프리-웨팅 "장소" 및 별개의 "도금 장소"의 맥락에서 여러 실시예가 이하에 기술되며, 웨이퍼가 전자의 "장소"에서부터 후자의 "장소"까지 이동된다. 그러나, 일부 상황에서는 바람직할 수 있지만(예를 들어, 동일하지 않은 액체의 혼합을 피하기 위하여 또는 그 밖의 다른 이유로), 프리-웨팅 물질, 일반적인 유체, 및 도금 처리 순서의 특정적 선택과 관련된 실시예의 양상이 제한적으로 의도되지는 않는다.a) possible corrosion of the metal layer on the wafer substrate prior to the start of plating; b) possible inhibition of the plating process (i. e., slowing down of the feature-metal-filling process or complete inhibition of the process); c) possible loss of pre-wetting fluid for subsequent pre-wetting fluid reuse; And d) the ability to vary (by addition, dilution, or concentration) of various critical chemical species concentrations in the plating bath over time. A number of factors must be considered when selecting the pre-wetting fluid composition. In the above-mentioned changing process, the metal ion concentration in the plating bath, the halide concentration, the organic additive, and the like can be changed. This effect can be quite substantial. Furthermore, it would be advantageous to employ a pre-wetting process in the same module without activating the appropriate means of removing and recovering the excess of the exported pre-wetting fluid to be added to the plating solution when using a pre-wetting fluid of a composition different from the plating bath Monitoring, and / or correcting means for plating solution solution over time. On the other hand, the use of the process and hardware in which the pre-wetting operation is performed in a separate processing station, module, vessel, or sub-vessel of the plating cell that enables the separation and recovery of the fluid may be advantageous, This problem can be avoided. With this in mind, and in order to simplify the description of the core concept of the embodiment, various embodiments are described below in the context of separate pre-wetting "places" and separate "plating places" Quot; to "place" of the latter. However, although it may be desirable in some situations (e.g., to avoid mixing of unequal liquids or for other reasons), it may be advantageous to use a pre-wetting material, a general fluid, The aspects are not intended to be limiting.

도 3은 프리-웨팅 장치(즉, 챔버(301) 및 관련 하드웨어)의 일 실시예의 도시적 레이아웃을 도시한다. 챔버(301)가 챔버 내의 배출구를 통해, 그리고 3갈래 밸브 연결부(605)를 통해 진공 펌프(303)에 연결된다. 3갈래 밸브의 그 외 다른 측면에, 프리-웨팅 유체 탱크(307), 탈가스 디바이스(309), 및 탈가스 루프 주위로 프리-웨팅 유체를 회전시키는 펌프(311)를 포함하는 탈가스 루프(306)가 있다. 또 다른 실시예에서, 프리-웨팅 주입 라인과 진공 라인이 챔버에서를 제외하고는 연결되지 않으며, 위 라인들은 각자 자신의 밸브를 갖는다(즉, 3갈래 밸브가 아님). 대안적 실시예에서, 챔버는 프리-웨팅 유체가 들어오기 위한 주입구와 진공 펌프와의 연결에 적합한 배출구를 가진다. 유체가 프리-웨팅 유체 탱크(307)와 챔버(301) 사이의 압력 차동에 의해 챔버 내부로 흡입되기 보다는 펌프에 의해 챔버 내부로 유체가 흘러들어가기를 바라는 경우, 펌프(311)의 위치는 탈가스 요소 다음일 수 있다.Figure 3 shows an illustrative layout of one embodiment of the pre-wetting apparatus (i.e., chamber 301 and associated hardware). Chamber 301 is connected to the vacuum pump 303 through an outlet in the chamber and through a three-way valve connection 605. [ On the other side of the three-way valve, a degassing loop (not shown) including a pre-wetting fluid tank 307, a degassing device 309, and a pump 311 rotating the pre- 306). In another embodiment, the pre-wetting injection line and the vacuum line are not connected except in the chamber, and the above lines each have their own valve (i.e. not a three-way valve). In an alternative embodiment, the chamber has an inlet adapted for connection of the inlet with the pre-wetting fluid and an outlet adapted for connection with the vacuum pump. When the fluid is desired to flow into the chamber by the pump rather than being sucked into the chamber by pressure differential between the pre-wetting fluid tank 307 and the chamber 301, Elements can be following.

일부 실시예에서, 진공 펌프(도시되지 않음)를 이용하여 홀딩 탱크(holding tank)에 진공 상태를 가함으로써, 탱크(307)에 담긴 프리-웨팅 유체 내의 영역에서 가스가 제거되며, 이로써 용해되지 않은 가스량이 최소화될 수 있다. 또한, 예를 들어, 유체가 분무기(spray) 내의 순환 루프로부터 챔버로 다시 주입되도록 하거나 분무탑(spray column)을 통해 진공에 노출된 유체의 표면을 증가시킴으로써, 프리-웨팅 유체로부터의 가스의 속도 또는 가스의 제거가 증가될 수 있다. 도 3에 도시된 시스템의 실시예에서, 프리-웨팅 전에 프리-웨팅 유체로부터 하나 이상의 용해되지 않은 가스(가령, O2 및 N2 모두)를 제거하기 위하여 탈가스 디바이스(309)(가령, 일부 실시예에서, 막 접촉 탈가스 장치(membrane contact degasser))를 통해 프리-웨팅 유체가 순환된다. 상업적으로 입수 가능한 탈가스 디바이스의 예시에는 Membrana of Charlotte의 Liquid-CelTM, 미네소타 Chaska의 Entegris로부터의 pHasorTM가 포함된다. 용해되지 않은 가스의 양이 적절한 측정기(가령, 상업용 용해되지 않은 산소 측정기(도시되지 않음)를 이용하여 모니터링될 수 있다. 본원에 기술된 바와 같이, 프리-웨팅 유체를 챔버(31)로 주입하기 전 용해되지 않은 가스를 제거함으로써 프리-웨팅 공정을 개선할 수 있다. 선택 사항으로서, 프리-웨팅 유체를 탈가스한 이후, 탈가스 챔버(309)의 진공 측과 진공 펌프(303) 사이의 밸브(315)가 폐쇄된다(이로써, 초기에 챔버 내의 가스가 탈가스된 프리-웨팅 유체에서 용해되지 않는 것을 방지한다; 일부 실시예에서, 별개의 펌프가 이러한 두 기능을 위해 사용될 수 있다). In some embodiments, by applying a vacuum to the holding tank using a vacuum pump (not shown), the gas is removed in the region within the pre-wetting fluid contained in the tank 307, The amount of gas can be minimized. It is also contemplated that the velocity of the gas from the pre-wetting fluid may be increased by, for example, allowing fluid to be injected back into the chamber from the circulation loop in the spray or by increasing the surface of the fluid exposed to the vacuum through the spray column Or gas removal can be increased. In the embodiment of the system shown in Figure 3, the pre-wetting before pre-wetting fluid one or more non-dissolved gas from the degassing device 309 in order to remove (e.g., O 2 and N 2 both) (e. G., Some In an embodiment, the pre-wetting fluid is circulated through a membrane contact degasser. Examples of commercially available degassing devices include Liquid-Cel ( TM) from Membrane of Charlotte, pHasor ( TM) from Entegris, Chaska, Minnesota. The amount of undissolved gas can be monitored using a suitable meter (e.g., a commercial undissolved oxygen meter (not shown).) As described herein, the pre-wetting fluid is injected into the chamber 31 After degassing the pre-wetting fluid, the valve (s) between the vacuum side of the degassing chamber 309 and the vacuum pump 303, (Thereby preventing the gas in the chamber from initially dissolving in the degassed pre-wetting fluid; in some embodiments, a separate pump can be used for these two functions).

도 3와 유사하게 구성된 장치를 이용할 때 존재하는 조건과 다르게, 프리-웨팅 유체를 진공 하의 웨이퍼에 노출시키기 전에 프리-웨팅 유체가 탈가스되지 않은 경우, 상기 유체로부터 용해되지 않은 가스가, 챔버로 주입됨으로써 상기 유체로부터 해방될 수 있다. 이는 비아 내부에 기포의 형성을 초래한다. 특정 모델 또는 이론에 의해 제한되는 것을 원치 않는 경우, 비아 바닥이 음의 곡률 장소이고, 상기 장소가 특히 기포의 핵을 이루기 쉽고 프리-웨팅 유체로부터 가스를 해방시키기 쉽다. 이러한 경우, 프리-웨팅 조건 하에서(가령, 챔버 내의 진공) 프리-웨팅 유체가 가스로 포화되기 때문에, 용해되지 않은 가스를 포함하는 프리-웨팅 유체로부터 기포가 형성될 것이다. 이렇게 형성된 기포가 프리-웨팅 공정 이후에 그곳에 남아있을 수 있고, 이러한 기포는 차례로 그 곳의 도금을 방해할 수 있고 관련 결점을 초래할 수 있다. 따라서, (도 3에 도시된 실시예를 포함하는) 일부 실시예에서, 프리-웨팅 공정에 이용된 프리-웨팅 유체는 탈가스된 프리-웨팅 유체이다. 일부 실시예에서, 탈가스된 프리-웨팅 유체가 도금 용액일 수 있고, 본원에 기술된 프리-웨팅 방법은 도금 챔버 자체와 동일한 챔버에서 수행될 수 있다. 별개의 프리-웨팅 챔버 및 장치가 이용되나 프리-웨팅 유체가 탈가스되지 않은 경우, 간헐적인 신뢰할 수 없는 채움(filling) 결과가 관찰될 수 있다. 예를 들어, (진공 하의 웨이퍼를 이용하여) 먼저 프리-웨팅 유체를 탈가스하지 않고 웨이퍼 상의 비아가 프리-웨팅 유체로 채워지는 경우, (사후-도금 공동(void)을 갖는 동일한 백분율에 의해 나타나는 바와 같이) 대략 비아의 15%가 여전히 그 안에 공기 방울을 가지고 있음이 발견되었다. 따라서, 일부 실시예에서, 진공상태 하에서(즉, 아대기압(subatmospheric pressure)에서), 탈가스된 유체를 이용하여, 프리-웨팅을 수행하는 것이 중요하다.Unlike the conditions that exist when using a device configured similar to that of FIG. 3, when the pre-wetting fluid is not degassed before exposing the pre-wetting fluid to the wafer under vacuum, undissolved gas from the fluid is introduced into the chamber And may be released from the fluid by injection. This results in the formation of bubbles inside the vias. Where it is not desired to be limited by a particular model or theory, the via bottom is a negative curvature location and the location is particularly susceptible to nucleation of the bubbles and release of the gas from the pre-wetting fluid. In this case, bubbles will form from the pre-wetting fluid containing the undissolved gas because the pre-wetting fluid is saturated with gas under pre-wetting conditions (e.g., vacuum in the chamber). The bubbles thus formed may remain there after the pre-wetting process, which in turn may interfere with the plating there and result in associated defects. Thus, in some embodiments (including the embodiment shown in FIG. 3), the pre-wetting fluid used in the pre-wetting process is a degassed pre-wetting fluid. In some embodiments, the degassed pre-wetting fluid may be a plating solution, and the pre-wetting process described herein may be performed in the same chamber as the plating chamber itself. If separate pre-wetting chambers and devices are used but the pre-wetting fluid is not degassed, intermittent unreliable filling results can be observed. For example, if the vias on the wafer are filled with the pre-wetting fluid without first degassing the pre-wetting fluid (using a wafer under vacuum), then (as indicated by the same percentage with post-plating void It was found that approximately 15% of the vias still had air bubbles therein. Thus, in some embodiments, it is important to perform pre-wetting with a degassed fluid under vacuum (i.e., at subatmospheric pressure).

반대로, 일부 실시예에서, 진공상태 하에서(즉, 아대기압에서) 프리-웨팅 동작과 조합하여 탈가스된 프리-웨팅 유체를 이용함으로써, 진공상태 하에서 프리-웨팅만이 단덕으로 이용되는 경우보다 상당히 더 적은 특징부 공동이 생성되도록 할 수 있다. 공동 형성에 대항하는 양호한 보호를 제공하는 구체적 실시예에서, 탈가스된 프리-웨팅 유체와 진공상태 하의 프리-웨팅의 조합이, 탈가스된 도금 용액에서의 도금과 추가로 조합된다. 도금 용액은 도금의 초기 스테이지에서만(예를 들어, 도금 공정의 처음 약 10분 동안만) 탈가스될 수도 있고, 또는 (예를 들어, 도금 시간이 더 긴 경우) 전체 도금 공정 동안 탈가스 상태로 남아있을 수도 있다. 이러한 조건 하에서 수행된 실험으로 인해, 공동 없는 비아가 생성되었다. Conversely, in some embodiments, by using the degassed pre-wetting fluid in combination with the pre-wetting operation under vacuum (i.e., at subatmospheric pressure), the pre-wetting under vacuum can be significantly So that fewer feature cavities can be created. In a specific embodiment that provides good protection against cavitation, the combination of degassed pre-wetting fluid and pre-wetting under vacuum is further combined with plating in the degassed plating solution. The plating solution may be degassed only in the initial stage of plating (e.g., only about the first 10 minutes of the plating process), or may be degassed during the entire plating process (e.g., if the plating time is longer) It may remain. Experiments performed under these conditions resulted in voidless vias.

도 3을 다시 참조하면, 챔버(301) 내의 압력이 낮은 값(즉, 아대기압)에 도달한 이후, 탈가스 루프(306)로부터 라인에 연결되도록 진공 펌프 장소로의 3갈래 밸브(305)가 스위칭되고, 탈기 루프(degasser loop)의 3갈래 밸브(313)가 유체를 진공 챔버(301) 내부로 향하도록 설정된다. 일부 실시예에서, 아대기압은 동작 온도에서 프리-웨팅 유체의 비등압(boiling pressure)과 대략 동일하며, 이러한 비등압은 주변 온도에서의 물에 있어서 약 20 torr이다. 그 밖의 다른 실시예에서, 아대기압은 약 50 torr이다. 추가적 실시예에서, 추가적 실시예에서, 웨이퍼 기판을 프리-웨팅하는 동안 50 torr의 압력이 유지된다. 대안적 실시예에서, 챔버 내의 압력이 약 50 torr 미만까지 감소된 이후 프리-웨팅 유체가 챔버 내부 그리고 웨이퍼 기판 위에 주입되기 시작하도록 프리-웨팅 시스템이 구성된다. 프리-웨팅 유체 탱크(307)가 대기압일 때, 진공 챔버와 프리-웨팅 유체 탱크 사이의 압력차에 의해 액체가 챔버(301) 내부로 유입된다. 3, a three-way valve 305 from the degassing loop 306 to the vacuum pump location to be connected to the line, after reaching a low value (i.e., subatmospheric pressure) in the chamber 301, And a three-way valve 313 of a degasser loop is set to direct the fluid into the vacuum chamber 301. As shown in Fig. In some embodiments, the subatmospheric pressure is approximately equal to the boiling pressure of the pre-wetting fluid at the operating temperature, and such boiling pressure is about 20 torr in water at ambient temperature. In yet another embodiment, the subatmospheric pressure is about 50 torr. In a further embodiment, in a further embodiment, a pressure of 50 torr is maintained during pre-wetting of the wafer substrate. In an alternative embodiment, the pre-wetting system is configured such that the pre-wetting fluid begins to be injected into the chamber and onto the wafer substrate after the pressure in the chamber has been reduced to less than about 50 torr. When the pre-wetting fluid tank 307 is at atmospheric pressure, liquid is introduced into the chamber 301 by the pressure difference between the vacuum chamber and the pre-wetting fluid tank.

프리-웨팅 유체는 챔버(301) 내 웨이퍼의 웨이퍼 표면의 디바이스 측을 적신다. 챔버(301) 내부로의 프리-웨팅 유체의 유동일 측정하기 위하여 니들 밸브(317)가 이용된다. 챔버(301)의 실시예가 본원에 기술된다. 일부 실시예에서, 챔버301)는, 본원에 기술된 바와 같이, 기포 용해의 속도를 증가시키기 위하여 외부 압력을 가하도록 구성된 압력 챔버이다. 프리-웨팅 장치의 추가적 실시예에서, 프리-웨팅 장치는 웨이퍼 기판을 프리-웨팅 챔버에서 전기-도금 장치로 전달하도록 구성된 전달 수단을 포함한다. The pre-wetting fluid wets the device side of the wafer surface of the wafer in chamber 301. A needle valve 317 is used to measure the flow-through of the pre-wetting fluid into the chamber 301. An embodiment of the chamber 301 is described herein. In some embodiments, the chamber 301 is a pressure chamber configured to exert external pressure to increase the rate of bubble dissolution, as described herein. In a further embodiment of the pre-wetting apparatus, the pre-wetting apparatus comprises a transfer means configured to transfer the wafer substrate from the pre-wetting chamber to the electro-plating apparatus.

일부 실시예에서, 프리-웨팅 유체는 프리-웨팅 챔버 내부로 주입되기 전에 냉각된다(가령, 물에 대하여 0℃, 또는 적절한 전해질에 대하여 -10℃) . 그 밖의 다른 실시예에서, 프리-웨팅 유체를 약 20℃ 이하의 온도까지 냉각시키도록 탈기 장치(degasser)가 구성된다. 프리-웨팅 유체를 냉각시키기 위한 방법의 그 밖의 다른 실시예에서, 프리-웨팅 유체 홀딩 탱크를 통해 또는 직렬(in-line) 냉각기(둘 모두 도 3에 도시되지 않음)를 통해 유체를 통과시키는 단계가 포함된다. 프리-웨팅 유체를 냉각시킴으로써 프리-웨팅 유체의 용매의 부분 증기압이 감소되고, 이로써, 예를 들어 탈가스 디바이스에 더 큰 진공 상태가 가해질 수 있다. 또한, 프리-웨팅 유체의 온도를 낮추는 것이, 탈가스 디바이스가 덜 알려진 "송출식(blow through)" 또는 "위핑(weeping)" 현상을 나타내도록 하는 경향이 있는 프리-웨팅의 표면 장력 및 점성 모두의 증가에 효과적일 수 있다. 위핑은 프리-웨팅 유체를 포함하는 염을 다룰 때 특히 어려운 문제일 수 있는데, 염이 많은 와이핑 유체가 탈가스 디바이스의 구멍(pole)을 건조 및 파괴하는 경향이 있기 대문이다. 더 낮은 온도 유체를 이용함으로써, 염이 많은 전해질이 증발하고 흐르는 경향을 줄일 수 있고, 이로써 이러한 공지된 탈가스 디바이스의 소스가 실패하는 것을 막을 수 있다. 예를 들어, (적은 양의 염을 갖는) 물의 증기압이 20℃에서 17.5torr 및 30℃에서 32torr에 대하여 -10℃에서 약 2.7torr이다. 탈가스 디바이스에 가해진 20torr 진공상태에 있어서(대기 가스의 약 0.5ppm이 용해되지 않는 결과를 산출함), 30℃ 프리-웨팅 유체가 문자 그대로 끓을 것이고 탈가스 디바이스의 구멍 주변의 염이 남을 것이며, 20℃ 프리-웨팅 유체가 신속하게 증발할 것이다. 그러나, -10℃ 프리-웨팅 유체를 이용할 때, 아주 미약한 탈가스 디바이스 솔팅(saltin)이 발생한다. 따라서, 일반적으로, 용해되지 않은 더 많은 가스가 더 낮은 온도 유체를 갖는 탈가스 디바이스로부터 좀 더 효과적으로 제거될 수 있다. 일부 실시예에서, 프리-웨팅 유체가 탈가스되는 동안 그리고 상기 유체가 처리 챔버 내부로 도입되기 전에, 프리-웨팅 유체는 20℃ 이하(예를 들어, 0℃ 이하)의 온도까지 냉각된다. 또한, 프리-웨팅 유체의 온도를 감소시킴으로써 프리-웨팅 시스템에서의 금속 부식의 속도를 감소시킨다.In some embodiments, the pre-wetting fluid is cooled before being injected into the pre-wetting chamber (e.g., 0 ° C for water, or -10 ° C for a suitable electrolyte). In another embodiment, a degasser is configured to cool the pre-wetting fluid to a temperature below about 20 占 폚. In another alternative embodiment of a method for cooling a pre-wetting fluid, passing fluid through a pre-wetting fluid holding tank or through an in-line cooler (both not shown in Figure 3) . By cooling the pre-wetting fluid, the partial vapor pressure of the solvent of the pre-wetting fluid is reduced, whereby a greater vacuum condition can be applied, for example, to the degassing device. Also, lowering the temperature of the pre-wetting fluid may reduce both the surface tension and the viscosity of the pre-wetting that tend to cause the degassing device to exhibit less known "blow through" or " It can be effective to increase. Wiping can be a particularly difficult problem when dealing with salts containing pre-wetting fluids, since salt-rich wiping fluids tend to dry and destroy the poles of the degassing device. By using lower temperature fluids, it is possible to reduce the tendency of salt-rich electrolytes to evaporate and flow, thereby preventing the failure of these known sources of degassing devices. For example, the vapor pressure of water (with a small amount of salt) is about 2.7 torr at-10 C for 32 Torr at 20 C and 17.5 Torr at 30 C, respectively. In a 20 torr vacuum applied to the degassing device (yielding about 0.5 ppm of the atmospheric gas not being dissolved), the 30 占 폚 pre-wetting fluid will literally boil and salt around the perforations in the degassing device will remain, The 20 ° C pre-wetting fluid will quickly evaporate. However, when using a -10 ° C pre-wetting fluid, very little degassing device salt occurs. Thus, generally, more of the undissolved gas can be more effectively removed from the degassing device having a lower temperature fluid. In some embodiments, the pre-wetting fluid is cooled to a temperature below 20 占 폚 (e.g., below 0 占 폚) while the pre-wetting fluid is degassed and before the fluid is introduced into the processing chamber. It also reduces the rate of metal corrosion in the pre-wetting system by reducing the temperature of the pre-wetting fluid.

프리-웨팅 장치의 일부 실시예에서, 웨이퍼의 표면이 프리-웨팅 유체로 적셔지고, 뒤이어 외부 압력이 상기 유체에 가해진다. 웨이퍼 표면은 적절한 수단을 이용하여 유체와 먼저 접촉되고, 이로써 일반적으로 (본원에 기술된) 프리-웨팅 유체에 웨이퍼가 잠긴다. 이러한 실시예에서, 프리-웨팅 챔버는 프리-웨팅 유체가 들어오기 위한 주입구를 포함하고, 상기 챔버는 프리-웨팅 동안 또는 프리-웨팅 이후 대기압보다 높은 압력에서 동작하도록 구성된다. 외부 압력을 상기 유체에 가함으로써 기포의 제거가 용이해진다. 일부 실시예에서, 프리-웨팅 유체는, 오목 특징부 내에 트랩된 임의의 기포의 용해 속도를 촉진하기 위하여, 표면의 프리-웨팅 전에 산소뿐만 아니라(예를 들어, 웨이퍼 상의 금속 부식을 최소화하도록) 질소와 이산화탄소와 같은 용해되지 않은 모든 비응축성 가스가 실질적으로 존재하지 않도록 미리 조건이 갖추어진다. 반도체 웨이퍼 처리용 탈산소 처리 유체에 대한 웨이퍼 노출이 미국 특허 제6,021, 791호 및 제6,146,468호에 기술되며, 위 두 미국 출원은 본원에 참조로서 포함된다. In some embodiments of the pre-wetting apparatus, the surface of the wafer is wetted with pre-wetting fluid, followed by external pressure being applied to the fluid. The wafer surface is first contacted with the fluid using suitable means, thereby generally locking the wafer into the pre-wetting fluid (as described herein). In this embodiment, the pre-wetting chamber comprises an inlet for introducing the pre-wetting fluid, and the chamber is configured to operate at a pressure higher than atmospheric pressure during pre-wetting or after pre-wetting. By applying an external pressure to the fluid, bubble removal is facilitated. In some embodiments, the pre-wetting fluid may include oxygen as well as oxygen prior to pre-wetting of the surface (e.g., to minimize metal corrosion on the wafer) to facilitate dissolution rate of any bubbles trapped within the concave features. Conditions are pre-established so that substantially all non-condensable gases, such as nitrogen and carbon dioxide, are not present. Wafer exposures for deoxygenating fluids for semiconductor wafer processing are described in U. S. Patent Nos. 6,021, 791 and 6,146, 468, both of which are incorporated herein by reference.

웨이퍼를 프리-웨팅 유체에 담근 후에 또는 웨이퍼를 프리-웨팅 유체로 덮인 후에, 웨이퍼 주변 구역(가령, 압력 챔버)이 폐쇄 및 밀봉되고, 외부 압력이 상기 챔버와 유체에 가해진다. 압력은 (예를 들어, 유체 위의 영역에서 고압력 가스를 챔버 내부에 주입함으로써) 공압적으로(pneumatically) 가해질 수도 있고, 또는 (예를 들어, 실질적으로 용해되지 않은 가스가 없는 챔버를 이용하여, 그리고 외부 압력을 유체에 가하기 위하여 수압식 피스톤 또는 그 밖의 다른 적합한 디바이스를 이용하여) 수압적으로(hydraulically) 가해질 수도 있다. 챔버 내의 압력이 증가함에 따라, 기포는 자신의 원래 크기로부터 줄어들 것이다. 트랩된 기포를 압축하기 위하여 공압식 (가스) 압력을 이용하는 경우, 프리-웨팅 유체 내에서, 특히 기포의 부근에서 상당한 가스의 양이 용해되지 않는 현상을 피하는 것이 중요할 수 있다. 일부 실시예에서, 고여 있는 상대적으로 두꺼운 유체의층(예를 들어 1cm보다 두꺼운 두께)이 이용된다. 그 밖의 다른 실시예에서, 계면에 도달하는 것에 대하여 가스의 용해에 대한 실질적 저항을 갖는 긴 튜브를 통해 공압식 압력이 챔버에 가해져서, 액체와 접촉하는 가스가 비교작 작은 표면 영역 위에서 가스와 접촉하도록 그리고 시간 주기에 따라 유체에서 용해될 수 있는 가스의 양을 제한하는 비교적 긴 확산 경로를 갖도록 한다. 그러나, 압력이 가해지고, 트랩된 기포의 용해를 위한 원동력이, 가해진 압력을 이용하여 증가될 것이다. 유의한 모세관 압력 효과가 없는 큰 기포에 있어서, 용해를 위한 원동력은, 기포 내의 특정 가스 성분의 초기 몰분율의 생성물(product), 그리고 챔버에 가해진 압력과 유체에서 용해되지 않은 가스의 초기 부분압의 차와 대략 동일할 것이다.After the wafer is immersed in the pre-wetting fluid or the wafer is covered with the pre-wetting fluid, the wafer peripheral zone (e.g., the pressure chamber) is closed and sealed, and an external pressure is applied to the chamber and fluid. The pressure may be applied pneumatically (e.g., by injecting a high-pressure gas into the chamber in the region above the fluid), or the pressure may be applied (e. G., By using a substantially non- And hydraulically applying a hydraulic piston or other suitable device to apply external pressure to the fluid). As the pressure in the chamber increases, the bubble will decrease from its original size. When pneumatic (gas) pressure is used to compress trapped bubbles, it may be important to avoid the phenomenon that a significant amount of gas does not dissolve in the pre-wetting fluid, especially in the vicinity of the bubbles. In some embodiments, a relatively thick layer of fluid (e.g., a thickness greater than 1 cm) is used. In another embodiment, pneumatic pressure is applied to the chamber through a long tube having substantial resistance to dissolution of the gas relative to reaching the interface such that the gas in contact with the liquid contacts the gas over a relatively small surface area And a relatively long diffusion path that limits the amount of gas that can be dissolved in the fluid in a time period. However, the pressure is applied and the driving force for dissolving the trapped bubbles will be increased using the applied pressure. In large bubbles without significant capillary pressure effects, the driving force for dissolution is the product of the initial mole fraction of the particular gas component in the bubble and the difference in pressure between the chamber and the initial partial pressure of the undissolved gas in the fluid It will be roughly the same.

담금(immersion) 실시예가 아닌 프리-웨팅 실시예에서, 웨이퍼를 프리-웨팅 유체의 얇은 층으로 덮기 보다는 공압식으로 또는 수압식으로 압력이 가해질 수 있지만, 공압식으로 가해진 외부 압력은 잠재적으로 가스가 프리-웨팅 유체의 (가령, 탈가스된) 얇은 층 내부로 신속히 재용해될 수 있도록 할 것이다. 가압된 외부 가스 소스로부터의 가스 흡입(gas uptake) 대 기포로부터 액체 내부로의 가스 용해 사이에 경쟁이 존재한다. 따라서,비교적 두꺼운 프리-웨팅 유체의 층이 비-담금(non-immersion) 프리-웨팅 동작을 위해 이용되어야 한다. 또한, 정수압(hydrostatic pressure)을 웨이퍼 위의 얇은 프리-웨팅 튜체의 층에 가하기 위한 제한된 개수의 실질적 수단이 존재한다. 이를 위한 하나의 가능한 수단이, 페이스-업 형(face-up) 웨이퍼, 및 컵을 포함한 프리-웨팅 액체 유체를 형성하는 것이다. 반대로, 두꺼운 프리-웨팅 유체 및 담금 프리-웨팅 방법에 있어서 훨씬 넓은 허용 오차가 존재한다. 이는, 순수하게 정압 수단(hydrostatic mechanism)에 의해 압력이 기포에 전달될 수 있기 때문이며, 대안적으로, 공압식 압력의 인가는, 수반되는 비교적 긴 확산 거리로 인하여 가스를 갖는 비아에서 기포 주변의 프리-웨팅 유체를 신속하게 재-포화시키지 않을 것이다. In a pre-wetting embodiment that is not an immersion embodiment, the pneumatically applied external pressure may potentially cause the gas to flow into the pre-wetting fluid, although the pressure may be pneumatically or hydraulically applied rather than covering the wafer with a thin layer of pre- (E.g., degassed) into the thin layer of the wetting fluid. There is a competition between gas uptake from a pressurized external gas source and gas dissolution from bubbles into the liquid. Thus, a relatively thick layer of pre-wetting fluid should be used for non-immersion pre-wetting operations. There is also a limited number of practical means for applying hydrostatic pressure to the layer of thin pre-wetting tanks on the wafer. One possible means for this is to form a pre-wetting liquid fluid that includes a face-up wafer, and a cup. Conversely, there is much greater tolerance in the thick pre-wetting fluid and immersion pre-wetting methods. This is because the pressure can be transferred to the bubble by a purely static hydrostatic mechanism and, alternatively, the application of the pneumatic pressure causes the pre-bubble around the bubble in the vias with gas due to the relatively long diffusion distance, It will not quickly re-saturate the wetting fluid.

프리-웨팅 유체에서의 압력을 초과하는 기포에서의 가스 부분압을 이용하여 압력이 가해질 때, 기포가 용해되기 시작할 것이다. 결국, 기포는 완전히 용해될 것이고, 이에 대한 총 시간이, 기포의 처음 크기, 가해진 압력, 및 특징부 내의 기포의 원래 깊이에 따라 달라진다. 기포가 완전히 용해된 후에, 용해된 가스의 임의의 초과량(1 기압에서 용해될 수 있는 양을 넘은)이 전체로서 프리-웨팅 유체에서 평형을 이룰 수 있도록, 압력이 해소되기 전 일반적으로 일정 시간이 경과되도록 해야 한다. 이로써, 특징부 내에서 기포가 재응집(re-nucleating)할 가능성을 피할 수 있다. 이러한 절차가 뒤따를 때, 기포가 특징부로부터 제거될 것이고 초과 외부 압력 해소시 기포가 재형성되지 않을 것이다.When pressure is applied using the gas partial pressure in the bubbles that exceeds the pressure in the pre-wetting fluid, the bubbles will begin to melt. Eventually, the bubble will completely dissolve and the total time depends on the initial size of the bubble, the applied pressure, and the original depth of the bubble in the feature. After the bubbles are completely dissolved, a certain amount of dissolved gas (over an amount that can be dissolved at 1 atm) is allowed to equilibrate in the pre-wetting fluid as a whole, This should be done. This avoids the possibility of bubble re-nucleating within the feature. When this procedure is followed, the bubble will be removed from the feature and the bubble will not be reformed upon release of excess external pressure.

도 1을 다시 참조하면, 그래프 D 및 E(도금욕에서 각각 12 rpm 대 90 rpm)가 전술된 바와 같이 기포 용해의 속도에 대하여 계산되지만, 이러한 경우 a) 용액 내에 용해된 초기 가스의 양이 1 기압 공기와 평형을 이루는 양과 동일하고(조건 A와 동일함. 즉, 접촉 유체가 탈가스되지 않음), b) 외부에 3 기압의 압력이 가해진다. 위와 같은 경우에 있어서, 벌크 유체에 용해된 가스의 총 압력이 1 기압에서의 공기와 동일하고, 기포의 계면에서, 3 기압의 압력과 평형을 이룬다. 도 1의 A 및 F의 경우(탈가스 없음, 가압 없음)와 D 및 E의 경우(탈가스는 없으나 가압은 있음)의 비교 결과, 가장 짧은 용해시간 성취의 관점에서 유체의 가압이 좋은 방법으로 나타난다. 3 기압 외부 유체 가압(도 1에서 도시되지 않은 케이스)과 함께 이전에 탈가스된 프리-웨팅 유체(0.2 기압)를 이용함으로써, 계산에 따라, 일반적으로 큰 특징부에 대한 탈가스 시간이 50% 추가로 감소할 것이다(3-0 = 3 기압 구동력 대 3-1= 2 기압 구동력).Referring again to Figure 1, graphs D and E (12 rpm versus 90 rpm, respectively in the plating bath) are calculated for the rate of bubble dissolution as described above, but in this case a) the amount of initial gas dissolved in solution is 1 (Same as Condition A, ie, the contact fluid is not degassed), and b) a pressure of 3 atmospheres is externally applied. In such a case, the total pressure of the gas dissolved in the bulk fluid is equal to the air at one atmosphere, and equilibrates with the pressure of three atmospheric pressure at the interface of the bubbles. As a result of the comparison of Figures 1 A and F (no degassing, no pressurization) and D and E (no degassing but pressurization), the pressurization of the fluid in the shortest dissolution time achievable appear. By using the previously de-gassed pre-wetting fluid (0.2 atm) with 3 atmospheric pressure external fluid pressurization (case not shown in FIG. 1), the degassing time for large features in general is calculated to be 50% (3-0 = 3 atmospheric driving force vs. 3-1 = 2 atmospheric driving force).

그러나, (예를 들어, 이 경우 이를테면 4 기압의 압력까지 압력을 단순히 증가시킴으로써 획득될 수 있는) 가스 제거 시간의 단순한 감소를 넘어서 위 동작에서 탈가스된 유체를 이용하는 것은 잠재적으로 추가적인 상당한 이점이 존재한다는 것에 유의한다. 챔버에 대해 외부적으로 가해진 압력을 해소한 후에, 기포로부터의 가스 및 (공압적으로 유도된 경우) 외부 소스로부터의 가스 중 일부가 프리-웨팅 유체 내로 용해될 것이다. 위에서 언급된 바와 같이, (몇 분 이상이 소요되는 비교적 느린 공정일 수 있는) 평형 상태를 기다리는 것이 아닌 한, 압력이 해소된 이후 유체(특히, 특징부 내의 유체)가 주변 상태/압력에서 용해될 수 있는 초과량의 농도(즉, 가스의 초과량에서 1 기압 압력과 평형을 이룰 수 있음)로 여전히 가스를 포함할 수 있기 때문에 비아 내부에서 기포를 재응집 및 재형성하는 경향이 존재한다. 반대로, 외부적으로 가해진 압력의 인가 전에 유체가 탈가스된 경우, 이러한 평형 시간이 크게 감소될 수 있는데, 이는 기포로부터 가스를 흡수하고 이로써 기포의 재응집 및 침전(precipitation)을 회피할 수 있는 실질적인 초과 수용력이 존재하기 때문이다.However, using a degassed fluid in the above operation beyond a simple reduction of the degassing time (which may be obtained, for example, by simply increasing the pressure to a pressure of 4 atm in this case, for example) may potentially present additional significant advantages . After relieving the externally applied pressure to the chamber, some of the gas from the bubbles and the gas from an external source (if pneumatically induced) will dissolve into the pre-wetting fluid. As mentioned above, the fluid (especially the fluid in the feature) will dissolve in ambient conditions / pressure after the pressure is relieved, unless it is waiting for an equilibrium state (which may be a relatively slow process requiring more than a few minutes) There is a tendency to re-agglomerate and reshape the bubbles inside the vias because they can still contain gases with an excess of concentration (i.e., equilibrium with 1 atmospheric pressure at an excess of gas). Conversely, if the fluid is degassed prior to the application of externally applied pressure, this equilibrium time can be greatly reduced, which can be substantially reduced by absorbing gas from the bubbles and thereby avoiding the re-agglomeration and precipitation of the bubbles This is because there is excess capacity.

마지막으로, 웨이퍼 배향 및 기포와 내부 비아 표면 사이의 표면 장력에 따라, 외부의 압축식 압력에 의해 트랩된 기포가 비아의 직경보다 상당히 작은 크기로 축소될 수 있고, 이로써 기포가 벽으로부터 그 자체로서 분리되고 그 이후에 자신의 부력에 의해 비아 입(mouth) 밖으로 기포가 올라올 수 있다. 일단 기포가 비아를 떠나면, 기포가 내부에 트랩될 가능성 없이 압력이 제거될 수 있다. 말단이, 기포의 직경(a), 동 점성 계수(kinematic viscosity)(υ), 및 레이놀즈 수(Re)에 따라 달라지는 무한 매체(infinite media)(벽 효과 없음)에서 증가하는 약 0.5mm보다 작은 기포의 속도를 증가시키며, 아래와 같이 대략적으로 주어질 수 있다:Finally, depending on the wafer orientation and the surface tension between the bubbles and the inner via surface, the bubbles trapped by the external compressive pressure can be reduced to a size much smaller than the diameter of the vias, And then bubbled out of the via mouth by its buoyancy. Once the bubble leaves the via, the bubble can be depressurized without the possibility of being trapped inside. A bubble smaller than about 0.5 mm which increases at infinite media (no wall effect), which depends on the diameter (a), kinematic viscosity (v), and Reynolds number (Re) , And can be given roughly as: < RTI ID = 0.0 >

Figure pct00001
for Re < 1.0 (4)
Figure pct00001
for Re < 1.0 (4)

Figure pct00002
for 20 < Re < 100 (5)
Figure pct00002
for 20 <Re <100 (5)

여기서,

Figure pct00003
는 중력 가속도,
Figure pct00004
는 프리-웨팅 유체 동 점성 계수(유체 밀도에 의해 나누어지는 유체 점성도)이다. here,
Figure pct00003
Gravity acceleration,
Figure pct00004
Is the pre-wetting fluid kinematic viscosity (fluid viscosity divided by fluid density).

비회전성 케이스(즉, 레이놀즈 수가 하이일 때)와 대비하여, 이러한 케이스(즉, (4) 및 (5))의 작용의 차이는, 로우 Re에 있어서 대류는 무시할 수 있고 상승 기포 뒤에 어떠한 후류(wake)도 나타나지 않는다는 것이며, 후류 드래그(wake drag)가 고려되어 드래그의 두 배의 결과를 가져온다. 비아의 깊이를 기포가 상승하는데 걸리는 시간이 t(sec)=h/V로서 계산될 수 있고, 예를 들어, 100μm 딥 비아(0.01cm) 내의 10μm 직경 버블에 있어서 약 1초 바로 아래일 것이다. 통상적으로, 100μm 딥 비아가 25μm 직경 개구부를 가질 수 있고, 따라서 무한 매체 내의 기포 상승의 가정이 정확하지 않고 벽 유동-슬립 효과(wall flow-slip effect)가 상기 시간을 증가시킬 것이다. 외부 몸체힘(body force)이 중력을 초과하여 또는 중력을 대신하여 가해진 경우, 공정의 속도를 추가로 올릴 수 있음이 인지된다. 예를 들어, 회전 중심을 향해 가리키는 웨이퍼 개구부를 이용하여 웨이퍼를 회전시킴으로써 구심력이 가해질 수 있고, 이로써 기포가 내부로 밀려 들어가도록 도울 수 있다.The difference in the action of these cases (i.e., (4) and (5)), as opposed to the non-circulating case (i.e., when the Reynolds number is high), is such that convection in the low Re is negligible, wake) does not appear, and wake drag is taken into account, resulting in twice the drag. The time it takes for the bubble to rise in the depth of the via can be calculated as t (sec) = h / V, for example, just below about 1 second for a 10 μm diameter bubble in a 100 μm deep via (0.01 cm). Typically, 100 μm deep vias may have 25 μm diameter openings, so the assumption of bubble rise in infinite media is not accurate and a wall flow-slip effect will increase the time. It is recognized that if the external body force exceeds gravity or is applied in place of gravity, the speed of the process may be further increased. For example, a centrifugal force can be applied by rotating the wafer using a wafer opening pointing towards the center of rotation, thereby helping to push the bubble into the interior.

식 4 및 5는 기포 직경이 비아의 크기에 가까울 때 실제 기포 상승 시간을 추정한다. 이러한 추정은 무한 매체 내에서 상승하는 기포의 가정이 근본적으로 정확하지 않은 때(즉, 특징부 직경 크기의 약 1/4보다 큰 기포 직경에 있어서)의 요인이 된다. 상승 기포의 움직임과 비아 벽 사이의 전단류 응력(shear flow stress)이 이러한 상황 하에서 지배하기 시작한다. 또한, 시스템에 압력을 좀 더 가함으로써(기포를 추가로 수축시킴) 또는 기포 직경이 비아 직경에 가까울 때 예상되는 더 긴 기포 상승/제거 시간을 단순히 고려함으로써, 가정을 만족시키는 조건이 성취될 수 있다. Equations 4 and 5 estimate the actual bubble rise time when the bubble diameter is close to the size of the via. This assumption is a factor in when the assumption of rising bubbles in infinite media is fundamentally inaccurate (i.e., for bubble diameters larger than about 1/4 of the feature diameter size). Movement of the upward bubble and shear flow stress between the via walls begin to dominate under these circumstances. Also, conditions that satisfy the assumption can be achieved by simply considering the longer bubble rise / removal times expected by adding more pressure to the system (further shrinking the bubble) or when the bubble diameter is close to the via diameter have.

프리-웨팅 챔버의 또 다른 설계가 본원에 기술된다. 프리-웨팅 챔버의 일 실시예가 도 4에 도시된다. 이번 실시예에서, 프리-웨팅 챔버는 프리-웨팅 유체를 액체 형태로 웨이퍼 기판 위에 전달하도록 구성된다. 프리-웨팅 챔버는 또한, 시간 주기 동안 프리-웨팅 유체를 웨이퍼 기판 위로 분무하거나 상기 유체가 기판 위에 흐르게 하도록 구성될 수 있다. 도 4에서, 웨이퍼(401)가 웨이퍼 고정부(402)를 이용하여 프리-웨팅 챔버(403)에서 페이스-업 형식으로 고정된다. 일부 실시예에서, 웨이퍼 고정부는 프리-웨팅 공정 동안 웨이퍼 기판을 실질적으로 수평 배향(즉, 지구의 수평선에 평행)으로 고정하도록 구성된다. 그 밖의 다른 실시에에서, 웨이퍼 고정부는 프리-웨팅 공정 동안 웨이퍼 기판을 실질적으로 수직 배향으로 고정하도록 구성된다. Another design of the pre-wetting chamber is described herein. One embodiment of the pre-wetting chamber is shown in FIG. In this embodiment, the pre-wetting chamber is configured to deliver the pre-wetting fluid in liquid form onto the wafer substrate. The pre-wetting chamber may also be configured to atomize the pre-wetting fluid over the wafer substrate or to cause the fluid to flow over the substrate for a period of time. In FIG. 4, the wafer 401 is fixed in a face-up form in the pre-wetting chamber 403 using the wafer securing portion 402. In some embodiments, the wafer anchoring portion is configured to secure the wafer substrate in a substantially horizontal orientation (i.e., parallel to the horizontal line of the earth) during the pre-wetting process. In another alternative embodiment, the wafer anchoring portion is configured to secure the wafer substrate in a substantially vertical orientation during the pre-wetting process.

통상적인 동작에서, 진공 시스템(도시되지 않음)에 연결된 진공 포트(409)를 통해 챔버(406)가 먼저 진공 상태가 된다. 이로써, 챔버 내의 압력이 대기압까지 감소된다. 챔버 내 가스의 대부분이 진공에 의해 제거된 이후, 프리-웨팅 유체가 노즐(405) 또는 그 밖의 수단으로부터 웨이퍼 표면 위로 배달된다. 일부 실시예에서, 프리-웨팅 유체는 웨이퍼 표면에 접촉하기 전에 다시 탈가스되고, 프리-웨팅 유체가 진공 환경으로 유입됨으로써 가스가 해방되는 것이 회피된다. 웨이퍼의 완전한 웨팅(적심) 및 노출을 보장하기 위하여 프리-웨팅 유체 배달 공정 동안 웨이퍼가 모터(407)를 이용해 회전될 수 있다. 일부 실시에에서, 프리-웨팅 챔버는 웨이퍼 기판 위로 프리-웨팅 유체를 배달하도록 구성된다. 일부 실시예에서, 프리-웨팅 유체는 액체이다. 일부 실시예에서, 프리-웨팅 유체(액체)는 우선 웨이퍼 기판 중심의 약 3cm 내에서 회전 웨이퍼 기판과 접촉한다. 프리-웨팅 이후, 모터(407)를 이용하여 웨이퍼가 로우 rpm으로 회전하여 유입된 프리-웨팅 유체를 제거할 수 있으나, 웨이퍼 표면 위의 얇은 유체의 층은 남는다. 초과 프리-웨팅 유체가 배출되어 포트(411)를 통해 진공 챔버를 빠져나간다. 그 후, 기판의 표면 위 및 기판의 특징부 내의 표면 장력에 의해 유지되는 얇은 프리-웨팅 유체의 층으로 도금하기 위하여 노벨러스 클램쉘 셀(Novellus clamshell cell)과 같은 표준 도금 셀에 기판이 전달된다. In a typical operation, the chamber 406 is first evacuated through a vacuum port 409 connected to a vacuum system (not shown). Thereby, the pressure in the chamber is reduced to atmospheric pressure. After most of the gas in the chamber has been removed by vacuum, the pre-wetting fluid is delivered from the nozzle 405 or other means onto the wafer surface. In some embodiments, the pre-wetting fluid is degassed again before contacting the wafer surface, and the freeing of the gas by introducing the pre-wetting fluid into the vacuum environment is avoided. The wafer may be rotated using the motor 407 during the pre-wetting fluid delivery process to ensure complete wafer wetting and exposure of the wafer. In some implementations, the pre-wetting chamber is configured to deliver the pre-wetting fluid over the wafer substrate. In some embodiments, the pre-wetting fluid is a liquid. In some embodiments, the pre-wetting fluid (liquid) first contacts the rotating wafer substrate within about 3 cm of the center of the wafer substrate. After pre-wetting, the motor 407 may be used to rotate the wafer at low rpm to remove the introduced pre-wetting fluid, but a thin layer of fluid remains on the wafer surface. Excess free pre-wetting fluid exits and exits the vacuum chamber through port 411. The substrate is then transferred to a standard plating cell, such as a Novellus clamshell cell, for plating with a layer of thin pre-wetting fluid held on the surface of the substrate and by surface tension within the features of the substrate.

도 5는 본원에 기술된 프리-웨팅 공정을 수행하는데 적합한 프리-웨팅 챔버의 실시예의 등각도를 도시한다. 도 5는 도 4에 도시된 실시예에서와 유사한 프리-웨팅 챔버의 세부적 그림이다. 프리-웨팅 챔버(501)는 공정 동안 웨이퍼를 회전시키기 위한 모터(503)를 포함하며, 상기 모터는 챔버 아래에서 척을 통해 모터-및-베어링 지지 부재(505)에 의해 챔버 베이스(504)에 고정되며, 모터-및-베어링 지지부 둘 모두는 베어링(507) 및 챔버와 베어링 밑면 사이의 유체 밀봉을 형성한다. 상기 베어링은 상업적으로 구입 가능한 진공-통과-관통(vacuum-pass-through) 중앙 샤프트 회전 베어링이다. 척은 웨이퍼(도시되지 않은 웨이퍼), 구속 핀(confinement pin), 및 적절한 그 밖의 다른 정렬 장치를 지지하기 위하여 세 개의 암(515는 하나의 암)을 가진다.Figure 5 shows an isometric view of an embodiment of a pre-wetting chamber suitable for performing the pre-wetting process described herein. 5 is a detail view of a pre-wetting chamber similar to the embodiment shown in FIG. The pre-wetting chamber 501 comprises a motor 503 for rotating the wafer during processing, the motor being driven by a motor-and-bearing support member 505 through the chuck under the chamber to the chamber base 504 And both the motor-and-bearing supports form a fluid seal between the bearing 507 and the bottom surface of the chamber and the bearing. The bearing is a commercially available vacuum-pass-through center shaft rotational bearing. The chuck has three arms (one arm 515) to support a wafer (wafer not shown), a confinement pin, and other suitable alignment devices.

챔버의 하위 섹션에는, 회전 웨이퍼가 가해진 이후 그곳에 축적될 수 있는 초과 프리-웨팅 유체를 제거하기 위한 배출구(519)가 있다. 상기 유체는 챔버 벽을 향해 내던져져 챔버 베이스에 떨어진다. 일부 실시예에서, 웨이퍼 주변 "유체 탈주자 실드(fluid defector shield)"가 대체로 웨이퍼의 평면에 위치되어, 챔버 벽을 가열하기 전에 웨이퍼 웨지로부터 발산된 유체가 아래쪽으로 빗나가도록 한다. 디플렉터 실드(deflector shield)가 이동성일 수 있고, 또는 적절한 수직 이동 수단 및 밀봉에 의해 웨이퍼와 웨이퍼 척 표면이 조정될 수 있다. 또한, 챔버의 베이스에는 일부 실시예에서 유체 보호 실드(523)에 하우징된 진공 주입구 및 진공 해소 라인(521)이 있다. 이러한 실드는 가스의 서지(surge)가 챔버 내에서 유체를 불필요하게 교란시키는 것을 방지하도록 도우며, 뿐만 아니라 이 둘을 격리함으로써 진공 라인 내부로 유입되는 액체의 양을 최소화할 수 있다. 진공 라인(및 실드)이 챔버의 상부 섹션에 위치될 수 있지만, 웨이퍼 위에 떨어져 결점을 형성하는 임의의 입자의 성향을 최소화하도록 웨이퍼 아래에서부터 진공 상태가 되는 것이 유리하다. 이는, 챔버가 가스로 다시 채워지는 동안, 또는 챔버 도어가 개방된 사이에 주변 환경으로부터 입자 또는 그 밖의 다른 물질이 챔버로 유입되는 경우 발생될 수 있다. 챔버 내로의 유입으로부터 입자 및 그 밖의 다른 물질을 최소화하기 위하여, 챔버는 통상적으로 질소, 이산화탄소, 또는 아르곤과 같은 입자-충전된(particle-filtered) 불활성 가스로 다시 채워지며(재충전), 도어가 개방된 사이에 살짝 양의 압력을 갖는 입자 없는 깨끗한 가스가 챔버로 유입된다. 이러한 재충전 가스가 통상적으로 필터링되고 유입 유체가 챔버의 벽에 장착된 유동 확산기(flow diffuser)로 유입되어, 웨이퍼를 건조시킬 수 있거나 불필요하게 임의의 챔버 내용물을 방해할 수 있는 가스 흐름 제트(gas flow jet)를 피할 수 있도록 한다.In the lower section of the chamber there is an outlet 519 for removing excess pre-wetting fluid that may accumulate there after the rotating wafer is applied. The fluid is thrown into the chamber wall and falls into the chamber base. In some embodiments, a "fluid defector shield " around the wafer is generally located in the plane of the wafer, causing the fluid emanating from the wafer wedge to deflect downward before heating the chamber walls. The deflector shield may be mobile, or the wafer and wafer chuck surface may be adjusted by suitable vertical movement means and sealing. In addition, the base of the chamber has a vacuum injection port and a vacuum release line 521 housed in the fluid protection shield 523 in some embodiments. Such a shield helps prevent the surge of gas from unnecessarily disturbing the fluid in the chamber, as well as isolating the two to minimize the amount of liquid entering the vacuum line. Although the vacuum line (and shield) may be located in the upper section of the chamber, it is advantageous to be in a vacuum from below the wafer to minimize the tendency of any particles to fall on the wafer and form defects. This may occur when particles or other materials are introduced into the chamber while the chamber is being refilled with gas, or while the chamber door is open, from the environment. To minimize particles and other matter from entering the chamber, the chamber is typically refilled (refilled) with a particle-filtered inert gas such as nitrogen, carbon dioxide, or argon, and the door is opened A clean, particleless gas having a slight positive pressure is introduced into the chamber. This recharge gas is typically filtered and introduced into a flow diffuser in which the inflow fluid is mounted to the wall of the chamber to form a gas flow that can dry the wafer or interfere with any chamber contents unnecessarily jet.

일부 실시에에서, 프리-웨팅 유체 노즐(525)이 측면 위에 그리고 측면에 위치되나, 중심에 위치된 웨이퍼 위, 그리고 웨이퍼 중심구역에 도달하도록 유체를 분무 또는 흐르게 구성되고 배향된 웨이퍼 척 위에는 위치되지 않는다. 그 밖의 다른 실시예에서, 프리-웨팅 유체 노즐은 웨이퍼 위에 배치될 수 있는 이동성 암에 부착될 수 있다. 도 5에 도시된 실시예에서, 챔버 진공 도어(527)가 챔버의 벽을 따라 위치되고 챔버 그 자체에 대해 밀봉하도록 구성된다. 웨이퍼가 챔버에 자유롭게 도입될 수 있고, 그 후 웨이퍼가 웨이퍼 고정 척 위에 배치된 이후 밀봉 위치로 재배치될 수 있도록 상기 챔버 진공 도어는 챔버로부터 멀리 그리고 아래쪽으로(또는 위쪽으로) 이동될 수 있다. 비말동반된(entrained) 유체를 잠재적으로 고정할 수 있는 도어 및 그 밖의 다른 요소가, 유체가 웨이퍼 위로 적하될 수 없도록 설계되어야 한다. 예를 들어, 도어가 수축된(retracted) 위치 및 관련 하드웨어가, 웨이퍼가 챔버 내부로의 삽입부를 형성하는 평면 아래에 위치되어, 다른 경우라면 웨이퍼가 챔버 내부 또는 외부로 전달되는 동안 웨이퍼를 오염시키는 유체 적하(dripping)를 피할 수 있도록 한다.In some implementations, the pre-wetting fluid nozzle 525 is located on the side and on the side, but is located on the centrally located wafer, and is not located on the oriented wafer chuck that is configured to flow or flow the fluid to reach the wafer center region Do not. In another alternative embodiment, the pre-wetting fluid nozzle may be attached to a movable arm that may be placed on the wafer. In the embodiment shown in FIG. 5, a chamber vacuum door 527 is positioned along the wall of the chamber and is configured to seal against the chamber itself. The chamber vacuum door can be moved away and downward (or upward) from the chamber so that the wafer can be freely introduced into the chamber and then relocated to the sealing position after the wafer is placed on the wafer holding chuck. Doors and other elements that can potentially hold entrained fluid should be designed so that fluid can not be dripped onto the wafer. For example, the position where the door is retracted and the associated hardware may be located below the plane forming the insert into the chamber, otherwise the wafer may contaminate the wafer while being transferred into or out of the chamber Thereby avoiding fluid dripping.

일부 실시예에서, 챔버의 상부 섹션, 특히 웨이퍼가 척 내에 놓여 도어를 통해 추출되는 평면 위의 영역이, 프리-웨팅될 웨이퍼의 온도 이상으로 가열된다. 이러한 영역은 웨이퍼 위에 존재하는 영역(도 5에 도시되지 않은 상부 표면 또는 진공 돔) 그리고 웨이퍼 주위의 주변 영역 모두를 포함한다. 이러한 가열은 진공 상태가 확립되기 전 웨이퍼 위의 챔버의 천장으로부터 액체가 적하하는 것을 막는데 유용하고, 잠재적으로, 방울(drop)이 떨어진 비아 내부에 기포를 트랩하는 것을 막는데 유용하며, 이로써, 공기가 비아로부터 우선 제거되는 경우에만 프리-웨팅 유체를 웨이퍼 위에 두는 원하는 공정을 우회할 수 있다. 이와 유사하게, 웨이퍼를 챔버 내에 배치하는 동안, 벽에서 기판 표면 위로 떨어진 액체가 유사한 효과를 가질 것이다. 챔버 벽을 가열함으로써, 벽과 천장 위의 응축이 회피되고, 다른 경우라면 이러한 위치에 도달할 수도 있는 임의의 표유 액적(stray droplet)의 빠른 증발이 가능하며, 이로써 이러한 영역이 건조 상태로 유지될 수 있다.In some embodiments, the upper section of the chamber, in particular the area on the plane where the wafer is placed in the chuck and extracted through the door, is heated above the temperature of the wafer to be pre-wetted. This region includes both the area present on the wafer (top surface or vacuum dome not shown in FIG. 5) and the surrounding area around the wafer. This heating is useful to prevent liquid from dripping from the ceiling of the chamber above the wafer before the vacuum is established and is potentially useful to prevent trapping of bubbles in vias that are dropped, It is possible to bypass the desired process of placing the pre-wetting fluid on the wafer only if air is first removed from the via. Similarly, during placement of the wafer in the chamber, the liquid off the wall surface from the substrate will have a similar effect. By heating the chamber walls, condensation on the walls and ceiling is avoided and, in other cases, rapid evaporation of any stray droplets that may reach this position is possible, thereby ensuring that these areas remain dry .

도 5에는 도시되지 않았지만, 일부 실시예에서, 수직으로 이동 가능하고 자동화가 가능한 스플래시 실드(splash shiel)가 웨이퍼와 척 주변에 그리고 챔버 내부에 위치된다. 스플래시 실드는 유체의 도포 동안 앞쪽으로 이동될 수 있고, 또는 그 밖의 다른 것들 중에서도 액체가 챔버 도어 또는 상부 벽과 접촉하는 것을 최소화하고 회피하는데 적합한 그 밖의 다른 경우에 앞쪽으로 이동될 수 있다. 대안적으로, 웨이퍼 척은 챔버 내부의 깊은 아래쪽으로, 그리고 웨이퍼 삽입 이후 진공 도어의 평면 아래로 이동될 수 있고, 이로써 위와 동일한 목적을 성취할 수 있다.Although not shown in FIG. 5, in some embodiments, a vertically moveable and automatable splash shiel is located around the wafer and chuck and within the chamber. The splash shield can be moved forward during application of the fluid or, among other things, can be moved forward in any other case suitable for minimizing and avoiding contact of the liquid with the chamber door or top wall. Alternatively, the wafer chuck can be moved deep down into the interior of the chamber and down the plane of the vacuum door after wafer insertion, thereby achieving the same objectives as above.

그 밖의 다른 실시예에서, 프리-웨팅 유체를 웨이퍼 표면으로 배달하는 대신, 웨이퍼는, 진공 상태가 유체와 웨이퍼 위에서 유지되는 동안 (예를 들어, 응축에 의해) 프리-웨팅 유체에 담겨지거나 프리-웨팅 유체로 덮인다. 챔버 내 진공상태의 형성이, 챔버 내에 실질적으로 어떠한 비응축성 가스도 존재하지 않는 상태를 형성하기 때문에, 프리-웨팅 유체가 비아로 유입되는 것이 방해된다. 또 다른 방식으로는, 프리-웨팅 동작 전에 가스가 별개의 동작에서 제거되었기 때문에(진공상태 도입) 액체는 프리-웨팅 동안 비아 내에 위치된 임의의 가스를 추방할 필요가 없다.In another alternative embodiment, instead of delivering the pre-wetting fluid to the wafer surface, the wafer may be immersed in the pre-wetting fluid (e.g., by condensation) while the vacuum is maintained on the fluid and the wafer, Cover with wetting fluid. Since the formation of a vacuum in the chamber creates a state in which substantially non-condensable gases are not present in the chamber, pre-wetting fluid is prevented from entering the via. Alternatively, the liquid does not need to expel any gas located in the via during pre-wetting because the gas has been removed in a separate operation (pre-vacuuming) prior to the pre-wetting operation.

예를 들어, 일 실시예에서, 진공상태가 프리-웨팅 챔버에 가해진 이후, 응축성 유체 증기(예를 들어, 물의 증기(저압 스팀), 메틸 알코올, 디메틸 카보네이트, 디에틸 카보네이트, 이소프로필 알코올, 디메틸 술폭시드, 및 디메틸 포름아미드, 또는 후속 헹굼에서 쉽게 용해 가능하거나 후속 도금 전해질에서 가용성인 후속 도금 전해질로서 이용되는 그 밖의 다른 액체)가 챔버 내에 형성되거나 챔버로 주입된다. 웨이퍼 기판이 하나 이상의 오목 특징부를 가지고 프리-웨팅 챔버가 웨이퍼 기판 위에 프리-웨팅 유체를 가스 형태로 배달하도록 구성된 실시예에서, 프리-웨팅 유체는 오목 특징부를 프리-웨팅 유체로 채우는 액체 필름을 웨이퍼 표면 위에 형성하도록 응축된다. 도 6은 이러한 응축 프리-웨팅 공정을 위해 구성된 프리-웨팅 챔버의 실시예를 도시한다. 도 6은 챔버로의 액세스를 가능하게 하는 이동성 진공 리드(lid)(609)(대안적으로, 액세스 도어)와, 진공 소스(611)를 향하는 라인과, 진공 해소 라인(613)과, 그리고 응축성 유체 주입구(615)를 갖는 챔버(601)를 도시한다. 진공 밀봉부(617)는 하부 격납 용기를 챔버의 나머지로부터 밀봉한다. 웨이퍼(603)는 웨이퍼 고정 설비(척)(607)의 일부인 웨이퍼 냉각 요소(냉각 장치)(605) 위에 배치된다. 웨이퍼 냉각 요소(605)는 웨이퍼 기판 표면 온도를, 증기로서 주입구(615)를 통해 챔버 내부로 흐르는 프리-웨팅 유체의 응축 온도 이하의 온도까지 감소시킨다. 또 다른 실시예에서, 진공상태를 형성하고 진공상태인 챔버(601)로부터 응축성 가스(가령, 공기)를 제거한 후에, 물이 단순히 가열되며 챔버 내로 증발(가령, 끓음)되고, 챔버 내부의 냉각기 웨이퍼(603) 위를 포함하는 표면 및 바람직하게는 냉각기 웨이퍼 위의 표면에서 응축된다. 예를 들어, 진공 밀봉부(617) 없는 챔버에서, 챔버의 하위 섹션(619)에서 적은 양의 물이 가열될 수 있고, 진공상태가 챔버 내부로 도입되는 동안 동시에 순간증발(flash)될 수 있다. 이러한 공정 동안 어떠한 지점에서, 진공상태로의 연결부가 제거(폐쇄)될 수 있다. For example, in one embodiment, after the vacuum state is applied to the pre-wetting chamber, condensed fluid vapors (e.g., steam of water (low pressure steam), methyl alcohol, dimethyl carbonate, diethyl carbonate, isopropyl alcohol, Dimethylsulfoxide, and dimethylformamide, or other liquids that are readily soluble in subsequent rinses or used as subsequent plating electrolytes soluble in subsequent plating electrolytes) are either formed in the chamber or injected into the chamber. In an embodiment in which the wafer substrate has one or more recessed features and the pre-wetting chamber is configured to deliver the pre-wetting fluid in gaseous form onto the wafer substrate, the pre-wetting fluid is transferred to the wafer Condensed to form on the surface. Figure 6 shows an embodiment of a pre-wetting chamber configured for such a condensing pre-wetting process. Figure 6 shows a mobile vacuum lid 609 (alternatively, an access door) that allows access to the chamber, a line to the vacuum source 611, a vacuum release line 613, Lt; RTI ID = 0.0 &gt; 601 &lt; / RTI &gt; The vacuum seal 617 seals the lower containment vessel from the rest of the chamber. The wafer 603 is placed on a wafer cooling element (cooling device) 605 that is part of the wafer anchoring facility (chuck) 607. The wafer cooling element 605 reduces the wafer substrate surface temperature to a temperature below the condensation temperature of the pre-wetting fluid flowing through the inlet 615 into the chamber as vapor. In another embodiment, after the vacuum is formed and the condensable gas (e.g., air) is removed from the vacuum chamber 601, the water is simply heated and evaporated (e.g., boiled) into the chamber, Is condensed on the surface comprising the wafer 603 and preferably on the surface above the cooler wafer. For example, in a chamber without a vacuum seal 617, a small amount of water can be heated in the lower section 619 of the chamber and simultaneously instantaneously flash while the vacuum is introduced into the chamber . At some point during this process, the connection to the vacuum state can be removed (closed).

그 밖의 다른 실시예에서, 어떠한 시간 주기 동안 웨이퍼 기판이 프리-웨팅 유체의 용기(bath) 내에 잠긴다. 도 7은 이러한 담금(immersion) 프리-웨팅 공정을 위해 구성된 프리-웨팅 챔버의 실시예를 도시한다. 도 7에서, 웨이퍼(701)가 챔버(703) 내의 웨이퍼 고정부(702)에 고정된다. 챔버(703)는 프리-웨팅 유체가 들어오기 위한 주입구(711)를 가진다. 도시된 바와 같이, 웨이퍼는 웨이퍼 고정부에 페이스-업 방식으로 고정되고, 유체가 주변 에치로부터 웨이퍼에 도달할 수 있도록 하는 적절한 수단에 의해 고정된다. 진공 시스템(도시되지 않음)에 연결된 진공 포트(707)를 통해 진공상태가 챔버(703)에 도입된다. 그 후, 예를 들어 1) 프리-웨팅 유체(713) 내부의 아래쪽으로 이동하는 웨이퍼 및 웨이퍼 고정부에 의해, 또는 2) 주입구(711)를 통해 주입되는 유체에 의해 상승되는 프리-웨팅 유체 레벨에 의해, 웨이퍼가 프리-웨팅 유체로 적셔진다(웨팅). 프리-웨팅 공정 동안, 웨이퍼는 모터(705)를 이용하여 천천히 회전할 수 있다. 프리-웨팅 공정 후에, 액체 레벨이 낮아지거나 웨이퍼가 상승되고, 웨이퍼가 모터(705)를 이용하여 낮은 rpm으로 회전하여 비말동반된(entrained) 초과 유체를 제거할 수 있고, 이로써 얇은 프리-웨팅 유체 층이 남는다. 또한, 포트(709)를 관통하는 질소 가스의 흐름을 이용하여, 웨이퍼의 전면(frontside)이 적셔진 상태로 유지하면서 웨이퍼의 후면을 건조시킬 수 있다. 그 후, 웨이퍼가 도금을 위한 표준 클램쉘(clamshell)로 보내진다.In another alternative embodiment, the wafer substrate is immersed in a bath of pre-wetting fluid for any period of time. Figure 7 shows an embodiment of a pre-wetting chamber configured for such an immersion pre-wetting process. 7, the wafer 701 is fixed to the wafer fixing portion 702 in the chamber 703. [ The chamber 703 has an inlet 711 through which the pre-wetting fluid enters. As shown, the wafer is fixed in a face-up manner to the wafer fixture and secured by suitable means to allow fluid to reach the wafer from the peripheral etch. A vacuum state is introduced into the chamber 703 via a vacuum port 707 connected to a vacuum system (not shown). Thereafter, for example, 1) the pre-wetting fluid level 713 is raised by the wafer moving downward within the pre-wetting fluid 713 and the wafer fixture, or 2) by the fluid being injected through the inlet 711 (Wetting) the wafer with the pre-wetting fluid. During the pre-wetting process, the wafer can slowly rotate using the motor 705. [ After the pre-wetting process, the liquid level may be lowered or the wafer may be elevated, and the wafer may be rotated at low rpm using the motor 705 to remove excess fluid entrained, thereby forming a thin pre-wetting fluid Layer remains. Further, the backside of the wafer can be dried while keeping the frontside of the wafer in a wet state by using the flow of nitrogen gas passing through the port 709. [ The wafer is then sent to a standard clamshell for plating.

도 7에 도시된 프리-웨팅 챔버의 그 밖의 다른 실시예에서, 웨이퍼가 페이스-다운 방식 위치로 고정될 수 있다. 도 7에 도시된 바와 같이 프리-웨팅 챔버를 갖는 프리-웨팅 장치의 일부 실시예에서, 프리-웨팅 장치는 챔버 내의 압력이 약 50torr 이하로 감소된 이후에 웨이퍼를 프리-웨팅 유체에 담그기 시작하도록 구성된다. 도 7에 도시된 프리-웨팅 챔버(703)는, 기포를 용해시키기 위하여 외부 압력이 가해지는 실시예에서 이용될 수 있다. 챔버 및 그 밖의 다른 구성요소가 진공상태를 대신하여 또는 진공상태에 더하여 내부 압력에 저항할 필요가 있을 것이다.In another embodiment of the pre-wetting chamber shown in Fig. 7, the wafer may be fixed in a face-down manner. In some embodiments of the pre-wetting apparatus having a pre-wetting chamber as shown in FIG. 7, the pre-wetting apparatus may be configured to begin immersing the wafer in the pre-wetting fluid after the pressure in the chamber has been reduced to less than about 50 torr . The pre-wetting chamber 703 shown in FIG. 7 can be used in embodiments where external pressure is applied to dissolve the bubbles. The chamber and other components will need to resist the internal pressure in addition to or in addition to the vacuum.

도 8은 담금 프리-웨팅 공정을 위해 구성된 프리-웨팅 챔버의 또 다른 실시예를 도시한다. 도 8은 프리=웨팅 챔버(801), 웨이퍼(809), 및 유체(813) 또는 서로에 대하여 이동하는 웨이퍼 고정부(803)를 도시한다. 이번 실시예에서, 프리-웨팅 전방을 정밀하게 제어하고 챔버로부터 액체가 완전히 제거될 수 있도록 챔버와 웨이퍼 고정부(803)가 기울어질 수 있다. 또한, 웨이퍼(809) 및 챔버의 바닥 사이의 간격은 좁다. 도 7에 도시된 바와 같이, 도 8의 프리-웨팅 유체는 포트(811)을 통과해 유입되거나 빠져나갈 수 있고, 진공 시스템(도시되지 않음)에 연결된 진공 포트(807)를 통해 챔버(801)에 진공상태가 도입될 수 있다. 웨이퍼가 모터(805)를 이용하여 낮은 rpm으로 회전함으로써, 비말동반된 초과 유체가 웨이퍼 표면으로부터 제거될 수 있다. 도 8에 도시된 실시예는, 고비용 프리-웨팅 유체를 이용하여 웨이퍼 표면을 프리-웨팅할 때, 또는 최소량의 프리-웨팅 유체를 이용하는 것이 바람직할 때(따라서, 예를 들어, 용해된 가스의 레벨이 로우 레벨로 유지될 수 있음) 특히 유용하다. 프리-웨팅 이후, 웨이퍼는 도금을 위한 표준 클램쉘로 보내진다. 좁은 간격과 경사 표면을 가지나, 프리-웨팅 동작 동안 진공상태를 가하기 위한 수단이 없는 프리-웨팅 장치의 유사한 설계가 2005년 8월 9일에 출원된 미국 특허 출원 제11/200,338호에 기술되며, 위 미국 출원은 본원에서 참조로서 포함된다. Figure 8 shows another embodiment of a pre-wetting chamber configured for a dipping pre-wetting process. 8 shows a wafer holding section 803 moving with respect to the free = wetting chamber 801, the wafer 809, and the fluid 813 or with respect to each other. In this embodiment, the chamber and wafer holder 803 can be tilted so that the pre-wetting front is precisely controlled and liquid can be completely removed from the chamber. Further, the gap between the wafer 809 and the bottom of the chamber is narrow. As shown in Figure 7, the pre-wetting fluid of Figure 8 can flow in or out through port 811 and pass through chamber 801 through a vacuum port 807 connected to a vacuum system (not shown) A vacuum state can be introduced. By rotating the wafer at low rpm using the motor 805, the entrained excess fluid can be removed from the wafer surface. The embodiment shown in FIG. 8 can be used when pre-wetting a wafer surface with a high-cost pre-wetting fluid, or when it is desired to utilize a minimal amount of pre-wetting fluid (thus, Level can be maintained at a low level). After pre-wetting, the wafer is sent to a standard clam shell for plating. A similar design of the pre-wetting apparatus with narrow spacing and inclined surface but without means for applying a vacuum state during the pre-wetting operation is described in U.S. Patent Application No. 11 / 200,338, filed on August 9, 2005, The above US application is incorporated herein by reference.

또한, 도 8에 도시된 챔버는 전술된 바와 같이 외부 압력이 가해지는 실시예에서 이용될 수 있다. 이러한 실시에에서, 내부 양의 압력을 견뎌 내고 유지할 수 있도록 챔버 및 그 밖의 다른 설비가 설계되거나 수정된다.Further, the chamber shown in Fig. 8 can be used in the embodiment in which external pressure is applied as described above. In such an implementation, the chamber and other facilities are designed or modified to withstand and maintain the internal positive pressure.

프리-웨팅 공정이 도금 셀에서 수행되는 장치의 실시예가 도 9에 도시된다. 대안적으로, 프리-웨팅 챔버가 웨이퍼 기판을 프리-웨팅하고 프리-웨팅된 페이퍼 기판 위의 금속층을 전기-도금하도록 구성되었다고도 말할 수 있다. 도 9에서, 챔버(901)는 셀 벽(903)의 섹견이 되는 진공 밀봉 표면을 갖는 도금 셀이다. 웨이퍼 고정 설비(905)가 웨이퍼(915)를 고정한다. 상기 도면에 도시된 실시예에서, 도금 셀은 이온 저항성(ionically resistive) 이온 투과성(ionically permeable) 고저항성 가상 애노드(high resistance virtual anode, HRVA)(907) 및 분리된 애노드 챔버(separated anode chamber, SAC) 구역(909)을 포함한다. HRVA 포함 장치의 일 예시가 2008년 11월 7일에 출원된 미국 특허 출원 제12/291,356호에 기술되며, 위 미국 출원은 본원에서 관련 부분의 참조로서 포함된다. 또한, 2006년 8월 16일에 출원된 미국 특허 출원 제11/506,054호 역시 참조하며, 위 미국 출원도 본원에서 관련 부분의 참조로서 포함된다.An embodiment of a device in which the pre-wetting process is performed in a plating cell is shown in Fig. Alternatively, it can be said that the pre-wetting chamber is configured to pre-wet the wafer substrate and electroplate the metal layer on the pre-wetted paper substrate. In Fig. 9, chamber 901 is a plating cell having a vacuum sealing surface that is a solid piece of cell wall 903. The wafer fixing equipment 905 fixes the wafer 915. In the illustrated embodiment, the plating cell comprises an ionically resistive ionically permeable high resistance virtual anode (HRVA) 907 and a separated anode chamber (SAC ) Zone 909. The &lt; / RTI &gt; One example of an HRVA containing device is described in U.S. Patent Application No. 12 / 291,356, filed on November 7, 2008, which is incorporated herein by reference in its entirety. See also U.S. Patent Application No. 11 / 506,054, filed August 16, 2006, which is also incorporated herein by reference.

먼저 웨이퍼(915)가 도금 용액(913) 위에 고정되고, 진공 포트(911)를 통해 챔버에 진공상태가 도입된다. 진공상태가 챔버에 도입된 경우, 통상적으로 웨이퍼가 부서지지 않도록 웨이퍼 고정 설비를 통해 웨이퍼의 후면에 진공상태가 가해져야 한다. 그 후, 유체 레벨(913)이 상승되고, 이로써 웨이퍼 표면이 적셔진다. 일부 실시예에서, 상기 유체는 프리-웨팅 유체이고, 그 밖의 다른 실시예에서 상기 유체는 도금 용액이다. 일부 실시예에서, 상기 유체는 웨이퍼 표면에 접촉하기 전에 탈가스된다. 챔버 내에 어떠한 가스도 존재하지 않기 때문에, 웨이퍼가 페이스-다운 형식이라는 사실로 인해, 표면 아래에 또는 비아 내부에 트랩된 가스를 포함하는 어떠한 기포도 생겨나지 않는다. 프리-웨팅이 완료된 후에, 진공상태가 해소될 수 있다. 그 후, 웨이퍼(915) 위에 금속(일부 실시예에서, 구리)의 전기-도금이 시작될 수 있다. 웨이퍼 회전을 이용하여 또는 웨이퍼 회전 없이 주위 압력에서 (기계적으로, 그리고 처리 상태에서) 도금을 수행하는 것이 더 간단하다. 대안적으로, 전기-도금 공정 전체에 걸쳐 진공상태가 유지될 수 있다. 또다시, 프리-웨팅 동작을 수행하기 전에 탈가스된 유체를 갖는 것이 이번 실시예 및 그 밖의 다른 실시예에서 유리하다. 그렇지 않으면, 유체가 탈가스된 가스를 놓아줄 수 있고, 이로써 낮은 압력에 의해 액체 밖으로 가스가 밀려나옴으로써 특징부 내에 또는 표면 위에 기포가 형성될 수 있다. First, a wafer 915 is fixed on the plating solution 913, and a vacuum state is introduced into the chamber through the vacuum port 911. When a vacuum condition is introduced into the chamber, a vacuum must be applied to the backside of the wafer through the wafer anchoring facility, typically to prevent the wafer from breaking. The fluid level 913 is then raised, thereby wetting the wafer surface. In some embodiments, the fluid is a pre-wetting fluid, while in other embodiments the fluid is a plating solution. In some embodiments, the fluid is degassed prior to contacting the wafer surface. Due to the fact that there is no gas in the chamber, no bubbles are formed below the surface or including the gas trapped inside the vias due to the fact that the wafer is face-down type. After the pre-wetting is complete, the vacuum can be relieved. Thereafter, electroplating of metal (in some embodiments, copper) may begin on wafer 915. It is simpler to perform the plating (at the mechanical and processing conditions) at ambient pressure with or without wafer rotation. Alternatively, a vacuum can be maintained throughout the electro-plating process. Again, having degassed fluid prior to performing the pre-wetting operation is advantageous in this and other embodiments. Otherwise, the fluid can release the degassed gas, whereby bubbles can form in or on the surface by pushing the gas out of the liquid by low pressure.

본원에 개시된 실시예와 함께 이용하기에 적합한 양상을 갖는 클램쉘-유형 도금 장치에 대한 일반적 설명이 미국 특허 제6,156,167호 및 제6,800,187호에서 자세히 기술되며, 위 미국 특허는 본원에서 모든 목적을 위하여 참조로서 포함된다. A general description of a clamshell-type plating apparatus having an aspect suitable for use with the embodiments disclosed herein is described in detail in U.S. Patent Nos. 6,156,167 and 6,800,187, which are incorporated herein by reference for all purposes .

도 10은 웨이퍼 초리를 위한 전기-도금 시스템/모듈(1001)의 실시예를 도시한다. 도시된 특정 도구 레이아웃이 두 개의 분리된 웨이퍼 핸들링 로봇(1003)과 전달 챔버 로봇(1004)를 포함하며, 상기 웨이퍼 핸들링 로봇은 전면 FOUP(전면 개방부 일체화 패드, front opening unified pod) 적재 장치(1005)에 위치된 카세트(cassette)에서부터 정렬기 모듈(aligner module)/전달 스테이션(도시되지 않음)까지 "건조한" 웨이퍼를 이동시킨다. 전달기 모듈은, 시스템의 그 밖의 다른 챔버/모듈로 정확히 배달하기 위하여 전달 챔버 로봇(1004) 암 위에 웨이퍼가 적절하게 정렬되었는지를 보장한다. 일부 실시예에서, 정렬기 모듈은 웨이퍼를 방위각으로(azimuthally) 정렬하고(소위 "웨이퍼 노치 정렬(wafer notch aligning)"이라 불림), 수직 및 수평 평면에서 웨이퍼를 특정 장소로 정렬한다(즉, 웨이퍼의 x, y 및 z 위치 레지스트리를 고정함).FIG. 10 shows an embodiment of an electro-plating system / module 1001 for a wafer fastener. The specific tool layout shown includes two separate wafer handling robots 1003 and transfer chamber robots 1004, which are equipped with a front FOUP (front opening unified pod) loading device 1005 Quot; dry "wafer from a cassette located in the aligner module / transfer station (not shown). The transfer module ensures that the wafer is properly aligned on the transfer chamber robot 1004 arm for accurate delivery to other chambers / modules of the system. In some embodiments, the aligner module aligns the wafer azimuthally (so-called "wafer notch aligning") and aligns the wafer in a vertical and horizontal plane to a particular location Fixed the x, y, and z location registries).

처리 및 건조가 완료된 후에, 동일하거나 서로 다른 전달 챔버 로봇을 이용하여 도구의 백 엔드(back end) "적심 처리 영역"으로부터 FOUP까지 웨이퍼를 다시 삽입할 수 있다. 백 엔드 로봇(도시되지 않음)이 둘 이상의 암을 가질 수 있고, 각각의 암은 웨이퍼를 단단히 쥐기(그립) 위한 단일 또는 다수의 "엔드-이펙터(end-effector)"를 갖는다. 일부 "엔드-이펙터"가 진공 "손(wand)"을 이용하여 웨이퍼의 하부에서 웨이퍼를 그립하고, 그 밖의 다른 "엔드-이펙터"는 오직 주변 에지에서만 웨이퍼를 고정할 수 있다. 일부 실시예에서, 젖은 표면을 갖는 웨이퍼만을 처리하기 위하여 하나의 로봇 웨이퍼 핸들링 암 엔드-이펙터가 사용되고, 그 밖의 로봇 웨이퍼 핸들링 암 엔드-이펙터는 오직 완전히 건조한 웨이퍼만을 처리하기 위하여 유보되며, 이로써 오염을 최소화할 수 있다. After processing and drying are complete, the same or different transfer chamber robot can be used to reinsert the wafer from the back end " wetting region "of the tool to the FOUP. A back-end robot (not shown) may have more than one arm, and each arm has a single or multiple "end-effectors" for gripping the wafer. Some "end-effectors" grip the wafer at the bottom of the wafer using a vacuum "wand" and other "end-effectors" can only lock the wafer at the peripheral edge. In some embodiments, one robot wafer handling arm end-effector is used to process only wafers having a wet surface and the other robot wafer handling arm end-effector is reserved to process only fully dry wafers, Can be minimized.

웨이퍼가 (전달 챔버 로봇(1004)을 포함하는) 전달 스테이션 내로 삽입된 후에, 웨이퍼는 통상적으로 프리-웨팅 챔버(1013)로 삽입되며(즉, 프리-웨팅 장치가 모듈 내의 장소이고, 상기 모듈은 금속을 이용하여 웨이퍼를 전기-도금하도록 구성된 전기-도금 장소를 추가로 포함하며, 상기 금속은 일부 실시예에서 구리임), 이러한 다양한 실시예가 본원에 기술된다. 그 밖의 다른 실시예에서, 시스템(1001)은 양극성 공정(anodic process)을 위해 구성된다. 이러한 실시예에서, 상기 모듈은 전기-에칭 또는 전해-연마와 같은 양극성 공정을 위한 장소를 추가로 포함한다. After the wafer is inserted into the transfer station (including transfer chamber robot 1004), the wafer is typically inserted into the pre-wetting chamber 1013 (i.e., the pre-wetting device is in place within the module, Further comprising an electroplating site configured to electroplate electroplating the wafer using a metal, wherein the metal is copper in some embodiments), these various embodiments are described herein. In another alternative embodiment, the system 1001 is configured for an anodic process. In such an embodiment, the module further comprises a site for bipolar processes such as electro-etching or electrolytic-polishing.

프리-웨팅 챔버(1013)는 진공 하에서 웨이퍼를 프리-웨팅하도록 또는 적셔진 웨이퍼에 압력을 가하도록 구성되며, 일부 실시예에서는 둘 모두를 행하도록 구성된다. 예시로서 진공 하에서 웨이퍼를 프리-웨팅하도록 구성된 프리-웨팅 챔버를 이용하여, 웨이퍼가 회전하는 동안 주변 공기가 챔버로부터 제거된다. 일단 진공상태가 획득되면, 웨이퍼의 디바이스 측이 탈가스된 프리-웨팅 유체(탈가스 유동 로프를 이용하여 모듈(1015)에서 탈가스됨)에 노출된다. 웨팅이 완료된 후에, 초과 유체가 제거되고, 대기압까지 가스가 재주입되며, 로봇 또는 그 밖의 다른 전달 수단에 의해 웨이퍼가 추출될 수 있도록 챔버가 개방된다. 일부 실시예에서, 상기 전달 수단은 약 1초 내에 프리-웨팅 장소로부터 전기-도금 장소까지 프리-웨팅된 웨이퍼 기판을 전달하도록 구성된다. The pre-wetting chamber 1013 is configured to pre-wet the wafer under vacuum or to apply pressure to the wetted wafer, and in some embodiments is configured to do both. By way of example, using a pre-wetting chamber configured to pre-wet the wafer under vacuum, ambient air is removed from the chamber while the wafer is rotating. Once the vacuum condition is obtained, the device side of the wafer is exposed to the degassed pre-wetting fluid (degassed in module 1015 using degassing flow ropes). After the wetting is completed, the excess fluid is removed, the gas is re-injected to atmospheric pressure, and the chamber is opened so that the wafer can be extracted by a robot or other transfer means. In some embodiments, the delivery means is configured to deliver the pre-wetted wafer substrate from the pre-wetting site to the electroplating site within about one second.

그 후, 일부 실시예에서, 노치 정렬기(notch aligner)와 같은 정렬기(도시되지 않음) 내에 웨이퍼가 배치된다. 고정밀 노치 정렬기를 관통해 통과함으로써, 후면(back) 및 매우 작은 디바이스 측 에지 제외 구역(가령, 에지로부터 약 1mm)으로부터 도금 용액을 제외하는 에지 밀봉 도금 셀 내의 정밀한 배치가 가능하다. 도금 셀은 노치 영역을 가로지르는 밀봉부를 갖도록 특별히 설계될 수 있다. 도금 및 특징부 충전(filling)(즉, 금속층이 웨이퍼 기판 위에서 전기-도금됨)이 도금 셀(1021, 1023, 또는 1025)(즉, 전기-도금 장소)에서 발생하고, 일부 실시예에서, 도금 용액은 탈가스된 용액이다. 일부 실시예에서, 상기 금속은 구리이다. 전기-도금 장소는 전기-도금 장소 내의 탈가스된 도금 전해질에 웨이퍼를 담그도록 구성된다. 일부 실시예에서, 전기-도금 장소는 탈가스된 도금 전해질에 웨이퍼 기판을 담그기 전에 웨이퍼 기판을 음극적으로(cathodically) 편극시키도록 구성된다. 도금 용액은 주 도금욕과 도금 셀 사이의 유동 루프와는 다른 별개의 탈가스 루프를 통해 재활용될 수 있고, 또는 욕조/도금 셀 루프와 동일한 루프 내의 (도금 셀에 주입되기 직전에 탈가스되는) 탈가스 요소를 관통해 통과함으로서 재활용될 수 있다. Thereafter, in some embodiments, the wafer is placed in an aligner (not shown), such as a notch aligner. By passing through a high precision notch aligner, precise placement within edge sealed plating cells is possible, removing the plating solution from the back and very small device side edge exclusion zones (e.g., about 1 mm from the edge). The plating cell may be specially designed to have a seal across the notch area. Plating and feature filling (i.e., the metal layer is electro-plated on the wafer substrate) occurs in plating cells 1021, 1023, or 1025 (i.e., electro-plating locations) and, in some embodiments, The solution is a degassed solution. In some embodiments, the metal is copper. The electroplating site is configured to immerse the wafer in the degassed plating electrolyte in the electroplating site. In some embodiments, the electroplating site is configured to cathodically polarize the wafer substrate before immersing the wafer substrate in the degassed plating electrolyte. The plating solution may be recycled through a separate degassing loop other than the flow loop between the primary plating bath and the plating cell, or may be recycled within the same loop as the bath / plating cell loop (degassed just before being injected into the plating cell) Can be recycled by passing through the degassing element.

도금이 완료된 후, 웨이퍼가 도금 셀 위에서 물로 헹궈지고 비말동반된 초과 유체를 제거하도록 회전되며, 웨이퍼 고정 클램쉘 장치가 개방되어 에지 밀봉을 해소하고 웨이퍼 추출이 가능하도록 한다. 그 후, 일부 실시예에서, 웨이퍼가 도금 셀로부터 수집되어 금속 제거 등방성 에칭 모듈(ITE 모듈)(1031) 내로 전달된다. ITE 모듈은, 오목 특징부 내부에 일부 금속 또는 모든 금속을 남기면서, 주로, 도금된 웨이퍼의 특징부 위의 유체 구역에서 웨이퍼의 상부로부터 금속을 제거하도록 이용된다. 적합한 설비의 다양한 설계, 에칭 공정, 및 에칭 화학식이 미국 특허 제5,486,235호, 제7,189,649호, 제7,338,908호, 제7,315,463호, 그리고 2006년 11월 20일에 출원된 미국 특허 출원 제11/602,128호, 2007년 7월 30일에 출원된 제11/888,312호, 및 2007년 8월 6일에 출원된 제11/890,790호에 기술되며, 위 미국 특허와 미국 출원은 본원에서 참조로서 포함된다.After the plating is completed, the wafer is rinsed with water on the plating cell and rotated to remove entrained excess fluid, and the wafer holding clam shell device is opened to eliminate edge sealing and enable wafer extraction. Thereafter, in some embodiments, a wafer is collected from the plating cell and transferred into a metal removal isotropic etch module (ITE module) 1031. The ITE module is primarily used to remove metal from the top of the wafer in the fluid zone above the features of the plated wafer, leaving some or all of the metal inside the recessed features. Various designs of suitable equipment, etch processes, and etch chemistry are described in U.S. Patent Nos. 5,486,235, 7,189,649, 7,338,908, 7,315,463, and U.S. Patent Application No. 11 / 602,128, filed November 20, 2006, 11 / 888,312, filed July 30, 2007, and 11 / 890,790, filed August 6, 2007, both of which are incorporated herein by reference.

이에 더하여, 웨이퍼의 에지에서의 금속이 ITE 모듈(1031)에서 제거된다. 웨이퍼가 클램쉘 장치를 제외한 에지에 종종 고정되기 때문에, 본원에서 수행된 상부측 글로벌 에칭 전 가장 바깥쪽 주변부(원래의 씨앗층)에서 오직 얇은 씨앗 금속층만이 존재한다. 따라서, 처리된 이후, 웨이퍼의 극단 에지에는 금속이 완전히 없게 되고, 반면 좀 더 중심에 있으면서 비-도금 보호된 구역 및 에지 제외 구역은 일부 금속이 남아있을 수 있는 것이 일반적이다(그러나, 그 밖의 다른 경우에서는 상기 구역에서도 마찬가지로 금속이 제거된다). 따라서, ITE 모듈은 웨이퍼로부터 전체적으로 글로벌 에칭 금속 제거를 수행할 수 있고, 뿐만 아니라 웨이퍼의 바깥쪽 주변 에지 및 바깥쪽 주변 바닥으로부터도 금속을 제거할 수 있으며, 이로써 예를 들어 미국 특허 제6,309,981호에 기술된 바와 같은 좀 더 복잡한 에지 특정적 에칭 공정, 에지 베벨 제거(edge bevel removal, EBR)를 수행해야 할 필요성을 종종 제거할 수 있다.In addition, the metal at the edge of the wafer is removed from the ITE module 1031. Because the wafer is often fixed at the edges except the clam shell device, there is only a thin seed metal layer at the outermost periphery (the original seed layer) before topside global etch performed here. Thus, after processing, the extreme edges of the wafer are completely free of metal, while the more central, non-plating-protected areas and edge-exclusion zones are common in that some metals may remain (however, The metal is likewise removed in this zone). Thus, the ITE module is capable of performing global etchant removal entirely from the wafer, as well as removing the metal from the outer peripheral edge of the wafer and from the outer peripheral floor of the wafer, thereby, for example, as disclosed in U.S. Patent No. 6,309,981 The need to perform more complex edge-specific etching processes, such as edge bevel removal (EBR), as described, can often be eliminated.

일부 실시예에서, 예를 들어 와전류 측정기 또는 음향 신호의 반사를 이용하여 크로스 웨이퍼 시트 저항(cross wafer sheet resistance)을 측정함으로써, 에칭 공정의 절차 및 필름의 두께 분포가 에칭 모듈에서 모니터링된다. 대안적으로, 공정 중 나중에 건조 상태인 전달 장소에서 에칭 이후의 두께가 측정될 수 있고, 임의의 웨이퍼-대-웨이퍼 성능 드리프트(drift)를 최소화하기에 적합하도록 공정 결과가 모니터링 또는 수정될 수 있다. 에칭 이후, 웨이퍼는 에칭 모듈에서 헹궈져 건조될 수 있고, 또는 별개의 모듈, 웨이퍼 헹금, 세척 및 건조 장소(1041)로 이동될 수 있다. 거기서, 공정 시퀀스에서 형성되었을 수 있는 임의의 산화 필름이 (예를 들어, 묽은 산성 용액을 표면에 도포함으로써) 제거 또는 감소되고, 에칭 장소에서 좀 더 대강 헹굼으로써 제거되지 않은 임의의 잔류 화학 물질이 (웨이퍼의 앞뒤 모두에서) 제거되며, 에지 베벨 제거 동작이 원하는대로 수행된다(예를 들어, 미국 특허 제6,309, 981호 참조). 웨이퍼를 물로 헹군 후에, 웨이퍼가 회전되어 건조되며, 그 후 전달 장소로 옮겨져서 프론트 엔드 로봇(front end robot)이 상기 웨이퍼를 웨이퍼 고정 카세트 내에 재장착한다.In some embodiments, the procedure of the etching process and the thickness distribution of the film are monitored in the etch module, for example by measuring the cross wafer sheet resistance using an eddy current meter or reflection of acoustic signals. Alternatively, the post-etch thickness can be measured in a dry transfer location later in the process, and the process results can be monitored or modified to be suitable to minimize any wafer-to-wafer performance drift . After etching, the wafer may be rinsed and dried in the etching module, or may be moved to a separate module, wafer rinse, wash and dry place 1041. Thereby, any residual oxidizing film that may have been formed in the process sequence is removed or reduced (e.g., by applying a dilute acidic solution to the surface), and any residual chemical that has not been removed by rough rinsing at the etch location (Both front and back of the wafer), and the edge beveling operation is performed as desired (see, for example, U.S. Patent No. 6,309,981). After rinsing the wafer with water, the wafer is rotated and dried, and then transferred to a transfer station, where a front end robot reattaches the wafer in the wafer holding cassette.

프리-웨팅 공정에 있어서 한 가지 고려 사항은, 프리-웨팅과 도금 사이의 시간에서(즉, 프리-웨팅 챔버에서 진공상태 동안 프리-웨팅 유체에 웨이퍼를 노출시킨 후, 그러나 도금 시작 전), 웨이퍼 표면이 디-웨팅(de-wet)되도록 할 수 있다는 것이다. 디웨팅(dewetting)은 표면으로부터 프리-웨팅 유체의 물리적 배출 및 응고로서 설명될 수 있고(즉, 표면을 건조시키기 보다는), 이로써 좀 더 두꺼운 프리-웨팅 유체의 필름을 갖는 표면의 한 섹션을 남길 수 있으며, 또 다른 섹션에는 그 위에 어떠한 프리-웨팅 유체도 없다. 이러한 특성적 작용은 일반적으로 프리-웨팅 유체에 관한 높은 소수성 표면과 관련된다. 웨팅 층이 후퇴되거나 이전에 적셔진 표면으로부터 응고되는 경우, 프리-웨팅 공정의 속성을 잃는다. 이러한 현상을 회피하기 위하여, 습윤제가 프리-웨팅 유체에 첨가되어 유체가 웅덩이에서 고이는 것을 피할 수 있다.One consideration in the pre-wetting process is that during the time between pre-wetting and plating (i.e., after exposing the wafer to the pre-wetting fluid during the vacuum state in the pre-wetting chamber, but before plating begins) So that the surface can be de-weted. Dewetting can be described as physical release and coagulation of the pre-wetting fluid from the surface (i.e., rather than drying the surface), thereby leaving a section of the surface with the film of the thicker pre-wetting fluid And in another section there is no pre-wetting fluid thereon. This characteristic action is generally associated with a high hydrophobic surface with respect to the pre-wetting fluid. If the wetting layer is retracted or solidifies from a previously wetted surface, the properties of the pre-wetting process are lost. To avoid this phenomenon, a wetting agent may be added to the pre-wetting fluid to avoid fluid retention in the puddle.

공기와 습기에 노출된 표면 산화물, 표면 오염 물질, 및 웨이퍼 표면 위에 증착된 그 밖의 다른 물질은 매우 소수성일 수 있다. 예를 들어, 공기 및 수증기에 노출된, 얇은 구리 금속 씨앗층이 있는 웨이퍼가, 물에 관하여 소수성인 얇은 산화 제1구리 층을 형성할 것이다. 이러한 잠재적 문제점을 회피하기 위하여, 특정 실시예에서, 예를 들어, 산화물이 더 이상 안정하지 않은 pH에서 소량의 산(가령, H2SO4, H3PO4 )을 프리-웨팅 공정에서 이용되는 프리-웨팅 유체에 첨가함으로써, 산화막(oxide film)이 제거될 수 있다. 상기 산은 산화물과 반응하여 물과 금속염을 형성할 것이다. 또한, 프리-웨팅 유체는 습윤제(가령, 계면 활성제, 알코올)를 약화시키는 적은 정도의 표면 장력과 접촉각을 포함할 수 있고, 이로써 위 현상을 회피할 수 있다. 프리-웨팅 유체 화학 물질이 본원에서 추가로 논의된다.Surface oxides exposed to air and moisture, surface contaminants, and other materials deposited on the wafer surface can be highly hydrophobic. For example, a wafer with a thin layer of copper metal seed, exposed to air and water vapor, will form a thin copper oxide layer that is hydrophobic with respect to water. To avoid this potential problem, in certain embodiments, for example, a small amount of acid (e.g., H 2 SO 4 , H 3 PO 4 ) is used in a pre-wetting process at a pH where the oxide is no longer stable By adding to the pre-wetting fluid, the oxide film can be removed. The acid will react with oxides to form water and metal salts. In addition, the pre-wetting fluid may contain a small degree of surface tension and contact angle to weaken the wetting agent (e.g., surfactant, alcohol), thereby avoiding stomachaches. Pre-wetting fluid chemicals are further discussed herein.

프리-웨팅 동작이 도금 전 별개의 챔버에서 수행되는 일부 실시예에서, 프리-웨팅 유체는 소량의 금속 이온을 포함하여, 예를 들어 시스템에서의 박테리아 형성 회피에 도움을 줄 수 있고 또는 산화물 제거 속성을 수정하는데 도움을 줄 수 있다. 대안적으로, 금속 산화물에 적합한 환원제가 포름 알데히드, 글리옥실산 또는 디메틸아민 보란(dimethyl-amine borane)과 같은 웨팅 용액에 첨가될 수 있고, 또는 금속 이온 착물 첨가제(구리에 있어서, 예시로서 암모니아, 글리신, 에틸렌 디아민)와 부가될 수 있다. 더욱이, 표면 산화물 또는 그 밖의 다른 오염 물질이, 프리-웨팅 동작 전에, 가열하여 또는 가열 없이, 환원 분위기에서 웨이퍼를 처리함으로써 제거될 수 있다(예를 들어, 아르곤에서 가스 또는 수소 형성). 또한, 웨이퍼 상의 유체의 보유를 최적화하기 위하여 프리-웨팅 유체 및 웨이퍼 표면의 온도가 주변 환경으로부터 증가되거나 감소될 수 있다.In some embodiments where the pre-wetting operation is performed in a separate chamber prior to plating, the pre-wetting fluid may contain a small amount of metal ions, for example, to help avoid bacterial formation in the system, Can help to correct the problem. Alternatively, a reducing agent suitable for the metal oxide may be added to the wetting solution such as formaldehyde, glyoxylic acid or dimethyl-amine borane, or a metal ion complex additive (such as, for example, ammonia, Glycine, ethylenediamine). Moreover, surface oxides or other contaminants can be removed (e. G., Gas or hydrogen formation in argon) by treating the wafer in a reducing atmosphere before, during, or after pre-wetting operation. In addition, the temperatures of the pre-wetting fluid and the wafer surface can be increased or decreased from the ambient environment to optimize retention of fluid on the wafer.

일부 실시예에서, 프리-웨팅 챔버 또는 전기-도금 시스템의 일부인 프리-웨팅 챔버 내의 동작이 컴퓨터 시스템에 의해 제어된다. 컴퓨터는 프로그램 명령어를 포함하는 제어기를 포함한다. 프로그램 명령어는 웨이퍼 기판을 프리-웨팅하는데 필요한 동작 모두를 수행하기 위한 명령어를 포함할 수 있다. 일부 실시예에서, 명령어는 공정 챔버 내의 압력을 아대기압까지 줄이기 위한 것이고, 그 이후에 기판 표면 위에 웨팅층(wetting layer)을 형성하기 위하여 아대기압에서 프리-웨팅 유체를 갖는 웨이퍼 기판과 접촉하기 위한 것이다. 웨이퍼 기판은 아대기압에서 웨이퍼 기판 위로 액체 프리-웨팅 유체의 배달 동안 제 1 회전 속도로 회전될 수 있고, 상기 유체 배달은 약 10 내지 120초 사이 동안 수행된다. 그 후, 프리-웨팅 유체의 배달이 멈춘다. 프리-웨팅 유체의 배달이 멈춘 후에, 웨이퍼 기판이 제 1 회전 속도로 회전되어, 초과 표면 비말동반된 프리-웨팅 유체(excess surface entrained pre-wetting fluid)를 웨이퍼 기판으로부터 제거할 수 있다. 일부 실시예에서, 프리-웨팅 유체의 배달이 멈춘 이후 그리고 비말동반된 초과 프리-웨팅 유체의 제거 전에, 공정 챔버 내의 진공상태가 해소된다. 대안적 실시에에서, 비말동반된 초과 프리-웨팅 유체의 제거 이후 진공상태가 해소된다. 서로 다른 실시예에서 웨이퍼가 서로 다른 속도로 회전될 수 있다. 일부 실시예에서, 웨이퍼 기판 위로 액체 프리-웨팅 유체의 배달 동안 제 1 동작 속도는 약 300rpm 이하이고, 비말동반된 초과 프리-웨팅 유체를 웨이퍼 기판으로부터 제거하기 위한 제 2 회전 속도는 약 300rpm 이상이다. 그 밖의 다른 실시예에서, 제 1 회전 속도는 약 100rpm 이하이고, 제 2 회전 속도는 약 500rpm 이상이다. 추가적 실시예에서, 프리-웨팅 장치는, 원심성 회전(centrifugal spinning), 에어-나이프 건조(air-knife drying), 및 와이핑(wiping)으로 구성된 그룹으로부터 선택된 방법 및 이들 동작을 수행하기 위한 프로그램 명령어를 포함하는 제어기에 의해, 비말동반된 초과 프리-웨팅 유체를 웨이퍼 기판으로부터 제거하도록 구성된다.In some embodiments, operation within a pre-wetting chamber or part of a pre-wetting chamber that is part of an electro-plating system is controlled by a computer system. The computer includes a controller including program instructions. The program instructions may include instructions for performing all of the operations necessary to pre-wet the wafer substrate. In some embodiments, the instructions are for reducing the pressure in the process chamber to sub-atmospheric pressure, and thereafter contacting the wafer substrate with the pre-wetting fluid at subatmospheric pressure to form a wetting layer on the substrate surface will be. The wafer substrate can be rotated at a first rotational speed during delivery of the liquid pre-wetting fluid from the sub-atmospheric pressure to the wafer substrate, and the fluid delivery is performed for between about 10 and 120 seconds. Thereafter, delivery of the pre-wetting fluid stops. After delivery of the pre-wetting fluid has ceased, the wafer substrate may be rotated at a first rotational speed to remove excess surface entrained pre-wetting fluid from the wafer substrate. In some embodiments, the vacuum in the process chamber is relieved after delivery of the pre-wetting fluid has stopped and before removal of the entrained excess pre-wetting fluid. In an alternative embodiment, the vacuum condition is eliminated after removal of the entrained excess pre-wetting fluid. In different embodiments, the wafers may be rotated at different speeds. In some embodiments, the first operating speed during delivery of the liquid pre-wetting fluid over the wafer substrate is less than about 300 rpm, and the second rotational speed for removing the entrained excess pre-wetting fluid from the wafer substrate is greater than about 300 rpm . In other embodiments, the first rotational speed is less than about 100 rpm and the second rotational speed is greater than about 500 rpm. In a further embodiment, the pre-wetting apparatus comprises a method selected from the group consisting of centrifugal spinning, air-knife drying, and wiping, and program instructions for performing these operations To remove the entrained excess pre-wetting fluid from the wafer substrate.

공정/방법Process / method

본원에 개시된 일부 실시예를 위한 일반적인 프리-웨팅 방법에서, 웨이퍼 주변의 환경에 먼저 진공상태가 형성된다. 그 후, 웨이퍼 표면에 프리-웨팅 유체가 분무되고, 상기 유체가 흐르고, 상기 유체로 덮이고, 또는 (일부 실시예에서는, 탈가스된) 충분한 상기 유체 내에 잠기며, 이로써 결국에는 전체 웨이퍼가 충분히 두꺼운 액체층에 노출될 수 있다. 상기 층은 공정의 후반부까지, 언제나 전체 표면을 덮지 않을 수도 있다. 그 후, 웨이퍼 표면은 잠긴 채로 남아있고, 또는 임의의 프리-웨팅 유체 구성물의 흡착(또는 반응)이 완료/평형 상태에 실질적으로 도달할 때까지 그리고 바람직한/균일한 웨팅 특성(친수성, 낮은 접촉각)이 획득될 때까지 (예를 들어, 분무, 흐름, 커버를 계속하거나 추가적 유체로 표면을 잠기게 함으로써) 시간 주기 동안 프리-웨팅 유체 층에 노출된다. 프리-웨팅 이후, 웨이퍼를 프리-웨팅 유체로 분무하거나, 상기 유체가 웨이퍼에 흐르게 하거나, 상기 유체로 웨이퍼를 덮는 동작이 중지된다. 일부 실시예에서, 진공상태가 해소되고, 그 후 비말동반된 초과 유체가 (현재) 완전히 친수성인 표면으로부터 (예를 들어, 원심성 회전, 에어-나이프 건조, 스퀴지 와이핑(squeegee wiping) 등에 의해) 제거되어, 표면 위에 프리-웨팅 유체의 얇고 균일한 부착층이 남는다. 그 밖의 다른 실시예에서, 진공상태가 해소되기 전에 비말동반된 초과 유체가 제거된다. 마지막으로, 웨이퍼가 도금 셀로 전달되어 웨이퍼를 도금할 수 있다.In a typical pre-wetting method for some embodiments disclosed herein, a vacuum is first formed in the environment around the wafer. Thereafter, the pre-wetting fluid is sprayed onto the wafer surface, the fluid flows, is covered by the fluid, or is immersed in the fluid sufficiently (in some embodiments, degassed) so that eventually the entire wafer is sufficiently thick Liquid layer. The layer may not always cover the entire surface until the latter part of the process. The wafer surface then remains locked or until the adsorption (or reaction) of any pre-wetting fluid composition substantially reaches a complete / equilibrium state and a desired / uniform wetting property (hydrophilic, low contact angle) Is exposed to the pre-wetting fluid layer for a period of time (e.g., by spraying, flow, continuing the cover, or submerging the surface with additional fluid) until it is acquired. After pre-wetting, the operation of spraying the wafer with the pre-wetting fluid, flowing the fluid into the wafer, or covering the wafer with the fluid is stopped. In some embodiments, the vacuum is removed and then the entrained excess fluid is removed from the (currently) completely hydrophilic surface (e.g., by centrifugal rotation, air-knife drying, squeegee wiping, Removed, leaving a thin, uniform adhesion layer of the pre-wetting fluid on the surface. In another alternative embodiment, the entrained excess fluid is removed before the vacuum is relieved. Finally, a wafer can be transferred to the plating cell to plate the wafer.

금속 증착의 시작을 위하여 비말동반된 프리-웨팅 유체가 웨이퍼 표면으로부터 제거되는 시간 사이의 수 초에서부터 1분 이상까지의 어딘가가 존재할 수 있기 때문에, 웨이퍼가 광범위하게 친수성이고 전체 표면에 걸쳐 유체로 완전히 코팅된 상태로 남아 있는 것이 중요하다. 뒤이은 시간에서, 소수성 표면/유체 조합은 예를 들어 웨이퍼 에지로부터 시작하는 웨이퍼 표면으로부터 유체가 멀어져서 웨이퍼 표면의 일부를 덮지 않게 되는 결과를 가져올 수 있다. 이러한 디-웨팅(de-wetting)으로 인해, 웨이퍼 기판 내의 임의의 오목 특징부 내부로부터 유체가 밖으로 끌려 나올 수 있고, 이로써 어쩌면 도금욕 내의 담금에서 가스가 특징부 내에 트랩되는 결과를 가져올 수 있다. 소수성 표면(특히, 일부 영역에서 완전히 디-웨팅된 표면)이 웨이퍼 기판 위에 균일하지 않은 유체 프리-웨팅 층 두께를 가진다. 이용 중인 프리-웨팅 유체가 도금욕과는 다른 조성물을 갖는 경우에서, 뒤이어 도금 용액에 프리-웨팅된 웨이퍼를 담그는 동작은, 프리-웨팅 유체가 적절하게 웨이퍼를 적시지 않았다면 균일하게 젖은 표면이 가능하지 않을 것이다. 균일하게 젖지 않은 웨이퍼는, 젖은 층의 두께로 인해, 다양한 성분의 확산 시간 및 농도가 웨이퍼의 표면에 걸쳐 서로 다르게 되도록 할 것이다. 이로써, 특징부 충전 동작의 변동 또는 다양한 웨이퍼 기판 결점(가령, 트랩된 기포의 라인, 금속 피트(metal pit), 금속 두께 변동, 또는 성장 돌출부(growth protrusion))의 형성이 초래될 수 있다. 따라서, 프리-웨팅 공정 이후, 프리-웨팅 유체는 전체 웨이퍼 표면에 대하여 균일하고 작은 접촉각(예를 들어, 가능하다면 약 15도 이하의 접촉각)을 형성해야 한다. 더 작은 접촉각이 가능한 경우, 매우 얇고 부착성인 프리-웨팅 유체 층이 형성될 수 있다.Because the wafer may be extensively hydrophilic and completely fluid over the entire surface, as there may be anywhere from a few seconds to a minute or more between the time the entrained pre-wetting fluid is removed from the wafer surface for the start of metal deposition It is important to remain coated. At a later time, the hydrophobic surface / fluid combination may result in fluid away from the wafer surface starting from the wafer edge, for example, not covering a portion of the wafer surface. Due to this de-wetting, fluid can be drawn out from within any of the concave features in the wafer substrate, which can result in gas being trapped in the features in the bath in the plating bath. A hydrophobic surface (especially a completely de-wetted surface in some areas) has an uneven fluid pre-wetting layer thickness on the wafer substrate. In the case where the pre-wetting fluid in use has a composition different from that of the plating bath, the operation of subsequently immersing the pre-wetted wafer in the plating solution allows a uniformly wet surface if the pre-wetting fluid has not wetted the wafer properly I will not. Wafers that are not uniformly wetted will cause the diffusion time and concentration of the various components to be different across the surface of the wafer due to the thickness of the wet layer. This may result in variations in the feature fill operation or the formation of various wafer substrate defects (e.g., lines of trapped bubbles, metal pits, metal thickness variations, or growth protrusions). Thus, after the pre-wetting process, the pre-wetting fluid should form a uniform and small contact angle (e.g., a contact angle of about 15 degrees or less, if possible) with respect to the entire wafer surface. If a smaller contact angle is possible, a very thin and adherent pre-wetting fluid layer can be formed.

표면의 접촉각은 시간에 따라 변화될 수 있고, 소수성 표면은 특정 액체에 노출된 때 시간에 따라 좀 더 친수성이 될 수도 있음이 종종 관찰된다. 예를 들어 플라스마 기상 증착에 의해 구리막으로 코팅된 것과 같은 특정 웨이퍼 표면은, 프리-웨팅 유체에 연속적으로 표면이 노출된 때 액체/표면 접촉각의 유의한 감소를 나타낼 수 있다. 특히, 진공상태 하에서 이러한 표면의 연속적 노출로 인해, 일반적으로 디-웨팅된 소수성 상태로부터 웨팅된 친수성 상태로 표면이 신속하고 완전하게 전환될 수 있다.It is often observed that the contact angle of the surface can change over time and that the hydrophobic surface may become more hydrophilic over time when exposed to certain liquids. Certain wafer surfaces, such as those coated with a copper film by, for example, plasma vapor deposition, may exhibit a significant decrease in liquid / surface contact angle when the surface is continuously exposed to the pre-wetting fluid. In particular, due to the continuous exposure of these surfaces under vacuum conditions, the surface can be quickly and completely converted from a generally de-wetted hydrophobic state to a wetted hydrophilic state.

더욱이, 이러한 전환은, 특히 진공상태 하에서 발생하고 탈가스된 프리-웨팅 유체를 이용하는 경우, 후속 도금 동작과 조합될 때 특히 바람직하게 적은 결함의 발생을 초래한다. 임의의 특정 웨팅 모델 또는 이론에 의해 경계가 지어지는 것을 원하지 않지만, 표면이 표면-장력-저하(surface-tension-lowering) 프리-웨팅 유체로 분무되거나, 표면에 상기 유체가 흐르거나, 표면이 상기 유체로 덮이거나, 그렇지 않으면 상기 유체를 이용해 처리되는 동안 충분한 시간 경과가 허용되는 경우(예를 들어, 5초 내지 1분), 상기 표면은 소수성에서 친수성 상태로의 전환을 경험할 수 있다. 예를 들어, 저농도 구성물(가령, 습윤제)이 웨이퍼 계면에 흡착하기 위한 시간, 또는 대안적으로 (예를 들어, 대기 노출로부터) 표면에 존재하는 의사(spurious) 흡착된 화학종이 표면으로부터 탈착되기 위한 시간을 허용함으로써, 안정성이 있는 적절한 웨팅 작용이 획득될 수 있다. 대안적으로, 프리-웨팅 유체 내의 제제(agent)는 표면을 살짝 거칠게 하도록 및/또는 표면 산화물, 질화물, 또는 탄산염과 같은 얇은 표면층을 제거하도록 반응할 수 있다.Moreover, such a transition results in the generation of particularly less defects, especially when combined with subsequent plating operations, especially when under vacuum and using degassed pre-wetting fluids. Although it is not desired to be bound by any particular wetting model or theory, it is contemplated that the surface may be sprayed with a surface-tension-lowering pre-wetting fluid, the fluid may flow to the surface, The surface may experience a transition from a hydrophobic to a hydrophilic state if it is covered with a fluid or otherwise a sufficient time lapse is allowed (e.g., 5 seconds to 1 minute) while the fluid is being treated. For example, spurious adsorbed chemical species present on the surface at a time for a low concentration composition (e.g., wetting agent) to adsorb to the wafer interface, or alternatively (e.g., from atmospheric exposure) By allowing time, an appropriate wetting action with stability can be obtained. Alternatively, the agent in the pre-wetting fluid may react to slightly roughen the surface and / or to remove a thin surface layer such as a surface oxide, nitride, or carbonate.

구체적 예시로서, 본질적으로 물에 대해 꽤 소수성인 경향이 있는 제 1 구리 또는 제 2 구리 산화물을 갖는 표면이 친수성 금속 표면으로 전환되는 것이 필요하다. (산화물과 반응하지 않는) 탈이온화수(DI water)에 단순히 노출시킴으로써, 표면이 충분히 소수성으로 남아있을 수 있다. 대안적으로, 용해된 금속 이온과 염을 갖거나 갖지 않는 용해된 소량의 산(예를 들어, 약 2 내지 4 사이의 pH의 결과를 가져오는 황산, 메탄 술폰산, 또는 아세트산), 소량의 금속(가령, 구리) 착화제(예를 들어, 약 3 내지 6 사이의 pH인 시트르산염, 약 6 내지 12 사이의 pH인 글리신 또는 에틸렌 디아민)를 포함하는 탈이온수(DI water)와 같은 약산성 산화물 제거 용액에 표면을 노출시키거나 또는 적절한 금속 산화물 환원제/화합물(예를 들어, 포름알데히드, 글리콜산, 디메틸아민 보란)에 표면을 노출시키는 것은, 표면 산화물을제거하여 소수성 계면으로부터 친수성 계면으로 전환하는데 있어 효과적이다. 약산에서 구리 표면 산화물 제거 반응의 두 가지 예시가 다음과 같다:As a specific example, it is necessary that the surface with primary copper or secondary copper oxide, which tends to be substantially hydrophobic to water, is converted to a hydrophilic metal surface. By simply exposing to deionized water (DI water) (which does not react with the oxide), the surface can remain sufficiently hydrophobic. Alternatively, dissolved metal ions and a small amount of dissolved acid (with or without salt) (e.g., sulfuric acid, methanesulfonic acid, or acetic acid resulting in a pH between about 2 and 4), a small amount of metal Such as deionized water (DI water), which comprises a copper complexing agent (e.g., citric acid salt at a pH of between about 3 and 6, glycine at a pH between about 6 and 12 or ethylenediamine) Exposing the surface to a suitable metal oxide reducing agent / compound (e.g., formaldehyde, glycolic acid, dimethylamine borane) is effective in removing surface oxides to convert from a hydrophobic interface to a hydrophilic interface to be. Two examples of copper surface oxide removal reactions in weak acids are:

CuO + 2H+ → Cu+2 + H2O, 및 (6)CuO + 2H + - & gt ; Cu + 2 + H 2 O, and (6)

Cu2O + 2H+ → 2Cu+  + H2O → Cu+2 + Cu + H2O (7)Cu 2 O + 2H + - & gt ; 2 Cu + + H 2 O? Cu + 2 + Cu + H 2 O (7)

스퍼터링된 구리 표면을 대기, 특히 습윤 공기(즉, 습기가 있는 공기)에 단순히 노출시킨 결과로서, 구리 위의 제1구리 및 제2구리 산화물의 얇은 산화물 표면 층이 거의 즉시 형성되어 시간에 따라 두께의 성장을 계속한다. 산화물은 (본원에서 나열된 것과 같은) 적절한 제거제에 노출시킴으로써 변환/제거될 수 있으나, (또한, 예를 들어, 특징부 내의) 구리층의 완전한 산화를 고려하는 것이 중요하다. 산화물 제거 공정(산화물 환원 공정과 반대됨)을 이용하는 금속 산화물 층의 후속 제거 동작이, 완전히 산화된 구리층에 대한 뒤이은 막 성장(film growth)을 억제할 수 있다. 또한, (본원에서 나열된 것과 같은) 웨팅 전환 공정은 유한 반응 속도를 갖는 화학 반응이다. 예를 들어, 산화물 제거 프리-웨팅 유체 또는 도금욕에 웨이퍼를 노출시킴으로써, 유체 접촉 지점에서 친수성 표면의 층이 형성되기 시작할 것이다. 프리-웨팅 (예를 들어, 산화물 제거) 유체에의 더 긴 노출을 갖는 영역은 웨이퍼의 그 밖의 다른 영역이 공정에서 웨팅되는 것을 방해할 수 있다. 생성될 수 있는 친수성 영역은 그 위로 유체 흐름을 운반하는 경향이 있을 수 있으며, 이로써 그 밖의 다른 영역의 웨팅을 막을 수 있다. 따라서, 본 발명의 하나의 목적은 거시적으로 그리고 미시적으로, 전체 표면이 결국에는 균일하게 액체로 덮일 수 있도록 접촉각, 웨팅 속성, 및 일반적인 웨팅 공정을 수정하는 것이다.As a result of simply exposing the sputtered copper surface to the atmosphere, particularly wet air (i.e., humid air), a thin oxide surface layer of the first copper and the second copper oxide on the copper is formed almost immediately, . The oxide may be converted / removed by exposing it to a suitable scavenger (such as those listed herein), but it is important to consider the complete oxidation of the copper layer (also, for example, in the features). Subsequent removal operations of the metal oxide layer using an oxide removal process (as opposed to an oxide reduction process) may inhibit subsequent film growth for the fully oxidized copper layer. In addition, the wetting conversion process (such as those listed herein) is a chemical reaction with a finite reaction rate. For example, by exposing the wafer to an oxide removal pre-wetting fluid or plating bath, a layer of hydrophilic surface will begin to form at the fluid contact point. A region having a longer exposure to the pre-wetting (e.g., oxide removal) fluid may prevent other areas of the wafer from being wetted in the process. A hydrophilic region that may be created may tend to carry fluid flow thereon, thereby preventing wetting of other regions. Accordingly, one object of the present invention is to modify the contact angle, wetting property, and general wetting process so that the entire surface can eventually be uniformly covered with liquid, both macroscopically and microscopically.

낮은 압력/진공 분위기를 유지하는 동시에 탈가스된 프리-웨팅 유체를 표면에 도포함으로써, 트랩된 가스를 표면으로부터의 동시 확장(expanding), 플러싱(flushing), 또는 제거의 방해물이 실질적으로 제거되고, 따라서, 프리-에팅 유체로의 제한이 없거나 제한된 이전 노출로 인해 여전히 소수성인 물의 노출 영역의 장애물이 감소될 수 있다. 진공상태와 웨팅 조합을 이용하지 않는 공정을 고려하면, 웨이퍼 표면의 다양한 구역이 5 개의 웨팅 카테고리로 나뉠 것이다: 1) 소수성 웨팅(Hydrophobic Wetted): 프리-웨팅 유체로 덮이고 적셔지나 시간이 불충분해서 여전히 소수성임; 2) 친수성 웨팅(Hydrophilic Wetted): 충분한 시간 동안 프리-웨팅 유체로 덮이고 적셔져서, 친수성이 됨; 3) 웨팅되지 않음(Un-wetted): 소수성, 공기에 노출됨, 프리-웨팅 유체에 전혀 노출되지 않음; 4) 디-웨팅(De-wetted): 미리 웨팅되었으나, 디-웨팅되고, 또다시 공기에 노출됨; 5) 트랩된 기포: 표면에서 그리고 프리-웨팅 유체의 층 아래에서 트랩된 공기를 포함하는 기포를 포함함.By applying a degassed pre-wetting fluid to the surface while maintaining a low pressure / vacuum atmosphere, the obstruction of simultaneous expanding, flushing, or removal of the trapped gas from the surface is substantially eliminated, Thus, the obstacles in the exposed areas of water, which are still hydrophobic due to no limit to the pre-etching fluid or due to limited prior exposure, can be reduced. Considering a process that does not use a vacuum state and a wetting combination, the various areas of the wafer surface will be divided into five weighting categories: 1) Hydrophobic Wetted: Still wet and wet with the pre-wetting fluid, Hydrophobic; 2) Hydrophilic Wetted: covered and wetted with pre-wetting fluid for a sufficient time to become hydrophilic; 3) Un-wetted: hydrophobic, exposed to air, never exposed to pre-wetting fluid; 4) De-wetted: pre-wetted, but de-wetted, again exposed to air; 5) Trapped bubbles: Contain bubbles containing air trapped at the surface and below the layer of pre-wetting fluid.

상태 3, 4, 또는 5인 영역은 임의의 흡착 또는 화학 반응을 겪지 않을 것이며, 이로써, 위 구역이 나중에 웨팅되지 않는 한 그리고 위 구역이 나중에 웨팅될 때까지 어떠한 소수성-대-친수성 표면 전환도 발생하지 않는다. 더욱이, 상태 1 또는 2인 상태 3 주변 영역은 웨팅되어, 친수성이거나 친수성이 될 것이며, 이로써 이러한 표면 위를 유체가 자유롭게 그리고 계속적으로 흐를 수 있으며, 인접 표면의 기포 또는 웨팅의 제거를 상당히 더 어렵게 만들 수 있다. 또한, 프리-웨팅 유체에 이전에 노출되었던 현재 소수성인 표면 구역이, 액체로 덮이지 않았으나(liquid-coverage-free) 소수성으로 덮인 상태 사이를 반복적으로 갈 수 있다. 이러한 공정은, 결국에는 공정이 1) 상태 2로 바뀌고 친수성 및 웨팅되며, 그 후 상태 2에 머물거나, 또는 2) 좀 더 웨팅된 영역에 의해 둘러싸여, 기포를 캡슐화하고 상태 4로 전환할 때까지, 상태 1에서부터 상태 3까지 여러번 앞뒤로 진동하여 인접 친수성 영역으로 모세관 작용에 의해 유체를 나르면서, 위와 같은 상태 사이를 계속 전환한다.The region of state 3, 4, or 5 will not undergo any adsorption or chemical reaction so that any hydrophobic-to-hydrophilic surface transition occurs until the upper zone is not wetted later and the upper zone is later wetted. I never do that. Moreover, state 3 or region 3, state 1 or 2, will be wetted, hydrophilic, or hydrophilic, thereby allowing fluid to flow freely and continuously over this surface, making removal of bubbles or wetting of adjacent surfaces considerably more difficult . In addition, the presently hydrophobic surface zone previously exposed to the pre-wetting fluid can be repeatedly passed between liquid-coverage-free and hydrophobic-covered conditions. This process eventually results in a process that either: 1) changes to state 2, is hydrophilic and wetted, then stays in state 2, or 2) encapsulates the bubble and is surrounded by the more wetted area , Oscillating back and forth several times from state 1 to state 3 to carry fluids by capillary action into the adjacent hydrophilic region, and continue to switch between the above states.

대기 조건 하에서(즉, 공기에서) 수행되는 위 공정은 진공상태에서(그리고, 탈가스된 프리-웨팅 유체를 이용하여) 수행되는 공정과 대비되어야 한다. 위 공정들에서, 오직 세 개의 웨팅 카테고리만이 존재한다: 1) 웨팅(Wetted): 프리-웨팅 유체로 덮이고 상기 유체로 웨팅됨; 2) 웨팅되지 않음(Un-wetted): 진공상태에 노출되고, 프리-웨팅 유체에는 전혀 노출되지 않음; 3) 디-웨팅(De-wetted): 이전에 노출되었으나 디-웨팅되어 진공상태에 재노출됨.The above processes performed under atmospheric conditions (i.e., in air) should be contrasted with those performed in a vacuum (and using degassed pre-wetting fluid). In the above processes, there are only three wetting categories: 1) Wetted: covered with a pre-wetting fluid and wetted with the fluid; 2) Un-wetted: exposed to vacuum and not exposed to pre-wetting fluid; 3) De-wetted: previously exposed but de-wetted and re-exposed to vacuum.

진공상태에서 수행되는 프리-웨팅 공정은, 웨이퍼의 특정 부분이 충분한 시간 동안 프리-웨팅 유체에 노출되었기만 하다면(상태 1), 웨이퍼의 상기 특정 부분이 결국에는 친수성이 될 것이다. 대기에서 수행되는 프리-웨팅 공정과 달리, 트랩된 기포를 "쏟아 버리기(flush away)" 위하여 고속 유체 프리-웨팅 유체 흐름이 요구되지 않는다. 더욱이, 기포 플러싱(flushing)이 100% 효과적이지 않고, 기포 분열(fragmentation)을 종종 초래하여 많은 개수의 더 작고 제거하기 힘든 기포를 뒤에 남길 수 있다. 따라서, 대기 하에서 단순히 웨이퍼에 프리-웨팅 유체를 분무하거나, 웨이퍼를 상기 유체로 커버하거나, 웨이퍼를 상기 유체에 담그는 것보다는 진공상태 하에서의 프리-웨팅이 훨씬 더 신뢰할 수 있는 저결함 공정이 된다. 진공상태 하에서의 프리-웨팅이 선호하는 그 밖의 다른 요인은 a) 진공/액체/금속 계면의 표면 에너지가 서로 다르고 접촉각이 공기/액체/금속 계면보다 종종 더 작으며, b) 금속 산화물/질화물/탄산염 재형성이 회피되고, c) 탈가스된 유체를 이용함으로써, 예를 들어, 액체-물 계면의 일부 지점에서 의사 온도 또는 압력 변화의 결과로서, 가스가 유체를 침전시킬 가능성을 예방한다는 것이다.The pre-wetting process performed in a vacuum state will result in that the particular portion of the wafer will eventually become hydrophilic (State 1) if the particular portion of the wafer has only been exposed to the pre-wetting fluid for a sufficient amount of time. Unlike the pre-wetting process performed in the atmosphere, no high-speed fluid pre-wetting fluid flow is required to "flush away " the trapped bubbles. Moreover, bubble flushing is not 100% effective, often resulting in bubble fragmentation, leaving behind a large number of smaller, more difficult to remove bubbles. Thus, pre-wetting under vacuum is a much more reliable low defect process than simply spraying the pre-wetting fluid onto the wafer, covering the wafer with the fluid, or immersing the wafer in the fluid, under the atmosphere. Other favored factors for pre-wetting under vacuum are: a) the surface energy of the vacuum / liquid / metal interface is different and the contact angle is often less than the air / liquid / metal interface; b) the metal oxide / nitride / carbonate And c) the use of degassed fluids prevents the possibility of gas depositing the fluid, for example, as a result of a pseudo temperature or pressure change at some point in the liquid-water interface.

도 11a는 프리-웨팅 공정(1100)의 일반적 실시예에 대한 흐름도이다. 웨이퍼 기판의 일부 또는 전부 위에 노출된 금속층을 갖는 웨이퍼 기판이 프리-웨팅 공정 챔버에 제공된다(1105). 그 후, 공정 챔버 내의 압력이 아대기압까지 감소된다(1110). 그 후, 아대기압에서 웨이퍼 기판이 프리-웨팅 유체와 접촉하여 웨이퍼 기판 표면 위에 웨팅 층을 형성한다(1115). 이러한 프리-웨팅 공정은 본원에 기술된 프리-웨팅 장치 설계물에서 수행될 수 있다.11A is a flow diagram of a general embodiment of the pre-wetting process 1100. FIG. A wafer substrate having a metal layer exposed above some or all of the wafer substrate is provided to the pre-wetting process chamber (1105). The pressure in the process chamber is then reduced to an atmospheric pressure (1110). The wafer substrate then contacts the pre-wetting fluid at subatmospheric pressure to form a wetting layer 1115 on the wafer substrate surface. This pre-wetting process can be performed in the pre-wetting device design described herein.

웨이퍼 기판은 서로 다른 실시예에서 각기 다른 특징부를 가진다. 웨이퍼 기판은 하나 이상의 오목 특징부를 가질 수 있다. 오목 특징부는 다마신 패턴화 공정(damascene patterning processes)에 의해 형성된 다마신 특징부일 수 있다. 다마신 도금 공정은, 다마신 패턴화 공정에 의해 형성된 반도체 웨이퍼의 유전층 내의 오목부가 금속막으로 충전되는 공정이다. 또한, 오목 특징부는 관통-마스크 특징부일 수도 있다.The wafer substrate has different features in different embodiments. The wafer substrate may have one or more recessed features. The concave feature can be a damascene feature formed by damascene patterning processes. The damascene plating process is a process in which recesses in a dielectric layer of a semiconductor wafer formed by a damascene patterning process are filled with a metal film. The concave feature may also be a through-mask feature.

일부 실시예에서, 프리-웨팅 유체는 용해된 가스를 실질적으로 가지고 있지 않다. 일부 실시예에서, 웨이퍼가 프리-웨팅 유체와 접촉하기 전에, 하나 이상의 용해된 가스가 상기 프리-웨팅 유체로부터 제거된다. 일부 실시예에서, 용해된 가스의 제거를 돕기 위하여, 가스의 제거 동안 프리-웨팅 유체가 약 20℃ 이하까지 냉각된다. 일부 경우에서, 용해된 가스를 실질적으로 갖고 있지 않은 프리-웨팅 유체를 얻을 수 있도록 프리-웨팅 유체로부터 가스를 제거하기 위하여, 프리-웨팅 유체 처리 탱크가, 웨이퍼 기판이 프리-웨팅 유체와 접촉하기 전에 탈가스 루프를 통하여 구체적 시간 주기(탈가스 장치의 능력 및 수용력에 따라 달라지며, 통상적으로는 1/2 시간) 동안 순환하는 프리-웨팅 유체를 가진다. 이러한 내용이 도 3과 관련해 본원에서 논의된다. 통상적으로 이는, 진공 펌프가 켜진 동안 진공상태에서 유체가 루프를 통과해 흐르고 있으며, 탈가스 장치를 연결하고 프리-웨팅 탱크 및 펌프까지 연결하는 밸브가 개방된다는 것을 의나타낸다. 이로써, 웨이퍼 표면에 뒤이어 도포된 프리-웨팅 유체가 용해된 가스를 실질적으로 갖고 있지 않음을 보장한다. 이렇게 설계된 시스템의 측정이, 약 1-2% 정도로 작은 레벨에 도달하는 용해된 산소 잔여 레벨을 나타내고, 또는 공기로부터의 산소로 포화된 레벨 이하의 용해된 산소 잔여 레벨을 나타낸다.In some embodiments, the pre-wetting fluid has substantially no dissolved gas. In some embodiments, before the wafer contacts the pre-wetting fluid, one or more dissolved gases are removed from the pre-wetting fluid. In some embodiments, the pre-wetting fluid is cooled to below about 20 占 폚 during removal of the gas to aid in the removal of the dissolved gas. In some cases, in order to remove gas from the pre-wetting fluid so as to obtain a pre-wetting fluid that has substantially no dissolved gas, a pre-wetting fluid treatment tank may be used to prevent the wafer substrate from contacting the pre- Wetting fluid circulating through a degassing loop prior to a specific time period (which depends on the capacity and capacity of the degassing apparatus, typically 1/2 hour). This is discussed herein with respect to FIG. Typically this indicates that the fluid is flowing through the loop in a vacuum state while the vacuum pump is turned on and the valve connecting the degassing device and connecting it to the pre-wetting tank and pump is open. This ensures that the pre-wetting fluid applied subsequently to the wafer surface is substantially free of dissolved gases. Measurements of the system thus designed represent the dissolved oxygen residual level reaching a level as low as about 1-2% or represent the dissolved oxygen residual level below the level saturated with oxygen from the air.

더욱이, 공정 챔버 상의 돔 히터 및 벽 히터 켜질 수 있고, 위 히터는 약 10℃의 온도로 설정되며, 일부 경우에서는 약 20℃ 또는 프리-웨팅 유체 온도보다 높은 온도로 설정된다. 예를 들어, 유체 온도가 약 20℃인 경우, 약 40 내지 50℃의 벽 온도가 적당하다. 돔 및 벽 히터는 표면 상의 응축을 회피하고, 진공상태에서의 프리-웨팅 전 액적(liquid droplet)이 노출된 표면 위로 떨어질 수 있는 잠재성을 회피한다. 목표 가열 온도에서 폐쇄형 도어 및 벽이 있는 챔버를 진공상태가 되게 함으로써 챔버 표면이 정화(purge)될 수 있다. 예를 들어, 챔버에 웨이퍼가 존재하지 않고 벽이 가열되지 않은 경우, 챔버는, 챔버 천장과 상부 벽에 축적될 수 있는 임의의 액체를 제거할 수 있도록 챔버가 진공상태로 되어 약 10분 이상 동안 진공상태로 유지된다. 예를 들어, 깨끗한 건 질소를 이용해 재충전함으로써 진공상태가 제거될 수 있다. 이러한 절차는 챔버 벽으로부터 가능한 임의의 응축물을 제거하고, 가스를 지닌 입자의 형성을 최소화한다. a) 모든 챔버 유체 레벨 센서가 적절한 값으로 있고(예를 들어, 탱크는 가득 차고 챔버는 비어 있음), b) 히터가 켜져 있으며, c) 처리를 위해 진공상태가 준비되었음을 확인한 후에, 프리-웨팅 챔버 공정 도어가 개방될 수 있고 도어 실드(설치되어 있는 경우라면)가 내려간다. 그 다음, 웨이퍼가 척 내에 배치되고, 로봇 암이 수축되며, 진공 문이 폐쇄되고, 액체 스플래시 실드(설치되어 있는 경우라면)가 올라가거나 웨이퍼가 상기 실드 밑으로 낮아진다.Moreover, dome heaters and wall heaters on the process chamber can be turned on, and the upper heater is set to a temperature of about 10 占 폚, and in some cases, set to a temperature of about 20 占 폚 or higher than the pre-wetting fluid temperature. For example, if the fluid temperature is about 20 占 폚, a wall temperature of about 40 to 50 占 폚 is suitable. Dome and wall heaters avoid condensation on the surface and avoid the potential for liquid droplets in the vacuum state to fall onto the exposed surface. The chamber surface can be purged by bringing the chamber with the closed door and the wall into a vacuum state at the target heating temperature. For example, if the wafer is not present in the chamber and the wall is not heated, the chamber may be in a vacuum state for more than about 10 minutes to remove any liquid that may accumulate in the chamber ceiling and top wall And is kept in a vacuum state. For example, a vacuum can be removed by refilling with clean, dry nitrogen. This procedure removes any condensate possible from the chamber walls and minimizes the formation of particles with gas. a) after confirming that all chamber fluid level sensors are at an appropriate value (e.g., the tank is full and the chamber is empty), b) the heater is on, and c) the vacuum is ready for processing, The chamber process door can be opened and the door shield (if installed) is lowered. The wafer is then placed in the chuck, the robot arm is retracted, the vacuum door is closed, the liquid splash shield (if installed) is raised, or the wafer is lowered below the shield.

일부 실시예에서 프리-웨팅 공정을 위한 목표 진공 레벨이 약 10 내지 100torr(예를 들어, 약 40torr) 사이이다. 일부 실시예에서, 진공(가령, 아대기압)은 약 50torr이다. 예를 들어, 펌핑이 완료된 후, 진공 라인이 폐쇄될 수 있고, 그 밖의 다른 실시예에서는, 프리-웨팅 유체가 챔버 내부와 웨이퍼 위에 주입되는 동안 펌프가 계속하여 진공을 가한다.  In some embodiments, the target vacuum level for the pre-wetting process is between about 10 and 100 torr (e.g., about 40 torr). In some embodiments, the vacuum (e.g., subatmospheric pressure) is about 50 torr. For example, after pumping is complete, the vacuum line may be closed, while in other embodiments, the pump continues to apply vacuum while the pre-wetting fluid is being injected into the chamber and onto the wafer.

일부 실시예에서, 액체 프리-웨팅 유체가 웨이퍼 기판 표면 위에 배달된다. 이로써, 웨이퍼 기판이 프리-웨팅 유체에 담길 수 있다. 대안적으로, 이는, 웨이퍼 기판에 프리-웨팅 유체를 분무하거나 웨이퍼 기판에 상기 유체를 뿌리게 할 수도 있다. 그 밖의 다른 실시예에서, 가스 형태의 프리-웨팅 유체를 웨이퍼 기판 위로 배달함으로써 웨이퍼 기판과 프리-웨팅 유체의 접촉이 이루어진다. 가스 형태의 유체는 응축되어 웨이퍼 기판 위에 웨팅 층을 형성하게 할 수 있다. 이러한 실시예에서, 웨이퍼 기판을 프리-웨팅 유체에 노출시키기 전에, 웨이퍼 기판의 온도가 프리-웨팅 유체의 응축 온도 이하로 떨어질 수 있다.In some embodiments, liquid pre-wetting fluid is delivered onto the wafer substrate surface. This allows the wafer substrate to be contained in the pre-wetting fluid. Alternatively, it may spray the wafer substrate with a pre-wetting fluid or spray the fluid onto the wafer substrate. In another embodiment, contact of the wafer substrate with the pre-wetting fluid is achieved by delivering a gaseous pre-wetting fluid onto the wafer substrate. The gaseous fluid may condense to form a wetting layer on the wafer substrate. In this embodiment, before exposing the wafer substrate to the pre-wetting fluid, the temperature of the wafer substrate may fall below the condensation temperature of the pre-wetting fluid.

일부 실시예에서, 액체 프리-웨팅 유체가 웨이퍼 기판 표면 위로 배달되는 동안 웨이퍼가 회전될 수 있다. 일부 실시예에서, 웨이퍼 기판은 약 10 내지 300rpm 사이의 속도로 회전된다. 추가적 실시예에서, 웨이퍼 기판은 약 10 내지 100rpm 사이의 속도로 회전된다. 그 밖의 다른 실시예에서, 웨이퍼 기판은 약 100 내지 400rpm(예를 들어 약 300rpm)의 속도로 회전된다. 일부 경우에서, 매우 소수성인 웨이퍼의 유체 웨팅 저항(wetting resistance)이 문제점이 되는 짧은 시간 동안, 더 높은 회전 속도(예를 들어, 약 400 내지 800rpm) 또는 회전 속도(회전율)의 순환이 이용될 수 있다. 웨이퍼 회전이 시작되지 전 또는 후에 챔버 펌핑(pump down)이 시작될 수 있다.In some embodiments, the wafer may be rotated while the liquid pre-wetting fluid is delivered onto the wafer substrate surface. In some embodiments, the wafer substrate is rotated at a speed between about 10 and 300 rpm. In a further embodiment, the wafer substrate is rotated at a speed between about 10 and 100 rpm. In another alternative embodiment, the wafer substrate is rotated at a speed of about 100 to 400 rpm (e.g., about 300 rpm). In some cases, a cycle of higher rotational speed (e.g., about 400-800 rpm) or rotational speed (turnover) can be used for a short period of time when fluid wetting resistance of a highly hydrophobic wafer becomes a problem have. Pump down may be initiated before or after wafer rotation begins.

액체 프리-웨팅 유체가 이용되는 실시예에서, 챔버 내부 및 웨이퍼 표면의 위에서 프리-웨팅 유체의 흐름이 시작된다. 특정 표면의 전체 웨팅, 웨이퍼의 회전 속도, 및 유체의 웨팅 속성을 획득하기 위하여 필요한 시간에 따라, 약 3초 내지 1분 이상 동안(예를 들어, 약 20초 동안) 약 0.5 내지 2lpm(예를 들어, 약 0.8lpm) 사이의 통상적인 유량이 이용된다. 일부 실시예에서, 프리-웨팅 유체는 약 10초에서부터 120초까지 웨이퍼 기판과 접촉된다. 웨팅 공정이 완료된 후에, 예를 들어 프리-웨팅 유체 흐름 밸브를 폐쇄함으로써 프리-웨팅 유체 흐름이 중단된다. In an embodiment where a liquid pre-wetting fluid is used, the flow of pre-wetting fluid begins inside the chamber and above the wafer surface. (E.g., about 20 seconds) for about 3 seconds to 1 minute or more (e.g., about 20 seconds), depending on the time required to obtain the total wetting of the particular surface, the rotational speed of the wafer, For example, about 0.8 lpm) is used. In some embodiments, the pre-wetting fluid contacts the wafer substrate from about 10 seconds to about 120 seconds. After the wetting process is completed, the pre-wetting fluid flow is stopped, for example by closing the pre-wetting fluid flow valve.

그 다음, 챔버는 대기압 상태가 된다. 일부 실시예에서, 챔버는 산소가 없는 가스(가령, 건 질소)를 이용하여 대기압 상태로 된다.The chamber is then at atmospheric pressure. In some embodiments, the chamber is atmospheric pressure using an oxygen-free gas (e.g., dry nitrogen).

일부 실시예에서, 초과 프리-웨팅 유체가 기판 표면으로부터 제거된다. 이러한 제거는, 챔버가 대기압 상태로 되기 전 또는 된 후에 이루어질 수 있다. 일부 실시예에서, 웨이퍼 기판을 회전시킴으로써, 초과 프리-웨팅 유체가 웨이퍼 기판으로부터 제거된다. 웨이퍼 기판 회전 속도는, 비말동반된 초과 유체가 웨이퍼 기판 표면으로부터 제거될 수 있으나 얇은 액체의 층은 남아 있게 되는 값까지 증가된다. 초과 프리-웨팅 유체의 제거 동안 웨이퍼 기판은 약 300rpm에서부터 약 1000rpm까지 회전될 수 있다. 웨이퍼 기판은 초과 프리-웨팅 유체의 제거 중 약 20초 이하 동안 회전될 수 있다. 그 밖의 다른 실시예에서, 웨이퍼 기판 회전 속도(회전율)는, 프리-웨팅 유체의 완전한 건조를 회피하면서, 약 5 내지 60초 사이 동안 약 250 내지 800rpm까지로 증가된다. 진공상태 해소 전 일반적으로 회전 공정이 시작될 수 있지만, 진공상태가 해소된 후에 위 단계를 수행함으로써, 얇은 층으로부터의 증발식 건조 및 웨이퍼 상의 동일 지점에서의 건 표면 형성 가능성이 보다 적을 수 있기 때문에, 웨이퍼 건조에 대한 잠재성이 감소된다고 여겨진다.In some embodiments, excess pre-wetting fluid is removed from the substrate surface. Such removal can be made before or after the chamber is at atmospheric pressure. In some embodiments, the excess pre-wetting fluid is removed from the wafer substrate by rotating the wafer substrate. The wafer substrate rotational speed is increased to such a value that the entrained excess fluid can be removed from the wafer substrate surface, but a layer of thin liquid remains. During removal of excess pre-wetting fluid, the wafer substrate may be rotated from about 300 rpm to about 1000 rpm. The wafer substrate may be rotated for less than about 20 seconds during removal of excess pre-wetting fluid. In other embodiments, the wafer substrate rotation rate (turnover rate) is increased to about 250 to 800 rpm for about 5 to 60 seconds while avoiding complete drying of the pre-wetting fluid. Since the rotary process can generally start before the vacuum is removed, by performing the above steps after the vacuum has been removed, the evaporative drying from the thin layer and the possibility of forming a dry surface at the same point on the wafer may be less, It is believed that the potential for wafer drying is reduced.

비말동반된 초과 유체를 웨이퍼 기판 표면으로부터 제거한 이후, 웨이퍼 기판 회전이 정지되고, 스플래시 실드(설치되어 있다면)가 낮아지거나 웨이퍼 기판이 높아지며(둘 모두도 가능), 진공 도어가 개방되고, 웨이퍼가 챔버로부터 제거되어 전기-도금 챔버에 배치된다. 일부 실시에에서, 프리-웨팅된 웨이퍼 기판이 약 1분보다 적은 시간 동안 챔버 외부의 환경 및 전기-도금 챔버에 노출된다. 그 밖의 다른 실시예에서, 프리-웨팅된 기판은, 전기-도금 챔버로 전달될 때 전기-도금 직전에 약 50 내지 500μm의 두께를 갖는 웨팅 층을 가진다. 웨이퍼 기판이 전기-도금 챔버 내에 있은 이후, 일부 실시예에서 상기 웨이퍼 기판은 탈가스된 도금 용액을 이용하여 전기-도금된다. 일부 실시에에서, 프리-웨팅된 웨이퍼 기판은, 웨이퍼 기판이 도금 용액과 접촉하기 전 도금 용액에 대하여 음극적으로(cathodically) 편극된다.After removing the entrained excess fluid from the wafer substrate surface, the rotation of the wafer substrate is stopped, the splash shield (if present) is lowered or the wafer substrate is raised (both are possible), the vacuum door is opened, And placed in the electro-plating chamber. In some implementations, the pre-wetted wafer substrate is exposed to the environment outside the chamber and to the electro-plating chamber for less than about 1 minute. In other embodiments, the pre-wetted substrate has a wetting layer having a thickness of about 50 to 500 占 퐉 just prior to electro-plating when transferred to the electro-plating chamber. After the wafer substrate is in the electro-plating chamber, in some embodiments the wafer substrate is electroplated using a degassed plating solution. In some implementations, the pre-wetted wafer substrate is polarized cathodically with respect to the plating solution before the wafer substrate contacts the plating solution.

프리-웨팅 공정 챔버 및 전기-도금 챔버는 하나의 장치 모듈 중 별개의 장소일 수 있다. 그 밖의 다른 실시예에서, 웨이퍼 기판은 프리-웨팅을 위해 이용되었던 동일한 챔버에서 전기-도금된다. 이러한 실시예에서, 전기-도금은 탈가스된 도금 용액을 이용하여 수행될 수 있다.The pre-wetting process chamber and the electroplating chamber may be separate locations of one device module. In another alternative embodiment, the wafer substrate is electroplated in the same chamber that was used for pre-wetting. In this embodiment, the electroplating can be performed using a degassed plating solution.

대안적 실시예에서, 프리-웨팅된 웨이퍼 기판을 프리-웨팅 공정 챔버로부터 제거한 후에, 프리-웨팅된 웨이퍼 기판은 진기-에칭 및 전해-연마와 같은 양극성 공정을 수행하도록 구성된 챔버로 전달된다.In an alternative embodiment, after removing the pre-wetted wafer substrate from the pre-wetting process chamber, the pre-wetted wafer substrate is transferred to a chamber configured to perform a bipolar process, such as energetic-etching and electrolytic-polishing.

도 11B는 프리-웨팅 공정(1150)의 또 다른 실시예의 흐름도이다. 웨이퍼 기판의 표면의 일부 또는 전부 위에 노출된 금속층을 갖는 웨이퍼 기판이 프리-웨팅 챔버에 제공된다(1155). 그 후, 공정 챔버 내의 압력이 아대기압까지로 감소된다(1160). 그 후, 아대기압에서 웨이퍼 기판이 프리-웨팅 유체와 접촉된다(1165). 그 후, 기포의 제거가 용이하도록 공정 챔버 내의 압력이 증가된다(1170). 이러한 프리-웨팅 공정이, 본원에 기술된 프리-웨팅 장치 설계물에서 수행될 수 있다. 11B is a flow diagram of another embodiment of pre-wetting process 1150. FIG. A wafer substrate is provided (1155) to the pre-wetting chamber having a metal layer exposed over some or all of the surface of the wafer substrate. The pressure in the process chamber is then reduced to sub-atmospheric pressure (1160). The wafer substrate is then contacted (1165) with the pre-wetting fluid at subatmospheric pressure. The pressure in the process chamber is then increased 1170 to facilitate removal of the bubbles. This pre-wetting process may be performed in the pre-wetting device design described herein.

본원에 기술된 이러한 장치 설계 및 방법을 이용하여, 부분적으로 제작된 반도체 디바이스 구조물을 프리-웨팅할 수 있다. 일부 실시예에서, 프리-웨팅된 부분적으로 제작된 반도체 디바이스 구조물이 하나 이상의 오목 특징부를 포함한다. 이러한 오목 특징부는 특징부에 안감을 대는 금속층을 가진다. 오목 특징부는 또한, 특징부를 채우는, 실질적으로 가스가 없는 프리-웨팅 유체를 포함하며, 상기 프리-웨팅 유체는 도금 촉진제 및 레벨러(leveler)가 실질적으로 없는 수용성 금속염 용액을 포함한다.Such device designs and methods described herein may be used to pre-wet partially fabricated semiconductor device structures. In some embodiments, the pre-wetted partially fabricated semiconductor device structure includes one or more recessed features. Such a concave feature has a metal layer lining the feature. The concave feature also includes a substantially gas-free pre-wetting fluid that fills the feature, wherein the pre-wetting fluid comprises a water-soluble metal salt solution substantially free of a plating promoter and a leveler.

본원에 기술된 바와 같이, 프리-웨팅 유체 조성물과 도금 용액 조성물의 서로 다른 조합이, 전기-도금 공정과 조합된 프리-웨팅 공정에서 이용될 수 있다. 도 12는 웨이퍼 기판 위에 구리층을 전기-도금하기 위한 전기-도금 공정(1200)의 실시예에 대한 흐름도이다. 웨이퍼 기판의 표면의 일부 또는 전부 위에 노출된 금속층을 갖는 웨이퍼 기판이 프리-웨팅 공정 챔버로 제공된다(1205). 그 후, 상기 웨이퍼가 프리-웨팅 유체와 접촉하여, 웨이퍼 기판 위에 프리-웨팅 유체의 층을 형성한다(1210). 그 후, 프리-웨팅된 웨이퍼가, 웨이퍼 기판 위에 금속의 층을 전기-도금하기 위하여, 금속 이온을 포함하는 도금 용액과 접촉된다(1215). As described herein, different combinations of pre-wetting fluid composition and plating solution composition can be used in pre-wetting processes in combination with electro-plating processes. 12 is a flow diagram of an embodiment of an electro-plating process 1200 for electro-plating a copper layer on a wafer substrate. A wafer substrate having a metal layer exposed above some or all of the surface of the wafer substrate is provided 1205 to the pre-wetting process chamber. The wafer then contacts the pre-wetting fluid to form a layer of pre-wetting fluid 1210 on the wafer substrate. The pre-wetted wafer is then contacted (1215) with a plating solution comprising metal ions to electroplate a layer of metal on the wafer substrate.

본원에 기술된 장치 설계와 방법은, 전기-도금/특징부 충전을 넘어, 고종횡비 특징부 내의 기포 또는 트랩된 가스가 문제를 야기할 수 있는 그 밖의 다른 다양한 액체 반도체 공정 및 환경에서도 유용하다.The device designs and methods described herein are useful in a variety of other liquid semiconductor processes and environments where bubbles or trapped gases within high aspect ratio features can cause problems beyond electroplating / feature charging.

다양한 웨팅, 프리-웨팅, 탈가스, 정렬, 전달, 및 도금 동작을 포함하는 본원에 기술된 모든 동작이, 기술된 모듈 및 시스템에 제공된 하나 이상의 제어기 또는 기술된 모듈 및 시스템과 함께 제공된 하나 이상의 제어기에서 구성되거나 프로그래밍될 수 있다. 본원에 기술된 바와 같이, 이러한 동작의 임의의 조합 또는 순서가 위와 같은 제어기를 이용함으로써 프로그래밍되거나 구성될 수 있다. 펌웨어, 소프트웨어 매크로(software macros), 특정 용도용 집적 회로, 셰어웨어 등을 이용하여 제어기 명령어를 구현할 수 있다. All of the operations described herein, including various wetting, pre-wetting, degassing, aligning, delivering, and plating operations, may be performed by one or more controllers provided with the described modules and systems or with one or more controllers Lt; / RTI &gt; Any combination or order of such operations, as described herein, may be programmed or configured using the above controller. Controller commands can be implemented using firmware, software macros, application specific integrated circuits, shareware, and the like.

프리free -- 웨팅Wetting 유체의 화학 물질 Fluid chemicals

프리-웨팅 유체의 화학 물질을 적절하게 조절함으로써, 특징부를 금속으로 채우는 시간이 50% 이상 감소함을 포함하는 본원에 기술된 프리-웨팅 공정의 추가적 이점을 깨닫게 될 수 있다. 더욱이, 유사한 조건(즉, 프리-웨팅 유체의 조성물을 제외한 동일한 조건) 하에서, 동일한 시간에 특징부의 바닥에 선택적으로 증착된 금속의 양이 훨씬 많다는 사실에 비추어 특징부 채움 공정이 상당히 더 빠르게 시작될 수 있다. 프리-웨팅 유체로의 특정 유기 첨가물 및 무기 첨가물 조합을 이용하여, 프리-웨팅 공정은 특징부 바닥의 금속 성장 선택성에 대비하여 우수한 측벽 및 필드(여기서, 필드는 특징부 외부의 평평한 웨이퍼 기판 구역을 가리킴)의 금속 성장 선택성이 가능하도록 하며, 이로써 상부 측벽과 필드에 대하여 특징부 바닥에서의 상대적인 도금 속도/성장보다 한 자릿수 이상(10배 이상) 큰 고속 선택성 증착이 가능할 수 있다. 프리-웨팅 유체의 화학 물질을 조절함으로써 획득되는 선택성은 상향식(bottom-up), (종종) 플러그-충전(plug-fill), 고종횡비 특징부를 공동(void) 없이 신속하게 충전할 수 있는 능력을 가능케 한다.By properly adjusting the chemical of the pre-wetting fluid, one can realize the additional advantage of the pre-wetting process described herein, including reducing the time to fill the feature with metal by more than 50%. Moreover, under the similar conditions (i.e., the same conditions except for the composition of the pre-wetting fluid), the feature filling process can be started considerably faster in the light of the fact that the amount of metal selectively deposited at the bottom of the feature at the same time is much greater have. Using a combination of specific organic additives and inorganic additives as the pre-wetting fluid, the pre-wetting process can be used to provide superior sidewalls and fields in contrast to metal growth selectivity at the bottom of the feature, ), Thereby enabling high-speed selective deposition to be one or more orders of magnitude greater than the relative plating rate / growth at the bottom of the feature relative to the top sidewalls and field. The selectivity obtained by controlling the chemistry of the pre-wetting fluid is the ability to quickly charge the bottom-up, (often) plug-fill, high aspect ratio features without voids It is possible.

역사적으로, 구리를 증착시키는데 사용되는 서로 다른 다수의 도금욕 용액이 여러 필요성/목표를 충족시키도록 사용되어왔다. 황산 구리 및 구리 메탄 설포네이트(copper methane sulphonate)가, 특히 집적 회로 산업에서, 구리를 전기-도금하기 위해 가장 일반적으로 이용되는 금속염이다. 또한, 높은 구리의 용해도와 높은 증착율에 대한 잠재성을 가진 산성 구리 플루오로붕소산(copper fluoroborate) 욕조(붕산이 있는 플루오로붕산과 구리의 혼합물)가 이용되나, 부분적으로 도는 전체적으로, BF4 - 음이온이 분해되어 유해한 HF를 형성하는 경향으로 인하여, 상기 산성 구리 플루오로붕소산이 크게 선호되지 않고 메탄 설포네이트(methane sulphonate)(이것 역시 높은 구리 용해도를 가짐)로 대체된다. 또한, 일반적으로 양호한 도금 성능을 갖춘 시안화물 욕조를 이용하여 알칼리성 시안화 구리(copper cyanide)와 구리 피로인산(copper pyrophosphate) 욕조가 폭넓게 이용되어 왔으나, 유독성과 안전성을 이유로 선호되지는 않았다. Historically, a number of different plating bath solutions used to deposit copper have been used to meet a variety of needs / objectives. Copper sulphate and copper methane sulphonate are the most commonly used metal salts for electroplating copper, especially in the integrated circuit industry. In addition, acidic copper fluoroborate baths (a mixture of fluoroboric acid and copper with boric acid) with the potential for high copper solubility and high deposition rates are used, but partially or totally BF 4 - Due to the tendency of the anions to decompose to form harmful HF, the acidic copper fluoroboronic acid is not much preferred and is replaced by methane sulphonate, which also has high copper solubility. In addition, alkaline copper cyanide and copper pyrophosphate baths have been extensively used in cyanide baths with generally good plating performance, but they are not preferred due to their toxicity and safety.

본 발명 설명의 범위가 특정 금속의 전기-도금, 또는 예시에 기술된 특정 도금 용액과 프리-웨팅 유체의 조합에 한정되는 것은 아니지만, 황산구리 및/또는 구리 메탄 설포네이트(copper methanesulphonate)가 구체적 실시예의 예시로서 이용될 것이다. 본원에 개시된 실시예는 니켈, 이온, 금, 은, 주석, 납, 아연뿐만 아니라 구리와 그 밖의 다른 금속이 공동-증착된 합금(예를 들어, 납-주석 및 은-주속과 같은 다양한 땜납, 또는 철, 코발트 및 니켈을 포함하는 자기 합금 물질)과 같이 구리 이외의 금속의 증착을 위해서도 이용될 수 있다. 또한, 구리 전기-도금에서, 황산구리와 구리 메탄설포네이트 외에 그 밖의 다른 다양한 염도 이용될 수 있음이 이해된다.Although the scope of the present invention is not limited to the electroplating of a particular metal or the combination of the pre-wetting fluid with the particular plating solution described in the examples, copper sulfate and / or copper methanesulphonate may be added to the Will be used as an example. The embodiments disclosed herein can be used to provide a variety of solder alloys such as nickel, ion, gold, silver, tin, lead, zinc as well as copper and other metals in co-deposited alloys (e.g., Or magnetic alloy materials including iron, cobalt, and nickel) for the deposition of metals other than copper. It is also understood that in copper electroplating, a variety of other salts besides copper sulfate and copper methane sulfonate may also be used.

황산구리와 구리 메탄술폰산 도금욕 용액은 통상적으로, 표면 전착(electrodeposition) 반응에 영향을 주는 작은 농도(10ppb 내지 대략 1000ppm)로 셋 이상의 물질(소위 도금 "첨가물"이라 불림)을 포함한다. 통상적으로, 첨가물에는 촉진제(메르캅토기기를 함유하는 화학종, 예를 들어: 증백제(brightener)라고도 불림), 억제제(통상적으로 폴리에틸렌 글리콜과 같은 폴리머, 예를 들어: 캐리어(carrier)라고도 불림), 레벨러, 및 할로겐화물(가령, 염화 이온 및 브롬화 이온)이 포함되며, 이들 각각은 원하는 미시적 및 거시적 특성을 갖는 구리막 형성에 있어서 독특하고 유리한 역할을 한다. Copper sulfate and copper methanesulfonate plating bath solutions typically comprise three or more materials (so-called plating "additives") at a small concentration (10 ppb to about 1000 ppm) that affect the electrodeposition reaction. Typically, the additive includes an accelerator (also referred to as a chemical species containing a mercaptoester such as a brightener), an inhibitor (usually a polymer such as polyethylene glycol, for example a carrier) , Levelers, and halides (e.g., chloride and bromide ions), each of which has a unique and beneficial role in forming copper films with desired micro and macro characteristics.

본원에 기술된 프리-웨팅 유체와 도금 용액 조성물은 장치 설계 또는 방법 중 임의의 것과 함께 이용될 수 있다. 예를 들어, 프리-웨팅 유체와 도금 용액 조성물은 도 11a, 11b, 및 12에 설명된 방법과 함께 이용될 수 있다.The pre-wetting fluid and plating solution composition described herein can be used with any of the device designs or methods. For example, the pre-wetting fluid and plating solution composition may be used in conjunction with the methods described in Figures 11A, 11B, and 12.

웨이퍼 기판을 위한 최적의 프리-웨팅 유체를 선택하는데 있어서 고려되어야 할 공정 상호작용에 대하여 서로 다른 몇몇 카테고리가 존재한다. 특징부 충전에 대한 위 공정 상호작용의 효과에 대한 가정된 예시 및 측정된 예시와 함께 다양한 이슈가 본원에서 논의된다. There are several different categories of process interactions that should be considered in selecting the optimal pre-wetting fluid for a wafer substrate. A variety of issues are discussed herein, along with hypothetical and measured examples of the effects of the above process interaction on feature fill.

그 중 한 가지 고려사항은, 프리-웨팅 유체가 진공상태 하의 표면에 도포된 이후의 기간으로부터, 그리고 웨이퍼가 도금욕으로 이동되어 도금욕에 담기는 시간으로부터 전체 표면이 프리-웨팅 유체로 덮인 상태로 유지되도록, 프리-웨팅 유체의 표면 장력이 (가령, 친수성인) 웨이퍼 기판 표면과 충분히 양립 가능해야 한다. 일부 실시예에서, 도금 용액 내에 담그기 직전에, 프리-웨팅 층은 얇고(예를 들어, 약 50 내지 500μm 두께) 균일하다. 막(film)이 얇아짐으로써 농도 증가량 또는 도금욕 농도의 희석량/수정량이 작게 유지되며, 상기 막은, 일반적인 도금 표면(즉, 필드 구역)으로의 도금 첨가물의 흡착에서 최소 지연을 나타낸다. 막 두께가 균일해짐으로써, 프리-웨팅 유체 조성물의 용액으로 덮인 상태로부터 도금용 용액 조성물까지의 균일한 전환이 가능하고 훨씬 용이하게 조절할 수 있다.One of the considerations is that from the period after the pre-wetting fluid is applied to the surface under vacuum and from the time the wafer is transferred to the plating bath to the plating bath and the entire surface is covered with the pre-wetting fluid , The surface tension of the pre-wetting fluid should be sufficiently compatible with the wafer substrate surface (e.g., hydrophilic). In some embodiments, just prior to immersion in the plating solution, the pre-wetting layer is thin (e.g., about 50 to 500 μm thick) and uniform. The thinning of the film keeps the concentration increase or the dilution / modification amount of the plating bath concentration small, and the film exhibits a minimum delay in adsorption of the plating additive to a common plating surface (i.e., field zone). By uniformizing the film thickness, a uniform conversion from the solution-coated state of the pre-wetting fluid composition to the solution composition for plating is possible and can be adjusted much more easily.

또 다른 고려사항은, 웨이퍼가 프리-웨팅 장소로부터 도금 장소로 전달될 때, 특징부가 프리-웨팅 유체로 충전되고 일반적 표면이 상기 유체로 덮인다는 점이다. 도금 용액에 대한 표면의 초기 노출과 도금의 시작 사이의 뒤따르는 시간 동안, 프리-웨팅 유체 단독의 구성물과의 바람직하지 않은 반응 또는 대기로부터 유입된 가스와 함께 프리-웨팅 유체의 구성물과의 바람직하지 않은 반응이 발생할 수 있다. (예를 들어, 본원에 기술된 탈가스 장치를 이용하여) 프리-웨팅 유체를 탈가스함으로써, 용해된 가스와 관계된 위 반응들이 감소되거나 제거될 수 있다. 또한, 프리-웨팅된 웨이퍼의 액체 표면 층이 공기에 노출되는 경우, 탈가스된 프리-웨팅 유체 내로의 가스 재흡착이 발생할 것이고(예를 들어, 15초 이상 후에), 유해한 부식 또는 그 밖의 다른 영향을 초래할 수도 있다. 대안적으로, 프리-웨팅 유체의 조성물에 포함되는 성분의 적절한 선택 및/또는 도금 셀로의 적시의/신속한 웨이퍼 전달을 통하여, 위와 같은 반응 및 영향이 감소되거나 모두 회피될 수 있다.Another consideration is that when the wafer is transferred from the pre-wetting site to the plating site, the features are filled with the pre-wetting fluid and the general surface is covered with the fluid. It is believed that during the time between the initial exposure of the surface to the plating solution and the beginning of plating, undesirable reactions with the constituent of the pre-wetting fluid alone or with the constituents of the pre-wetting fluid, Reaction may occur. By degassing the pre-wetting fluid (e.g., using the degassing apparatus described herein), the above reactions associated with the dissolved gas can be reduced or eliminated. In addition, when the liquid surface layer of the pre-wetted wafer is exposed to air, gas re-adsorption into the degassed pre-wetting fluid will occur (e.g., after 15 seconds or more) and may cause harmful corrosion or other It can also have an impact. Alternatively, through the appropriate selection of components included in the composition of the pre-wetting fluid and / or timely / rapid wafer transfer to the plating cell, such reactions and effects can be reduced or avoided altogether.

일반적으로, 프리-웨팅 유체와 웨이퍼 위의 씨앗층 사이의 반응이, 알맞은 활성화 에너지를 갖는 화학적 원동력(즉, 반응을 위한 음의 자유 에너지)의 존재로부터 유래된다. 이러한 원동력을 제거하거나 동역학을 억제함으로써, 유해 반응을 미리 막을 수 있다. 상기 반응은 하나 이상의 용매(가령, 물, 알코올, 탄산염, 또는 케톤), 프리-웨팅 유체 용질(가령, 산, 무기염, 유기 전해질 또는 중성인 도금 첨가물 화학종), 및 용해된 가스의 조합과 관계된다. Generally, the reaction between the pre-wetting fluid and the seed layer on the wafer results from the presence of a chemical dynamics (i.e., negative free energy for reaction) with an appropriate activation energy. By eliminating this motive force or suppressing dynamics, harmful reactions can be prevented in advance. The reaction may be carried out in the presence of a combination of one or more solvents (e.g., water, alcohol, carbonate or ketone), a pre-wetting fluid solute (such as an acid, inorganic salt, organic electrolyte or neutral plating additive species) .

특히 유해한 반응의 예시로는, 금속 씨앗층의 부식 반응이 있다. 씨앗 부식 속도는 예를 들어, 프리-웨팅된 웨이퍼 전달 시간, 프리-웨팅 유체의 온도, 및 도금 용액 욕조와 같은 파라미터, 프리-웨팅 용매의 선택, 프리-웨팅 유체의 pH, 프리-웨팅 유체에 용해된 특정 구성물, 그리고 도금 용액 욕조 내에 웨이퍼의 초기 담금 동안 임의의 공간-변화 및 시간-변화 분포 또는 재분포(즉, 특징부 내부 또는 외부로의 확산에 의한 농도차)에 따라 달라질 것이다. 이러한 각기 다른 반응이 본원에 기술된다.An example of a particularly deleterious reaction is the corrosion reaction of the metal seed layer. The seed erosion rate can be determined, for example, by the following factors: the pre-wetted wafer transfer time, the temperature of the pre-wetting fluid, and the parameters such as the plating solution bath, the choice of pre-wetting solvent, Will vary depending on the particular composition that is dissolved, and any space-change and time-varying distribution or redistribution (i.e., concentration differences due to diffusion into or out of the feature) during the initial immersion of the wafer in the plating solution bath. These different reactions are described herein.

금속 부식에 대한 임의의 전해 반응이 두 개의 반쪽 반응으로서 표현될 수 있으며, 이러한 두 반쪽 반응은 금속 내의 전자의 전달에 의해 결합된다. 예를 들어, 산소의 환원 또는 용매 내의 그 밖의 다른 산화제(환원되는 요소)가 구리 금속의 산화와 결합한다. 구리 금속과 산소의 반응이 제1구리 이온과, 용매 환경, 착화제(complexing agent)의 존재, 및 pH에 따라, 제2구리 이온의 두 단계로 발생한다. Any electrolytic reaction to metal corrosion can be expressed as two half reactions, and these two half reactions are coupled by the transfer of electrons in the metal. For example, reduction of oxygen or other oxidizing agents in the solvent (reducing elements) combine with oxidation of the copper metal. The reaction of copper metal with oxygen occurs in two stages of cupric ion, depending on the presence of cuprous ion, solvent environment, complexing agent, and pH.

Cu → Cu+ + e- → Cu +2 + e- (8)Cu → Cu + + e → Cu + 2 + e- (8)

산성 조건 또는 알칼리 조건에 대해 쓰여진 산소의 환원 반응은 다음과 같다.The reduction reaction of oxygen written for acid conditions or alkaline conditions is as follows.

O2 + 4H+ + 4e- → H2O (9a)O 2 + 4H + + 4e - ? H 2 O (9a)

O2 + 2H2O + 4e- → 4OH- (9b)O 2 + 2H 2 O + 4e - ? 4OH - (9b)

산소가 없는 프리-웨팅 유체를 이용함으로써, 반응(9a 또는 9b)이 발생하는 것을 완전히 방지하며, 이로써 구리의 부식이 위 공급원으로부터 금지된다. 따라서, 일부 실시예에서, 프리-웨팅 유체에서 산소를 제거하는 것이 바람직하다. 그러나, 주위 환경으로부터 산소가 전해질로 재주입되는 경우(예를 들어, 프리-웨팅 장소로부터 도금 장소로의 전달 동안), 반응(9a 또는 9b)이 또다시 발생할 수 있다. 이와 유사하게, 양성자의 공급이 적은 경우(예를 들어, 약 3 이상의 pH), 반응(9a)이 감소될 것이다. By using an oxygen free pre-wetting fluid, it completely prevents the reaction (9a or 9b) from occurring, so that corrosion of the copper is inhibited from the above source. Thus, in some embodiments, it is desirable to remove oxygen from the pre-wetting fluid. However, if oxygen is re-injected into the electrolyte from the surrounding environment (e.g. during transfer from the pre-wetting site to the plating site), reaction 9a or 9b may occur again. Similarly, if the supply of protons is low (e. G., A pH of about 3 or higher), the reaction 9a will be reduced.

도 13에 도시된 예시적 상황을 참조하면, 웨이퍼 기판(1302)의 구조(1301)가, 프리-웨팅 유체(1303)로 충전된 공동(cavity)으로 구성된다. 상기 구조의 특징부 표면(1305), 벽(1306), 및 바닥(1307)이 통상적으로, 전기-도금이 가능한 "씨앗층"(가령, 구리(1304)) 아래에 장벽층(도시되지 않음)으로 코팅된다. 벽(특히, 벽(1308))을 따라 있는 금속의 두께는, 씨앗 증착 공정(가령, PVD)의 성질로 인해 표면(1305)에서보다 통상적으로 훨씬 얇다(그리고 또한, 특징부 바닥(1307)에서보다도 종종 더 얇다). 처음에, 기포를 가지고 있지 않고 용해된 가스(가령, 산소)가 거의 없거나 아예 없는 탈가스된 프리-웨팅 유체(1303)가 진공상태에서 표면으로 주입된다. 그러나, 웨이퍼 운반 동안 대기로부터의 일부 가스 액체 내부로 뒤이어 주입되어, 노출된 액체층 표면(1308)에서, 포화에 가까운 상태가 형성될 수 있다. 표면(1305) 도달까지의 훨씬 짧은 확산 거리 및 저항에 있어서, 산소 환원 반응(9a)이 먼저 시작되는 것이 바람직할 것이다. 반응(8)은 표면을 따라 어딘가에서 발생할 수 있으나, 상기 반응은 막이 가장 얇아(씨앗 금속층 전부가 손실될 가능성이 있음) 반응의 영향이 가장 유해하고 가장 거친 표면 상의 지점에서 발생하는 것이 바람직할 수 있다. 또한, 특징부 안쪽의 깊은 곳과 같이 산소 환원 반응이 동시에 발생하지 않는 장소(예를 들어, 장소(1307 및 1308))에서 금속 부식 반쪽 반응이 발생하는 것이 바람직하다. 특징부 내에서 반응(8)에 의해 형성된 전자가 벽을 따라 금속을 통과해 특징부 상부와 필드로 이동하도록 함으로써 전체 반응이 완료되며, 여기서 상기 전자가 반응(9a 또는 9b)에 의해 산소와 결합한다. 상기 벽은 (예를 들어, 고금 실리콘 에칭 또는 "보쉬(Bosche)" 공정으로서 알려져 있는 SF6 등방성 RIE 에칭/ C4F8 패시베이션 시퀀스의 반복적 적용으로부터의) 특징부 형성 방법 및/또는 증착 공정으로 인하여 미시적 규모에서 표면이 거칠 수 있다. 거친 금속 표면은 국소적인 높은 전기화학적 활성을 갖는 경향이 있고, 따라서 고르고 매끄러운 표면에서보다 거친 금속 표면에서 부식이 더 클 것이다. 이러한 현상이, 이들 거친 금속 표면으로부터 금속 손실을 촉진시킬 것이다. 예로서, 미국 특허 제6,946,065호에 나타난 위 현상에 대한 논의를 참조한다.13, the structure 1301 of the wafer substrate 1302 consists of a cavity filled with pre-wetting fluid 1303. The feature surface 1305, wall 1306 and bottom 1307 of the structure are typically deposited on a barrier layer (not shown) under an " seed layer "(e.g., copper 1304) . The thickness of the metal along the wall (especially wall 1308) is much thinner (and also at the bottom of feature 1307) than at surface 1305 due to the nature of the seed deposition process (e.g., PVD) More often than not). Initially, degassed pre-wetting fluid 1303, which has little or no dissolved gas (e.g., oxygen) and no bubbles, is injected into the surface in a vacuum. However, during the wafer transfer, some gas liquid from the atmosphere is subsequently injected into the exposed liquid layer surface 1308, resulting in a state close to saturation. For a much shorter diffusion distance and resistance to reach the surface 1305, it is desirable that the oxygen reduction reaction 9a be started first. Reaction (8) may occur somewhere along the surface, but the reaction may be desirable to occur at the point where the membrane is the thinnest (the entire seed metal layer may be lost) and the effect of the reaction is most harmful and on the roughest surface have. Also, it is preferable that a metal corrosion half-reaction occurs in places where the oxygen reduction reaction does not occur at the same time (for example, at places 1307 and 1308) as deep inside of the feature. The electrons formed by the reaction (8) in the feature pass through the metal along the wall and move to the top of the feature and to the field, thus completing the entire reaction, wherein the electrons are coupled to oxygen by reaction (9a or 9b) do. The walls may be etched using an SF 6 isotropic RIE etch / C 4 F 8 (for example, a high-grade silicon etch or "Bosche & (From the repeated application of the passivation sequence) and / or the deposition process. Rough metal surfaces tend to have local high electrochemical activity, and therefore will be more corrosive on rougher metal surfaces than on smooth and smooth surfaces. This phenomenon will promote metal loss from these rough metal surfaces. See, for example, a discussion of the above phenomenon as disclosed in U.S. Patent No. 6,946,065.

일부 실시예에서, 진공상태에서 수행되는 프리-웨팅 공정에 있어서, 실질적으로 비전도성인(즉, 비이온화, 그리고 전해질 없음) 용매가 프리-웨팅 유체에 대해 효과적으로 이용될 수 있다. 이는, 다른 경우라면 이러한 유체의 이용을 회피하도록 유도했을 부정적 요인이 된다. 이러한 부정적 요인 중 하나가, 프리-웨팅 유체의 전도성이 상당히 작다는 것이다. 웨이퍼를 도금욕 용액에 담근 직후의 시간에서, 비전도성 또는 낮은 전도성의 용매로 충전된 특징부의 바닥에서의 증착은, 상기 용매가 이온 전류 흐름을 지원할 수 없기 때문에 도금을 지원할 수 없어, 이루어지지 않을 것이 예상된다. 잠재적으로 유해한 또 다른 요인은, 전기-도금욕 내부로 웨이퍼가 삽입된 이후, 웨이퍼 표면에서 그리고 특징부 내에서 용해된 금속의 각기 다른 활성으로 인한 내부 부식 셀의 전위 형성 및 내부 부식 셀의 형성이다. 특징부의 바닥과 특징부의 상부 사이의 용액 내에서의 전기화학적 전이차가 네른스트 식에 의해 표현될 수 있다: In some embodiments, a substantially non-conductive (i. E., Non-ionized, and no electrolyte) solvent can be used effectively for the pre-wetting fluid in a pre-wetting process performed in a vacuum state. This is a negative factor that would otherwise have led to avoiding the use of such fluids. One of these negative factors is that the conductivity of the pre-wetting fluid is fairly small. At the time immediately after the wafer is immersed in the plating bath solution, deposition at the bottom of the feature filled with a nonconductive or low conductivity solvent can not support plating because the solvent can not support ionic current flow, Is expected. Another potentially harmful factor is the formation of internal corrosion cells and the formation of internal corrosion cells due to the different activities of the dissolved metals at the wafer surface and in the features after the wafer is inserted into the electroplating bath . The electrochemical transition difference in solution between the bottom of the feature and the top of the feature can be expressed by the Nernst equation:

Figure pct00005
(10)
Figure pct00005
(10)

식 10에서, R은 보편 기체 상수이고, T는 절대 온도이며, n은 부식 반응에 있어서 전자의 수이고, F는 패러데이의 상수이며, C(특징부)와 C(표면)은 두 장소에서의 금속 이온의 농도이다. 농도차 셀(concentration cell)이 형성되고, 부식에 의해, 식 10에 의해 주어진 바와 같은 농도의 차이에 의해 형성되는 전위가 생성된다. 용해된 금속 이온이 없는 프리-웨팅 유체를 이용하는 경우, 특징부의 바닥은, 금속 이온을 포함한 도금욕에 잠긴 이후 일정 시간 주기 동안 C(표면)보다 작은 C(특징부) 농도와 마주칠 것이다. 따라서, 특징부의 바닥과 표면의 위치 사이에 부식성 전위차가 존재할 것이고, 이러한 부식 전위로 인해, 특징부의 벽과 바닥 상의 금속이 바람직하게 산화되어, 전자를 방출하고, 상기 표면에서 용액으로부터의 금속 이온과 조합함으로써 사이클을 완료할 수 있다. In the equation 10, R is the universal gas constant, T is the absolute temperature, n is the number of electrons in the corrosion reaction, F is the constant of Faraday, C (feature) and C The concentration of metal ions. A concentration cell is formed and a potential is formed by the difference in concentration as given by Eq. 10 by corrosion. When using a pre-wetting fluid without dissolved metal ions, the bottom of the feature will encounter a C (feature) concentration that is less than C (surface) for a period of time after being immersed in the plating bath containing metal ions. Thus, there will be a corrosive potential difference between the bottom of the feature and the surface, and due to this corrosion potential, the metal on the walls and bottom of the feature is preferably oxidized to release electrons, By combining, the cycle can be completed.

구체적으로, Specifically,

Cu++ + 2e- → Cu (11)Cu ++ + 2e-? Cu (11)

와 같은 반응이 표면 구역에서 발생할 것이고, 특징부의 벽에서, 그리고 특징부의 바닥에서의 하부 표면에서 발생하는Will occur in the surface zone and will occur at the wall of the feature and at the bottom surface at the bottom of the feature

Cu → 2e- + Cu++ (12)Cu → 2e- + Cu ++ (12)

산화 반응과 결합될 것이다. Oxidation reaction.

이러한 유형의 프리-웨팅 유체를 이용할 때 위와 같은 바람직하지 않은 프로세스를 회피하기 위하여, 웨이퍼 표면을 도금 용액에 담그기 전에 또는 담근 직후에, 도금 용액에 대하여 웨이퍼 표면을 음극성 (도금) 편극시키는 것이 중요하다. (삽입 음극 방식(防蝕) 및 등전위 삽입을 다루는 미국 특허 제7,211,175호, 제6,562,204호, 및 제6,551,483호 참조, 위 미국 특허는 본원에서 관련 부분에 대한 참조를 위해 포함됨.) 이는, 웨이퍼 욕조 삽입 전 웨이퍼와 용액 사이에 음극 전위차 또는 작은 환원 전류(cathodic current)를 인가함으로써 성취된다. 대안적으로 또는 추가적으로, 일부 실시예에서, 상대적으로 낮은 금속 이온 농도를 갖는 용액(가령, 탈이온수)을 이용한 웨이퍼 표면의 신속 헹굼이 이용될 수 있고, 표면 용액을 제거하기 위하여 고속 회전 또는 그 밖의 다른 방법이 뒤따른다. 이러한 공정은 특징부에서의 금속 농도에 대하여 표면에서의 금속의 농도를 감소시키나, 웨이퍼 에지로부터 전해질도 제거하여, 상기 전해질이 웨이퍼 및 도금 장치 접촉부의 에지에 도금되는 경향을 줄일 수 있다(예를 들어, 폐쇄형 또는 밀봉 접촉 "도금 컵"에서 도금할 때). 또 다른 대안예로서, 프리-웨팅 용액에서의 금속 이온 농도가, 그 이후의 도금욕에서의 금속 이온 농도와 최소한 동일하거나 이보다 더 클 수 있다. In order to avoid such undesirable processes when using this type of pre-wetting fluid, it is important to polarize the wafer surface in a negative (plating) manner with respect to the plating solution before or after immersing the wafer surface in the plating solution Do. (See U.S. Patent Nos. 7,211,175, 6,562,204, and 6,551,483, which are incorporated herein by reference in their entirety for all purposes) Is achieved by applying a negative potential difference or a small cathodic current between the wafer and the solution. Alternatively, or in addition, in some embodiments, a rapid rinse of the wafer surface with a solution having a relatively low metal ion concentration (e.g., deionized water) can be used, and a high speed rotation or other Other methods follow. This process reduces the concentration of the metal at the surface with respect to the metal concentration in the feature, but also removes the electrolyte from the wafer edge, thereby reducing the tendency of the electrolyte to be plated on the edge of the wafer and plating device contacts For plating in a closed or sealed contact "plating cup"). As yet another alternative, the metal ion concentration in the pre-wetting solution may be at least equal to or greater than the metal ion concentration in the subsequent plating bath.

실질적으로 비전도성 종류인 프리-웨팅 유체의 실시예의 예시로는, 전해질이 없는 이소프로필 알코올 또는 그 밖의 다른 수용성 비수계(non-aqueous) 용매(가령, 물과 섞일 수 있는 용매)가 있다. 그 밖의 다른 실시예에서는 알코올, 디알킬카보네이트(dialkylcarbonate), 디메틸포름아미드(dimethylformamide), 및 디메틸 술폭시드(dimethyl sulfoxide)가 포함된다. 또 다른 실시예는, 약 3.5 내지 11.5 사이의 pH 범위에서 작은 농도의 비금속-착물(non-metal-complexing) 테트라메틸암모늄 설페이트(tetramethylammonium sulfate) 및/또는 테트라메틸암모늄 하이드록사이드(tetramethylammonium hydroxide)를 포함하는 수용액이다. 또 다른 실시예는, (알칼리 금속 양이온 또는 테트라메틸암모늄 양이온을 갖는) 음이온 계면활성제 라우릴설페이트(laurilsulfate)와 같은 계면 활성제를 포함하는 수용액이다. 물과 비교하여 감소된 표면 장력을 갖고, 비교적 작은 전도성을 가지며(예를 들어, 산 또는 강염기와 비교하여), 비-구리 착화합물인 프리-웨팅 유체가 일부 실시예에서 이용된다.Examples of embodiments of the substantially non-conductive type of pre-wetting fluid include isopropyl alcohol without electrolyte or other water-soluble non-aqueous solvent (e.g., a solvent that can be mixed with water). Other embodiments include alcohols, dialkylcarbonates, dimethylformamide, and dimethyl sulfoxide. Yet another embodiment provides a process for the production of a small concentration of non-metal-complexing tetramethylammonium sulfate and / or tetramethylammonium hydroxide in a pH range of between about 3.5 and 11.5. Lt; / RTI &gt; Another embodiment is an aqueous solution comprising a surfactant such as an anionic surfactant (with an alkali metal cation or a tetramethylammonium cation), such as laurylsulfate. A pre-wetting fluid that is a non-copper complex is used in some embodiments, having a reduced surface tension as compared to water, having a relatively low conductivity (e.g., as compared to an acid or a strong base).

도 12에서 도시된 웨이퍼 기판 위에 금속층을 전기-도금하기 위한 전기-도금 공정(1200)의 일부 실시예에서, 웨이퍼 기판 표면의 일부 또는 전부 위에 노출된 금속층을 갖는 웨이퍼 기판이 프리-웨팅 공정 챔버로 제공된다(1205). 그 후, 웨이퍼가 프리-웨팅 유체와 접촉하여, 웨이퍼 기판 위에 프리-웨팅 유체의 층을 형성한다(1210). 프리-웨팅 유체는 수용성 용매를 포함한다. 수용성 용매는 알코올, 케톤, 디메틸카보네이트, 디에틸카보네이트, 디메틸 술폭시드, 또는 디메틸 포름아미드일 수 있다. 그 후, 프리-웨팅된 물이 금속 이온을 포함하는 도금 용액과 접촉하여, 웨이퍼 기판 위에 금속층을 전기-도금한다(1215). 일부 실시예에서, 상기 도금 용액은 구리 이온을 포함하여, 웨이퍼 기판 위에 구리층을 도금할 수 있다.In some embodiments of the electro-plating process 1200 for electro-plating a metal layer on a wafer substrate as shown in FIG. 12, a wafer substrate having a metal layer exposed on some or all of the wafer substrate surface is transferred into a pre-wetting process chamber (1205). The wafer then contacts the pre-wetting fluid to form a layer of pre-wetting fluid 1210 on the wafer substrate. The pre-wetting fluid comprises an aqueous solvent. The water-soluble solvent may be an alcohol, ketone, dimethyl carbonate, diethyl carbonate, dimethylsulfoxide, or dimethylformamide. The pre-wetted water is then contacted with a plating solution comprising metal ions to electroplate the metal layer on the wafer substrate (1215). In some embodiments, the plating solution may include copper ions to deposit a copper layer on the wafer substrate.

상세히 하기 위하여, 반쪽 산화 반응(가령, 식 8 및 9)이 전기 회로를 완성해야 하고, 따라서 산화 반응과 환원 반응이 발생하는 두 장소 사이에 이온 전류를 통과시켜야하기 때문에, 일부 실시예에서, 그 자체가 구리와 직접 반응하지 않는 저전도성 용매 또는 이온적으로 분리된 전도성 이온(가령, 용해된 산, 염기, 및 염)이 실질적으로 없는 용매와 같은 작은 이온 전도성을 갖는 프리-웨팅 유체를 이용하는 것이 유리하다. 탈이온수, 이소프로필 알코올, 에틸렌 글리콜, 프로필렌 글리콜, 프로필렌 카보네이트 등과 같은 많은 수용성 무-용질 용매(water soluble solute free solvent)가, 용질이 없을 때 높은 전자 저항을 가지며, 동일한 중성 pH 용매에서의 제2구리 도는 제1구리의 용해도가 일반적으로 매우 작다. 이러한 요인들로 인해, 위와 같은 용매에서의 금속의 부식은 용해된 산소, 일반적으로 매우 느린 대기 온도에서의 공정, 및 산소 농도를 이용한 직접 산화에 의해서만 발생할 수 있다:For the sake of detail, in some embodiments, the half oxidation (e. G., Equations 8 and 9) must complete the electrical circuit and therefore pass the ion current between the two sites where the oxidation and reduction reactions take place. The use of a pre-wetting fluid having a small ionic conductivity, such as a solvent that is substantially free of a low conductivity solvent or ionically separated conductive ions (such as dissolved acids, bases, and salts) It is advantageous. Many water soluble solute free solvents, such as deionized water, isopropyl alcohol, ethylene glycol, propylene glycol, propylene carbonate, etc., have a high electron resistance in the absence of solute, The solubility of cuprous copper is generally very small. Because of these factors, corrosion of metals in such solvents can only occur by direct oxidation using dissolved oxygen, a process at generally very slow atmospheric temperatures, and oxygen concentration:

Cu + 1/2O2 → Cu2O (13)Cu + 1 / 2O 2 → Cu 2 O (13)

따라서, (반응 13을 회피하기 위하여) 물 또는 탈이온수와 같은 이온성-용질이 없는(ionic-solute-free) 용매를 이용하여 프리-웨팅을 수행하는 것이 하나의 실시예이고, 탈산소화된 탈이온화수와 같은 탈산소화된 탈이온 용매를 이용하여 프리-웨팅을 수행하는 것이 또 다른 실시예이다. 일부 실시에에서, 도금 용액 또한, 웨이퍼 표면에의 접촉/노출 전 그리고 웨이퍼 표면에의 접촉/노출 동안 탈산소화/탈가스되고, 삽입 전 웨이퍼에 전위 또는 전류가 인가되며, 이로써 식 10에 의해 주어진 것보다 더 큰 전압이 형성됨으로써 특징부 내에서 반응(12)이 발생하는 것을 방지할 수 있다. 측벽 부식 방지와 관련하여, 용해된 비이온(non-ionic) 화학종(예를 들어, 표면 장력을 낮추기 위하여 추가되는 비이온 계면활성제 또는 스위터-이온(switter-ionic) 계면활성제, 또는 산소와의 반응을 위하여 추가되는 유기 물질)을 포함하고 있는 프리-웨팅 유체가, 산과 염기와 같이 매우 전도성인 이온성 용질보다 일부 실시예에서 유용하다. 이는, 일반적으로 낮은 용액 특정적 컨덕턴스, 그리고 산화 반쪽 반응과 부식 반쪽 반응을 결합하는 이온 전류 때문이다. 이에 대한 예외가, 전기화학적으로 활성인 비이온 물질(가령, 비이온 레벨러 화합물)을 흡착하는 표면의 부가이다. 일부 실시예에서, 구리 도금을 위한 바람직하지 않은 프리-웨팅 유체 조합의 추가적 예시는, 폴리에틸렌 글리콜 또는 폴리에틸렌/폴리프로필렌 산화물 공중합체(도금 "억제자"의 역할을 하는 것으로 알려져 있음)가 아니나, 소량의 용해된 할로겐화물(가령, 염화물) 이온과 결합된 매우 낮은 온도이다. 일부 실시예에서, 흡착 및 전기화학적 활성 강화 할로겐화물 없는 억제제는 매우 저농도가 아닌 한 바람직하지 않은 것으로 나타난다.Thus, it is an example to perform pre-wetting using an ionic-solute-free solvent such as water or deionized water (to avoid reaction 13) Another embodiment is to perform pre-wetting using a deoxygenated deionization solvent such as ionized water. In some implementations, the plating solution is also deoxygenated / degassed prior to contact / exposure to the wafer surface and contact / exposure to the wafer surface, and a potential or current is applied to the wafer before insertion, (12) in the feature can be prevented from being generated. In conjunction with sidewall corrosion protection, the use of dissolved non-ionic species (e. G., Nonionic surfactant or switter-ionic surfactant added to lower surface tension, , Are useful in some embodiments over highly conductive ionic solutes such as acids and bases. This is generally due to the low solution specific conductance and ionic currents that combine the half-oxidation reaction and the corrosion half-reaction. An exception to this is the addition of a surface that adsorbs an electrochemically active non-ionic material (e.g., a non-ion leveler compound). In some embodiments, a further example of an undesirable pre-wetting fluid combination for copper plating is not polyethylene glycol or a polyethylene / polypropylene oxide copolymer (known to act as a plating "inhibitor"), Lt; RTI ID = 0.0 &gt; (e. G., Chloride) ions. In some embodiments, the inhibitor without adsorption and electrochemically active enhanced halides appears to be undesirable unless it is very low in concentration.

본원에 기술된 실시예에 따라 수행된 한 실험에서, 8000 Å 구리 씨앗층을 갖는 구조물을 통해 60μm 깊이/10μm 폭의 TSV가 구리로 전기-도금되었다. 특징부는 탈산소화된 탈이온수로 프리-웨팅되었다. 웨이퍼가 5분 동안 대기에 노출된 후에, 웨이퍼는 도금 셀로 운반되며, 뒤이어 도금 용액에 담긴다. 도금 용액은 첨가제 성분을 갖는, Enthone Inc에 의해 DVF 200TM라는 상표를 달고 팔리는 탈산소화된 도금욕이었다(DVF 200TM는 구리 메탄 술폰산염/메탄 술폰산 도금 용액이고, 상기 용액에 촉진제, 역제제, 및 레벨러 첨가제, 그리고 50ppm 염화 이온이 첨가되었다). 위 방법을 이용하여 다수의 실행에 걸쳐 다수의 개개의 특징부의 충전 특성이 모든 경우 대부분에서 완전한 무공동(void-free) 특징부를 보여주었다. 일부 실험에서, 웨이퍼는 도금욕으로 삽입되기 전에 음극적으로 편극되었다. 이러한 결과는, 진공상태에서 수행되는 탈가스된 탈이온수 프리-웨팅 공정의 조합과, 뒤이은 구리 도금 용액으로의 등전위 삽입을 이용하여 무공동 상향식 충전을 형성하기 위한 공정 강건성을 보여준다.In one experiment performed in accordance with the embodiments described herein, 60 [mu] m deep / 10 [mu] m wide TSVs were electroplated with copper through a structure having an 8000 A copper seed layer. The features were pre-wetted with deoxygenated deionized water. After the wafer has been exposed to the atmosphere for five minutes, the wafer is transferred to the plating cell and subsequently immersed in the plating solution. The plating solution was a deoxygenated plating bath with an additive component sold under the trademark DVF 200 TM by Enthone Inc (DVF 200 TM is a copper methanesulfonate / methanesulfonate plating solution, in which the accelerator, And leveler additive, and 50 ppm chloride ion were added). The charging characteristics of a number of individual features across a number of implementations using the above method showed a complete void-free feature in most cases. In some experiments, the wafer was negatively polarized before being inserted into the plating bath. These results show a process robustness for forming a no-cavity bottom-up charge using a combination of degassed deionized water pre-wetting processes performed in vacuum and subsequent equipotential insertion into a copper plating solution.

그 밖의 다른 실시예에서, 금속 이외에 용해된 일부 화합물(예를 들어, 웨팅에서 표면 장력 및 산을 줄이기 위하여 비교적 소량이 첨가된 전해질성 또는 비이온성, 유기성 또는 무기성)을 포함하는 실질적으로 비전도성인, 그러나 전기화학적으로 활성이거나 도금욕 첨가물로 간주되는 물질이 실질적으로 없는 프리-웨팅 유체가 이용된다. 예를 들어, 일부 실시예에서, 전기화학적으로 활성인 제제를 포함하는 프리-웨팅 유체보다는, 어떠한 촉진제/증백제(brightener) 또는 레벨러(통상적으로, 뒤이어 이용되는 도금욕에서 발견될 수도 있음)도 실질적으로 포함하지 않는 프리-웨팅 유체가 이용된다. In other embodiments, substantially nonconductive, including some dissolved compounds other than metal (e.g., electrolytic or nonionic, organic or inorganic, with a relatively small amount added to reduce surface tension and acid in wetting) A pre-wetting fluid is used that is substantially free of material that is adult, but electrochemically active, or considered to be a plating bath additive. For example, in some embodiments, any promoter / brightener or leveler (which may be commonly found in subsequent plating baths), rather than a pre-wetting fluid comprising an electrochemically active agent, A pre-wetting fluid is used that does not substantially contain the liquid.

본원에 개시된 실시예에 따라 수행된 한 실험에서, 8000Å 구리 씨앗층을 갖는 구조물을 통해 60μm 깊이/10μm 폭의 TSV를 갖는 웨이퍼가 구리로 전기-도금되었다. 특징부는 구리 메탄 술폰산(구리 염, 80g/L 구리 이온), 20g/L의 메탄 술폰산, 50ppm의 염화 이온, 및 3 or 12ppm의 구리 도금 촉진제 디메르캅토-프로페인(dimercapto-propane) 술폰산(SPS)을 포함하는 프리-웨팅 유체로 프리-웨팅되었다. 프리-웨팅 후에, 웨이퍼는 약 1분 동안 대기에 노출되었고, 그 후 도금 셀로 운반되었으며, 뒤이어 도금 용액에 담겼다. 도금 용액은 첨가제 성분을 갖는, Enthone Inc.에 의해 DVF 200TM 상표를 달고 팔리는 탈산소화된 도금욕이었다. 그 후, 구리가 웨이퍼 위에 도금되었다. (즉, 디메르캅토-프로페인 술폰산 3ppm 및 디메르캅토-프로페인 술폰산 12ppm을 포함하는 용액으로 프리-웨팅된) 위 두 경우에서, 측벽 공동(void)이 형성되었다.In one experiment performed in accordance with the embodiments disclosed herein, wafers with a TSV of 60 [mu] m depth / 10 [mu] m through a structure having an 8000 &quot; copper seed layer were electroplated with copper. The characterization part was composed of dimercapto-propane sulfonic acid (SPS) (copper salt, 80 g / L copper ion, 20 g / L methanesulfonic acid, 50 ppm chloride ion and 3 or 12 ppm copper plating promoter) Lt; RTI ID = 0.0 &gt; pre-wetting &lt; / RTI &gt; After pre-wetting, the wafer was exposed to the atmosphere for about 1 minute, then transferred to the plating cell, and subsequently immersed in the plating solution. The plating solution was supplied by Enthone Inc. with DVF 200 TM It was a deoxygenated plating bath sold with a trademark. Thereafter, copper was plated on the wafer. (I.e., pre-wetted with a solution containing 3 ppm dimercapto-propanesulfonic acid and 12 ppm dimercapto-propanesulfonic acid), a sidewall void was formed.

일부 실시예에서, 프리-웨팅 유체가 물과 구리 염을 포함한다. 이는, 식 10과 관련해 논의된 전기화학적 차이를 설정함으로써 씨앗층의 부식을 회피하도록 돕는다. 특정 실시예에서, 구리 염은 포화 한계치의 약 50% 이상의 농도로 있다. 특정 실시예에서, 구리 염은 황산구리, 구리 알킬술폰산염, 및 이들의 혼합이다. 특정 실시예에서, 구리 염은 약 20g/L의 구리보다 더 큰 농도에 있다. 일부 실시예에서, 물과 구리 염을 포함한 프리-웨팅 유체로 웨이퍼 기판을 프리-웨팅한 후에, 프리-웨팅된 웨이퍼 기판은 구리-함유 도금 용액을 이용해 구리로 전기-도금되고; 프리-웨팅 유체는, 도금 용액에서의 구리 농도와 동일하거나 이보다 높은 구리 농도로 구리 염을 포함한다. 일부 실시예에서, 프리-웨팅 유체에서의 구리 농도는 도금 용액에서의 구리 농도보다 약 25% 이상 더 크다. 그 밖의 다른 실시예에서, 프리-웨팅 유체는 본질적으로 물과 구리 염으로 구성된다. In some embodiments, the pre-wetting fluid comprises water and a copper salt. This helps to avoid corrosion of the seed layer by setting the electrochemical differences discussed with respect to Equation 10. In certain embodiments, the copper salt is at a concentration of at least about 50% of the saturation limit. In certain embodiments, the copper salt is copper sulfate, a copper alkylsulfonate salt, and mixtures thereof. In certain embodiments, the copper salt is at a concentration greater than about 20 g / L of copper. In some embodiments, after pre-wetting the wafer substrate with a pre-wetting fluid comprising water and a copper salt, the pre-wetted wafer substrate is electroplated with copper using a copper-containing plating solution; The pre-wetting fluid comprises a copper salt with a copper concentration equal to or higher than the copper concentration in the plating solution. In some embodiments, the copper concentration in the pre-wetting fluid is greater than about 25% greater than the copper concentration in the plating solution. In another alternative embodiment, the pre-wetting fluid consists essentially of water and a copper salt.

일부 실시예에서, 도금 용액(즉, 동일한 금속 염 및/또는 동일한 금속 이온 농도, 동일한 산 및/또는 동일한 산 농도, 동일한 할로겐화물 및/또는 동일한 농도의 할로겐화물, 동일한 첨가제 및/또는 동일한 농도의 첨가제를 갖는 용액)의 조성과 동일하거나 매우 유사한 조성을 갖는 프리-웨팅 유체가 이용된다. 프리-웨팅 유체 및 도금 용액이 동일한 조성을 갖는 경우의 실시예에서, 프리-웨팅에서 이용되는 것과 같이, 동일한 쳄버 내의 웨이퍼 기판 위에 금속층이 도금될 수 있다. 그러나, 씨앗층이 한계적(marginal)인 경우(가령, 특징부 내에서 거칠고 얇음), 이러한 프리-웨팅 유체(즉, 도금 용액과 동일하거나 매우 유사한 유체임)가, 프리-웨팅 유체로부터의 씨앗 부식으로 인해 공동이 있도록 특징부를 채울 가능성이 있을 수 있다. 또한, 본원에서 설명된 바와 같이, 프리-웨팅을 위하여 도금욕과는 다른 용액을 이용함으로써, 특징부 충전 속도가 향상될 수 있다. In some embodiments, the plating solution (i.e., the same metal salt and / or the same metal ion concentration, the same acid and / or the same acid concentration, the same halide and / or the same concentration of halide, the same additive and / Wetting fluid having a composition that is the same as or very similar to the composition of a liquid (e.g., a solution having an additive). In embodiments where the pre-wetting fluid and plating solution have the same composition, the metal layer may be plated on the wafer substrate in the same chamber, as used in pre-wetting. However, if the seed layer is marginal (e.g., rough and thin in the feature), this pre-wetting fluid (i.e., the same or very similar fluid as the plating solution) There may be a possibility to fill the feature to be caved due to corrosion. Also, as described herein, by using a solution different from the plating bath for pre-wetting, the feature filling rate can be improved.

본원에 개시된 실시예를 따라 수행된 한 실험에서, 8000Å 구리 씨앗층을 갖는 구조물을 통해 60μm 깊이/10μm 폭의 TSV가 구리로 도금되었다. 특징부는 도금 용액을 이용하여 먼저 프리-웨팅되었다(즉, 프리-웨팅 유체가 도금 용액과 동일한 조성을 가지고 있었다). 도금 첨가제 성분을 갖는, Enthone Inc.에 의해 DVF 200TM라는 상표를 달고 팔리는 상업적으로 입수 가능한 탈산소화된 도금욕을 이용하여(즉, 본원에 기술된 그 밖의 다른 실험에서는, 도금 첨가제 성분이 DVF 200TM와 함께 이용됨), 본원에 개시된 프리-웨팅 공정에 의해 특징부/웨이퍼가 프리-웨팅되었다. 탈산소화된 도금욕에 표면이 노출되었고, 그 후 상기 표면은, 진공상태의 해소와 도금욕으로의 운반/담금과 금속 증착의 시작 사이에 1 또는 3분 동안 대기에 노출되었다. 웨이퍼는 도금 용액으로의 삽입시 즉시 음극적으로 분극되었다. 표면이 1분 동안 대기에 노출된 한 경우에서, 특징부는 무공동이면서 측벽 부식의 징후 없이 금속으로 충전되었다. 그러나, 동일한 웨이퍼로부터의 특징부는, 일부 특징부가 충전되지 않았음을 보여주는데, 통상적으로 특징부의 일면이 불규칙한 모양의 공동을 갖는다. 이는, 특징부의 상기 일면에서 씨앗 금속의 손실과 관계된 것으로 일반적으로 여겨진다. 진공상태의 해소와 도금의 시작 사이에 대기에 3분 동알 노출된 것을 제외하고 정확히 동일한 방식으로 준비되고 처리된 웨이퍼에 있어서, 특징부 충전이 조잡하게 미완성되었다. 많은 경우에서, 특징부의 전체 바닥이 도금되지 않았다. 또한, 유사한 경향(즉, 무공동에서 유의한 측벽 공동으로의 전환)이, 고정된 대기 노출 시간 그러나 씨앗층 두께 감소에 있어서 발생한다. 따라서, 일부 실시예에서, 전처리 용액으로서 도금 용액의 이용이 최적 상태보다는 적은데, 이는 측벽 부식으로 인해 불완전한 특징부 충전에 대한 유의한 감도(sensitivity) 때문이다. 특히 씨앗층 두께가 상당히 얇은 경우에서, 측벽 공동-유형(void-type) 결함의 개수가, 이러한 프리-웨팅 유체에 대한 씨앗층의 좁은 허용 오차를 나타내는 위 둘 모두의 경우에서 뚜렷하게 증가하였다. In one experiment performed in accordance with the embodiments disclosed herein, 60 [mu] m deep / 10 [mu] m wide TSVs were plated with copper through a structure having an 8000 A copper seed layer. The feature was first pre-wetted with the plating solution (i.e., the pre-wetting fluid had the same composition as the plating solution). A commercially available deoxygenated plating bath with a plating additive component sold under the trademark DVF 200 TM by Enthone Inc. was used (i.e., in other experiments described herein, the plating additive component was DVF 200 TM ), the features / wafers were pre-wetted by the pre-wetting process described herein. The surface was exposed to the deoxygenated plating bath and then the surface was exposed to the atmosphere for one or three minutes between the release of the vacuum and the transport / dipping into the plating bath and the beginning of metal deposition. The wafer was negatively polarized immediately upon insertion into the plating solution. In one case where the surface was exposed to the atmosphere for one minute, the feature was filled with metal without any cavities and no signs of side wall corrosion. However, the features from the same wafer show that some features have not been filled, typically one side of the feature has an irregularly shaped cavity. This is generally regarded as related to the loss of seed metal on the said surface of the feature. For the wafers prepared and treated in exactly the same way, except for a 3 minute exposure to the atmosphere between the release of vacuum and the start of plating, feature charging was roughly incomplete. In many cases, the entire bottom of the feature was not plated. In addition, a similar tendency (i. E., Transition from no-cavity to significant sidewall cavities) occurs in fixed air exposure time but in a decrease in seed layer thickness. Thus, in some embodiments, the use of a plating solution as a pretreatment solution is less than optimal, due to significant sensitivity to imperfect feature fill due to sidewall corrosion. In particular, in the case where the seed layer thickness is considerably thin, the number of sidewall void-type defects has significantly increased in both cases, which represent a narrow tolerance of the seed layer for this pre-wetting fluid.

반응(8 및 9)을 다시 참조하면, 반응(8)과 반응(9a 또는 9b)의 결합에 의해 생성된 금속 이온은 표면을 다시 향하는 (이온성) 전류를 유체를 통과해 흐를 수 있도록 해야 하며, 따라서 일부 실시예에서, 실질적으로 전도성인 용액이 바람직하지 않은 프리-웨팅 유체 속성을 갖도록 한다. 이는 대조적으로 도금 용액에서 일반적으로 요구되는 실질적인 전도성이며, 여기서, 증착 공정을 용이하게 하기 위하여 용액 내 그리고 특징부 내에서의 전압 강하를 최소화하도록 전도성이 맞춤화된다. 특정 관심 사항은, 임의의 양이온 중 가장 높은 양성자의 높은 이온 이동성이다. 이러한 속성은 주어진 몰 농도를 갖는 산성 용액에 매우 높은 전도성을 부여하는 경향이 있다. 따라서, 일반적 규칙으로서, 고농도의 고도로 해리된 산(가령, 약 2 이상의 pH를 생성하거나 약 0.01몰 이상의 유리 양성자를 형성함)을 갖는 프리-웨팅 유체가 일부 실시예에서는 선호되지 않는데, 이는 상기 유체의 높은 전도성으로 인해 상기 유체가 부식 반응을 용이하게 하기 때문이다. 이러한 산성 상태 하에서, 특징부 벽(1308, 도 3)의 바닥에서의 금속은 바람직하지 않은 상태에 있고, 전기-도금 가능 씨앗 층 없이 측벽을 부식시키거나 생산하는 영역을 초래하는 결과를 잠재적으로 가져올 수 있다. Referring back to reactions (8 and 9), the metal ions produced by the combination of reaction (8) and reaction (9a or 9b) must allow the surface-reversed (ionic) current to flow through the fluid Thus, in some embodiments, a substantially conductive solution has an undesirable pre-wetting fluid property. This, by contrast, is the actual conductivity generally required in plating solutions, where the conductivity is tailored to minimize the voltage drop in solution and within the features to facilitate the deposition process. A particular concern is the high ion mobility of the highest proton of any cation. These properties tend to confer very high conductivity to acidic solutions having a given molarity. Thus, as a general rule, a pre-wetting fluid having a high concentration of highly dissociated acid (e.g., producing a pH of at least about 2 or forming at least about 0.01 mole of free protons) is not preferred in some embodiments, Because of the high conductivity of the fluid, which facilitates the corrosion reaction. Under these acidic conditions, the metal at the bottom of the feature wall 1308 (FIG. 3) is in an undesirable state, potentially resulting in a region that corrodes or produces sidewalls without the electro- .

주요 고려사항으로서, 이러한 특징부 내부의 부식, 및 공동과 같은 특징부 충전 결함을 회피하는 것이 바람직하다. 높은 전도성, 산성도, 및 잠재적으로 바람직하지 않은 흡착, 첨가물의 반응, 및 금속을 갖는 할로겐화물의 조합이, 예를 들어, 특징부 측벽 부식과 충전 결함을 초래할 수 있고, 뿐만 아니라 최적의 특징부 충전 속도 또는 무공동 충전을 위해 요구되는 여러 표면 상 첨가제의 바람직한 분포 형성을 억제하거나 지연시킬 수 있다. 측벽 상의 금속이 얇아 프리-웨팅 유체 노출 전 산화될 수 있기 때문에, 산 또는 그 밖의 다른 성분과 관계된 부식 반응이 모든 도금-가능 금속의 손실을 초래할 수 있고, 이로써 구리 확산 장벽층 탄탈, 또는 그 위에 노출된 산화물 층을 갖는 탄탈 질화물과 같이 도금이 가능하지 않은 금속을 남길 수 있다. 따라서, 프리-웨팅 유체의 적절하지 않은 성분 혼합물에, 표면을, 편극되지 않은 음극으로 방식된(cathodically protected)) 긴 노출을 함으로써 조악한 특징부 충전이 초래될 수 있다. 강산성 전해질(약 2 이하의 pH)을 이용하는 것과 대조적으로, 좀 더 중성인 또는 중성에 가까운 프리-웨팅 유체를 이용함으로써 반응(9a)에 있어서 양성자의 공급을 제한할 수 있고, 이로써 부식율이 감소하고 결함이 감소하며, 일반적으로 신뢰성이 향상되어 전체적인 프리-웨팅이 성공할 수 있다. 이러한 설명의 프리-웨팅 유체는 일반적으로 최적이 아니거나 구리 금속 증착을 위해 수용 가능하지 않을 것이나, 일부 실시예에서는 프리-웨팅을 위해 선호된다. 용해된 금속 이온 착물 음이온이 없는 약 2 내지 12의 pH 범위 내의 용액이, 8 및 9와 같은 반응이 인지할 수 있는 속도로 발생하는 것을 허용하지 않는다.As a key consideration, it is desirable to avoid corrosion inside these features, and feature sub-fill defects such as cavities. The combination of high conductivity, acidity, and potentially undesirable adsorption, reaction of additives, and halides with metals can result in, for example, characterizing side wall corrosion and filling defects, Speed or no cavity charge, the desired distribution of the various surface additives. Since the metal on the sidewall is thin and can be oxidized prior to exposure of the pre-wetting fluid, a corrosion reaction involving acid or other components can result in the loss of all the plating-capable metal, thereby causing copper diffusion barrier layer tantalum, It is possible to leave a metal that is not plated, such as tantalum nitride having an exposed oxide layer. Thus, a poor feature charge can be caused by long exposures to an improper component mixture of the pre-wetting fluid, the surface being cathodically protected, which is not polarized. In contrast to the use of strongly acidic electrolytes (a pH of about 2 or less), the supply of protons in reaction 9a can be limited by using a more neutral or near-neutral pre-wetting fluid, thereby reducing the corrosion rate Defects are reduced, and reliability is generally improved, so that the overall pre-wetting can be successful. The pre-wetting fluid of this description is generally not optimal or acceptable for copper metal deposition, but is preferred for pre-wetting in some embodiments. Solutions in the pH range of about 2 to 12 without dissolved metal ion complex anions do not allow reactions such as 8 and 9 to occur at a perceptible rate.

일부 실시예에서, 프리-웨팅 유체는 탈이온수, 산, 및 구리 염을 포함하며, 상기 프리-웨팅 유체의 pH는 약 2보다 낮지 않다. 추가적 실시예에서, 이러한 프리-웨팅 유체의 pH는 약 2 내지 4 사이이다. 이러한 실시예에서의 산은 황산, 알킬술폰산(alkylsuphonic acid), 및 이들 산의 혼합물일 수 있다. 프리-웨팅 유체는 또한, 실시예 중 일부에서 약 2g/L 이하의 황산 또는 메탄 술폰산(methane sulfonic acid)을 포함할 수 있다. 그 밖의 다른 경우에서, 프리-웨팅 유체는 본질적으로, 물, 산, 및 구리 염으로 구성되며, 상기 프리-웨팅 유체의 pH는 약 2 이상이다. 그 밖의 다른 실시예에서, 프리-웨팅 유체는 물과 산을 포함하며, 상기 프리-웨팅 유체의 pH는 약 2 이상이다. In some embodiments, the pre-wetting fluid comprises deionized water, acid, and copper salts, and the pH of the pre-wetting fluid is not lower than about 2. In a further embodiment, the pH of this pre-wetting fluid is between about 2 and 4. The acid in this embodiment may be sulfuric acid, alkylsuphonic acid, and mixtures of these acids. The pre-wetting fluid may also contain less than about 2 g / L sulfuric acid or methane sulfonic acid in some of the embodiments. In other cases, the pre-wetting fluid consists essentially of water, acid, and copper salts, and the pH of the pre-wetting fluid is at least about two. In another embodiment, the pre-wetting fluid comprises water and an acid, and the pH of the pre-wetting fluid is at least about two.

개시된 다양한 pH/전위 안정성 도표 및 계산(포베 도표(Pourbaix diagrams)라고 알려져 있음)을 따라서, 약 3 이상의 pH를 갖고 추가로 산화성 소스(가령, 용해되는 산소)를 갖는 비-착화(non-complexing) 전해질 용액에 구리 금속을 노출시킴으로써 금속 표면 산화물을 형성할 것이 기대된다. 구리의 용해된 금속 염을 대신한 산화물 형성이, 추가적 산화를 억제할 수 있다. (용해된 제1구리 염 또는 제2구리 염을 형성하기 보다는) 제1구리 산화물 또는 수산화물을 형성하기 위하여, 물 또는 수산화물과 직접 반응하도록 계면에 형성된 제1구리 이온이 열역학적으로 바람직하다.Complexing with additional oxidizing sources (e.g., dissolved oxygen) having a pH of at least about 3, according to the various pH / dislocation stability diagrams and calculations disclosed (known as Pourbaix diagrams) It is expected to form a metal surface oxide by exposing the copper metal to the electrolyte solution. Oxidation instead of dissolved metal salts of copper can inhibit further oxidation. The primary copper ions formed at the interface to react directly with water or hydroxide are thermodynamically preferred in order to form a primary copper oxide or hydroxide (rather than to form a dissolved cuprous salt or cupric salt).

2Cu + 4OH- → Cu2O + H2O + 4e- (15a)2Cu + 4OH - - &gt; Cu 2 O + H 2 O + 4e - (15a)

Cu + 2OH- → Cu(OH)2 + 2e- → CuO + H2O + 2e- (15b) Cu + 2OH - → Cu (OH ) 2 + 2e - → CuO + H 2 O + 2e - (15b)

매우 높은 pH에서, 구리의 수산화물이 약간 가용성이며, 따라서 이러한 조건은 이러한 전망으로부터 약간 선호되지 않을 수 있다. 구리 산화 반쪽 반응과 산소 환원 반응의 결합이 중성 용액에서 감소될 수 있으며, 따라서 약 2 내지 12의 pH 범위(좀 더 바람직하게, 약 3.5 내지 10.5)의 구리 착화제가 없는 프리-웨팅 유체가, 진공상태에서의 이용을 위하여 유용한 프리-웨팅 유체 종류이다. 이러한 종류의 프리-웨팅 유체는, 용해된 일부 화합물(예를 들어, 표면 장력을 줄이고 웨팅에서 도움을 주기 위한 전해질성 및 비이온성, 유기성 또는 무기성)을 포함할 수 있는 용액이나, 금속의 도금 및 활성을 전기화학적으로 바꾸는 물질을 실질적으로 가지고 있지 않고, 및/또는 도금욕 첨가제로서 고려된다. 구리 착화제의 존재는 또한, 산화물/수산화물을 비활성화(passivating)하는 대신에 착화합물이 형성되도록 하는 조건을 변화시키며; 산소가 존재하는 경우, 용해된 산화제를 갖는 용액을 포함하는 금속 착화제에서 바람직하지 않은 고속 부식이 기대된다. 통상적으로 욕조 첨가제인 일부 물질이 증백제/촉진제를 포함하는 메르캅토기(예를 들어, 메르캅토-프로페인 산(mercapto-propanesulfonic acid), 디-메르캅토프로페인 술폰산(di-mercaptopropane sulfonic acid) 등)와 같은 금속 착화합물과, 레벨러를 포함하는 다양한 질소족(예를 들어, 다이아진(diazine) 블랙 및 야누스(Janus) 그린 B)을 형성할 수 있다. 예를 들어, 뒤이어 사용되는 도금욕에서 통상적으로 발견될 수 있는 어떠한 증백제 또는 레벨로도 포하하지 않는 프리-웨팅 유체가, 관련 프리-웨팅 씨앗 금속 부속을 회피할 수 있다. 폴리에테르(가령, 폴리에틸렌 글리콜, 폴리프로필렌 산화물 등)과 같은 억제제 또는 금속 이온 착화제가 스스로 특히 부식성이지는 않으며, 이들이 습윤제로서 표면 장력을 감소시키는 경향이 있기 때문에 고속 충전이 주요 관심사가 아닌 경우 첨가될 수 있다. 그러나, 억제제 전기화학적 활성을 얻기 위하여 필요한 공동 구성물(co-constituent)로 일반적으로 고려디는 염화 이온과 함께 억제제의 첨가는 일부 실시예에서는 바람직하지 않다. At very high pH, the hydroxides of copper are somewhat soluble, and thus these conditions may be somewhat less preferred from this view. The combination of the copper oxidation half reaction and the oxygen reduction reaction can be reduced in the neutral solution so that the prewetting fluid without a copper complexing agent in a pH range of about 2 to 12 (more preferably about 3.5 to 10.5) Lt; / RTI &gt; is a kind of pre-wetting fluid useful for use in a state of being. This type of pre-wetting fluid may be a solution that may include some dissolved compounds (e. G., Electrolytic and non-ionic, organic or inorganic to reduce surface tension and aid in wetting) And substantially no material that electrochemically changes the activity, and / or is considered as a plating bath additive. The presence of a copper complexing agent also alters the conditions under which the complex is formed instead of passivating the oxide / hydroxide; In the presence of oxygen, undesirable high-speed corrosion is expected in metal complexing agents containing solutions with dissolved oxidizing agents. Typically, some materials that are bath additives are mercapto groups (such as mercapto-propanesulfonic acid, di-mercaptopropane sulfonic acid, including mercapto-propanesulfonic acid, (E.g., diazine black and Janus green B) containing a metal complex and a leveler. For example, a pre-wetting fluid that does not sublime into any of the brighteners or levels commonly found in the plating baths used subsequently can avoid the associated pre-wetting seed metal parts. Inhibitors such as polyethers (e.g., polyethylene glycols, polypropylene oxides, etc.) or metal ion complexing agents are not particularly corrosive by themselves and are added when the fast charging is not a major concern because they tend to reduce surface tension as a wetting agent . However, the addition of an inhibitor together with a chloride ion, generally considered as a co-constituent required to achieve inhibitor electrochemical activity, is undesirable in some embodiments.

일부 실시예에서, 프리-웨팅 유체는 산화물 표면을 제거하는데 도움을 줄 수 있다. 도 12에 도시된 웨이퍼 기판 위에 금속층을 전기-도금하기 위한 전기-도금 공정(1200)의 일부 실시예에서, 웨이퍼 기판의 일부 또는 전부 위에 노출된 금속층을 갖는 웨이퍼 기판이 프리-웨팅 공정 챔버에 제공된다(1205). 그 후, 프리-웨팅 챔버 내의 압력이 아대기압까지 감소된다(도시되지 않음). 그 후 웨이퍼는 프리-웨팅 유체와 접촉하여 웨이퍼 기판 위에 프리-웨팅 유체의 층을 형성한다(1210). 일 실시예에서, 프리-웨팅 유체는 씨앗층으로부터 표면 산화물을 부분적으로 또는 전체적으로 제거하기 위하여 산을 포함하고, 프리-웨팅 유체는 약 2 내지 6 사이의 pH를 갖는다. 그 후, 프리-웨팅된 웨이퍼는 금속 이온을 포함하는 도금 용액과 접촉하여, 웨이퍼 기판 위에 금속층을 전기-도금한다(1215). 도금 용액은 약 2 내지 6 사이의 pH를 갖고, 도금 용액과 프리-웨팅 유체는 서로 다른 조성을 가진다.In some embodiments, the pre-wetting fluid can help remove the oxide surface. In some embodiments of the electro-plating process 1200 for electro-plating a metal layer on the wafer substrate shown in FIG. 12, a wafer substrate having a metal layer exposed over some or all of the wafer substrate is provided in the pre-wetting process chamber (1205). Thereafter, the pressure in the pre-wetting chamber is reduced to an atmospheric pressure (not shown). The wafer then contacts the pre-wetting fluid to form a layer of pre-wetting fluid 1210 on the wafer substrate. In one embodiment, the pre-wetting fluid comprises an acid to partially or totally remove surface oxides from the seed layer, and the pre-wetting fluid has a pH between about 2 and 6. [ The pre-wetted wafer is then contacted with a plating solution containing metal ions to electroplate the metal layer on the wafer substrate (1215). The plating solution has a pH between about 2 and 6, and the plating solution and the pre-wetting fluid have different compositions.

그 밖의 다른 실시예에서, 프리-웨팅 유체는 금속 산화물을 갖는 표면을 금속성 표면(가령, 제1구리 또는 제2구리 산화물- 반응(6 및 7) 및 관련 논의 참조)으로 전환하는데 도움을 줄 수 있고, 또는 산화물 표면을 제거하는데 도움을 줄 수 있다. 도 12에 도시된 웨이퍼 기판 위에 금속층을 전기-도금하기 위한 전기-도금 공정(1200)의 일부 실시예에서, 표면의 일부 또는 전부 위에 노출된 금속층을 갖는 웨이퍼 기판이 프리-웨팅 공정 챔버에 제공된다(1205). 그 후, 웨이퍼가 프리-웨팅 유체와 접촉하여 웨이퍼 기판 위에 프리-웨팅 유체의 층을 형성한다(1210). 일 실시예에서, 프리-웨팅 유체는 소량의 환원제를 포함하여 씨앗층 위의 표면 산화물을 부분적으로 또는 전체적으로 환원시킬 수 있다. 또 다른 실시예에서, 프리-웨팅 유체는 금속 착화제(complexing agent)를 포함하여 노출된 금속층 위의 표면 산화물을 부분적으로 또는 전체적으로 제거할 수 있고, 상기 프리-웨팅 유체는 약 4 내지 12 사이의 pH를 갖는다. 그 후, 프리-웨팅된 웨이퍼가 도금 용액과 접촉하여, 웨이퍼 기판 위에 금속층을 전기-도금할 수 있다(1215).In other embodiments, the pre-wetting fluid may help to convert the surface with the metal oxide to a metallic surface (e.g., primary copper or secondary copper oxide-reactions 6 and 7 and related discussion) , Or may help remove the oxide surface. In some embodiments of the electro-plating process 1200 for electro-plating a metal layer on the wafer substrate shown in FIG. 12, a wafer substrate having a metal layer exposed over some or all of the surface is provided in the pre-wetting process chamber (1205). The wafer then contacts the pre-wetting fluid to form a layer of pre-wetting fluid 1210 on the wafer substrate. In one embodiment, the pre-wetting fluid may contain a small amount of reducing agent to partially or totally reduce surface oxides on the seed layer. In another embodiment, the pre-wetting fluid may include a metal complexing agent to partially or wholly remove surface oxides on the exposed metal layer, wherein the pre-wetting fluid has a viscosity of between about 4 and 12 pH. The pre-wetted wafer may then be contacted with the plating solution to electroplate the metal layer on the wafer substrate (1215).

일부 실시예에서, 상기 도금 용액에 구리 이온이 포함되어, 웨이퍼 기판 위에 구리 층을 전기-도금할 수 있다. 이러한 실시예에서, 웨이퍼 기판 위의 노출된 금속층은 일반적으로 구리 또는 구리 합금이다. 구리를 위한 환원제의 예시로는 포름알데히드, 글리콜산(및 이의 염), 및 디메틸아민 보란(dimethylamine borane)을 포함한다. 일부 실시예에서, 노출된 금속층이 구리일 때, 프리-웨팅 유체가 구리 착화제를 포함하여 노출된 구리층 위의 표면 산화물을 부분적으로 또는 전체적으로 제거할 수 있고, 상기 프리-웨팅 유체는 약 4 내지 12 사이의 pH를 가진다. In some embodiments, the plating solution may include copper ions to electroplate the copper layer on the wafer substrate. In this embodiment, the exposed metal layer on the wafer substrate is typically copper or a copper alloy. Examples of reducing agents for copper include formaldehyde, glycolic acid (and its salts), and dimethylamine borane. In some embodiments, when the exposed metal layer is copper, the pre-wetting fluid may include a copper complexing agent to partially or totally remove surface oxides on the exposed copper layer, Lt; / RTI &gt; to 12.

일반적으로, 염화물 또는 브롬화물과 같은 저농도의 할로겐 이온(가령, ppm(parts per million), 통상적으로 10 내지 100 ppm)이 존재하고, 많은 도금욕 용액에 있어서 종종 임계적이다. 할로겐화물은 또한 잘 알려져 있는 부식제이다. 할로겐화물을 포함하는 용액이 할로겐화물이 없는 동일한 용액(즉, 일치하는 pH 및 이온 세기)보다 더 빠르게 표면을 부식시킬 것이라는 것이 일반적으로 알려져 있다. 할로겐화물이 성공적은 도금에 있어서 결정적이고 이들의 농도가 낮기 때문에, 프리-웨팅 유체가 할로겐화물이 존재하지 않도록 하면 특징부 내부 표면에 대한 균일한 노출이 억제될 것이고 이로써 특징부 충전 공정에 유해한 효과를 가진다는 것을 가정할 것이다. 그러나, 일부 실시예에서는, 프리-웨팅 유체에 매우 낮은 레벨의 할로겐화물조차 포함시키지 않거나 첨가하지 않는 것이 유용하다. 일부 실시예에서, 프리-웨팅 유체는 실질적으로 할로겐화물을 포함하지 않는다. 낮은 ppm 레벨의 할로겐화물 단독 또는 그 밖의 도금욕 첨가제와 함께 낮은 ppm 레벨의 할로겐화물에서조차, 특징부 측벽 상 금속의 부식 속도의 급격한 증가가 관측되었다. 임의의 특정 이론에 메이는 것을 원하지 않지만, 금속의 부식은 전체로서, 제1구리 할로겐 반응물의 형성에 의해 아마도 촉진되거나 안정된다.Generally, low concentrations of halogen ions (e.g., parts per million, typically 10 to 100 ppm), such as chloride or bromide, are present and are often critical for many plating bath solutions. Halides are also a well-known corrosive agent. It is generally known that solutions containing halides will corrode the surface faster than the same solution without halides (i.e., matching pH and ionic strength). Since the halides are critical for successful plating and their concentration is low, the absence of halide in the pre-wetting fluid will inhibit uniform exposure to the interior surfaces of the features, thereby detrimentally affecting the feature fill process . &Lt; / RTI &gt; However, in some embodiments, it is useful not to include or add even a very low level of halide to the pre-wetting fluid. In some embodiments, the pre-wetting fluid is substantially free of halides. Even at low ppm levels of halides with halide alone or with other plating bath additives, a sharp increase in the corrosion rate of the metal on the feature sidewalls was observed. While not wishing to be bound by any particular theory, the corrosion of the metal as a whole is probably promoted or stabilized by the formation of the primary copper halide reactant.

본원에 기술된 실시예에 따라 수행되는, 본원에 기술된 그 밖의 다른 실험과 유사한 TSV 특징부 충전 예시에서, 프리-웨팅 유체가, 100g/L 구리 메탄 술폰산, 16g/L 메탄 술폰산, 및 50ppm의 염화 이온을 포함하거나 어떠한 염화물도 포함하지 않았다. 그 후, 본원에 기술된 그 밖의 다른 실험에 대해 설명된 것과 동일한 용액과 공정을 이용하여 구리 도금이 수행되었다. 프리-웨팅 유체 내의 소량의 할로겐 이온에 의해서조차, 측벽 씨앗층 부식이 매우 악화되었다. In a TSV feature loading example, similar to the other experiments described herein, carried out in accordance with the embodiment described herein, the prewetting fluid is a mixture of 100 g / L copper methane sulfonic acid, 16 g / L methanesulfonic acid, and 50 ppm It contained chloride ions or did not contain any chloride. Thereafter, copper plating was carried out using the same solution and process as described for the other experiments described herein. Even with a small amount of halogen ions in the pre-wetting fluid, the corrosion of the sidewall seed layer was greatly deteriorated.

도금욕 억제제는 폴리에틸렌 글리콜(PEG), 폴리프로필렌 글리콜(PPG), 폴리에틸렌 산화물(PEO), 폴리프로필렌 산화물(PPO), 및 이들 단량체(monomer)의 다양한 공중합체를 포함한다. 웨이퍼 상의 특징부 바깥쪽의 구리 도금을 억제하기 위하여 억제제가 이용되며, 이로써 특징부의 안쪽에 구리가 증착될 수 있도록 한다. 억제제는 또한 좋은 표면 장력 환원제(계면활성제)이기도 하며, 따라서 프리-웨팅 유체에서 유용한 성분으로 간주될 수 있다. 본원에서 언급된 바와 같이, 위 화합물의 억제성 도금 특성이 할로겐화물과의 조합에서 일반적으로 유도되며, 할로겐화물의 존재로 인해 특징부 측벽 부식이 초래될 수 있다. 일부 실시예에서, 프리-웨팅 유체는 할로겐화물, 도금 촉진제, 및 도금 레벨러를 실질적으로 갖고 있지 않으며, 저농도(가령, 통상적으로 약 15ppm 이하)로 도금 억제제를 포함한다.Plating bath inhibitors include polyethylene glycol (PEG), polypropylene glycol (PPG), polyethylene oxide (PEO), polypropylene oxide (PPO), and various copolymers of these monomers. An inhibitor is used to inhibit copper plating on the outside of the feature on the wafer, thereby allowing copper to be deposited on the inside of the feature. Inhibitors are also good surface tension reductants (surfactants) and can therefore be regarded as useful components in pre-wetting fluids. As mentioned herein, the inhibiting plating properties of the above compounds are generally induced in combination with halides, and the presence of halides can lead to feature side wall corrosion. In some embodiments, the pre-wetting fluid is substantially free of halides, plating promoters, and plating levelers, and includes plating inhibitors at low concentrations (e.g., typically about 15 ppm or less).

프리-웨팅 유체에 대한 억제제의 효과를 판단하기 위하여, 100g/L 구리 메탄 술폰산, 16g/L 메탄 술폰산(종종 VMS(virgin makeup solution)라고도 언급됨), 무-염화 이온(즉, 염화 이온은 포함하지 않음), 및 분자량이 8000인 다양한 양의 폴리에틸렌 글리콜을 포함하는 프리-웨팅 유체를 이용하여 실험이 수행되었다. 이러한 염화물이 없는 프리-웨팅 유체에서는 측벽 부식이 일반적으로 관측되지 않았다. 그러나, 약 5ppm 및 25ppm 사이의 어딘가에 도달하는 억제제의 농도로서, 특징부 충전이 상당히 영향을 받았다. 충전 특성이, 약 0ppm에서부터 5ppm까지의 억제제에서의 상향식 충전으로부터 약 25ppm의 억제제에서의 바닥 공동(bottom void)으로 전환되었다. 약 50ppm 이상의 억제제에서, 도금은 매우 순응적이었다. 따라서, 약 15ppm 이상의 억제제의 이용은 일부 실시예에서 가능한 특징부 충전으로부터 바람직하지 않다.To determine the effect of the inhibitor on the pre-wetting fluid, a solution of 100 g / L copper methanesulfonate, 16 g / L methanesulfonic acid (sometimes referred to as VMS (virgin makeup solution) Experiments were carried out using pre-wetting fluids comprising various amounts of polyethylene glycol having a molecular weight of 8,000. Side wall corrosion was not generally observed in these chloride-free pre-wetting fluids. However, as the concentration of the inhibitor reaching somewhere between about 5 ppm and 25 ppm, feature charge was significantly affected. The charge characteristics were converted from a bottom up charge at inhibitors from about 0 ppm to 5 ppm to a bottom void at about 25 ppm inhibitor. At inhibitors of greater than about 50 ppm, the plating was highly conformable. Thus, the use of more than about 15 ppm inhibitor is undesirable from possible feature fill in some embodiments.

도 12에 도시된 웨이퍼 기판 위에 구리 층을 전기-도금하기 위한 전기-도금 공정(1200)의 일부 실시예에서, 표면의 일부 또는 전부 위에 노출된 금속층을 갖는 웨이퍼 기판이 프리-웨팅 공정 챔버에 제공된다(1205). 그 후, 웨이퍼가 프리-웨팅 유체와 접촉하여, 웨이퍼 기판 위에 프리-웨팅 유체의 층을 형성한다(1210). 상기 프리-웨팅 유체는 물과 구리 이온을 포함하며, 도금 첨가제는 실질적으로 포함하지 않는다. 이러한 실시예에서, 도금 용액이 도금 첨가제를 포함한다. 프리-웨팅 유체에서 구리 이온의 농도는 도금 용액에서의 구리 이온의 농도보다 크다. 일부 실시예에서, 프리-웨팅 유체는 할로겐화물, 촉진제, 및 레벨러, 그리고 이들의 조합을 포함하는 첨가제를 실질적으로 포함하지 않는다. 일부 실시예에서, 프리-웨팅 유체는 약 15ppm 이하의 농도로 폴리에틸렌 산화물을 포함한다. 일부 실시예에서, 도금 용액 첨가제는 할로겐화물, 촉진제, 억제제, 및 이들의 조합을 포함한다. 그 후, 프리-웨팅된 웨이퍼가 구리 이온을 포함하는 도금 용액과 접촉하여 웨이퍼 기판 위에 구리의 층을 전기-도금한다(1215). In some embodiments of the electro-plating process 1200 for electro-plating the copper layer on the wafer substrate shown in FIG. 12, a wafer substrate having a metal layer exposed over some or all of the surface is provided in the pre-wetting process chamber (1205). The wafer then contacts the pre-wetting fluid to form a layer of pre-wetting fluid 1210 on the wafer substrate. The pre-wetting fluid comprises water and copper ions, and substantially free of plating additives. In this embodiment, the plating solution comprises a plating additive. The concentration of copper ions in the pre-wetting fluid is greater than the concentration of copper ions in the plating solution. In some embodiments, the pre-wetting fluid is substantially free of additives including halides, accelerators, and levelers, and combinations thereof. In some embodiments, the pre-wetting fluid comprises polyethylene oxide at a concentration of about 15 ppm or less. In some embodiments, the plating solution additive comprises a halide, an accelerator, an inhibitor, and combinations thereof. The pre-wetted wafer is then electroplated (1215) with a layer of copper over the wafer substrate in contact with a plating solution containing copper ions.

특청부 충전 공정을 돕거나 방해하는(예를 들어, 측벽 부식 회피 또는 순응적 유형 충전 작용 형성) 특징 프리-웨팅 유체의 가능성에 더하여, 프리-웨팅 유체의 조성과 특징부 충전 속도 사이의 관계가 존재한다. 특징부 충전의 속도와 비교하여 수행된 실험에서, 도금욕 용액 조성, 및 시간에 대한 도금 전류가 고정적이었고, 공정의 마지막에서 특징부 충전의 양이 모니터링되었다. 상기 실험은, 프리-웨팅 유체의 선택이 특징부 충전 속도와 시간에 극적인 영향을 끼쳤음을 보여주는데, 즉, 둘 이상의 인자에 의해 충전 속도가 종종 증가하고 충전 시간이 감소하였다.In addition to the possibility of feature pre-wetting fluids that aid or interfere with the specific filling process (e.g., sidewall corrosion avoidance or conforming type filling action formation), the relationship between the composition of the pre-wetting fluid and the feature filling rate exist. In the experiments performed in comparison with the speed of the feature charge, the plating bath solution composition and the plating current over time were fixed and the amount of feature fill at the end of the process was monitored. The experiment showed that the selection of the pre-wetting fluid had a dramatic effect on the characteristic fill rate and time, i.e. the fill rate often increased and the fill time decreased due to more than one factor.

이러한 효과에 있어서 임의의 특정 설명 또는 모델에 메이는 것을 원하지 않지만, 상당한 양의 금속염을 주로 포함하는 (예를 들어, 탈이온수와 대립하는 것으로서) 전도성 전해질을 갖는 것이, 특징부의 바닥에서 도금을 신속하게 시작하고 유지하는데 필요하다고 여겨진다. 일부 실시예에서, 프리-웨팅 유체는 필드에 대한 도금을 억제하기 위하여 필드에서 필요한 도금욕 첨가제(즉, 레벨러 및 억제제) 중 일부 또는 전부를 포함하지 않아야 한다. 일부 실시예에서, 프리-웨팅 유체는 도금 레벨러를 실질적으로 포함하지 않는다. 프리-웨팅 유체에 촉진제를 첨가하는 것이 일부 실시예에서는 유용하나, 촉진제(가령, 디메르캅토프로페인 술폰산(dimercaptopropane sulfonic acid)(SPS))는, 단순하게 프리-웨팅 유체에의 표면 노출에 의해, 두 갈래로 분기되어 매우 강한 흡착식 촉진제 단량체(가령, 메르캅토프로페인 술폰산(MPS))을 형성하는 경향이 있다. 이러한 촉진제 분기(bifurcation)는 충분이 빨라서, 도금 시작 전 전체 표면이 촉진제 흡착물로 포화된다. 따라서, 그 이후의 도금 시작시, 전류가 특징부의 복잡한 구조(intricacy)로 흘러들어가게 하기 위하여, 필드 및 상부 측벽은, 촉진제가 제거되거나 불활성화되기를 원할 수 있다. 이와 반대로, 금속 이온은 포함하지만 촉진제 또는 그 밖의 다른 첨가제는 함유하지 않은 프리-웨팅 유체는 억제성 첨가제가 계면-활성(surface-active)이 될 때까지, 욕조에 삽입시 고속으로 도금할 것이다. 일부 실시예에서, 프리-웨팅 유체는 하나 이상의 할로겐화물, 도금 촉진제, 및 도금 레벨러를 실질적으로 포함하지 않는다.Whilst not wishing to be bound by any particular description or model in this regard, it is believed that having a conductive electrolyte (e.g., as opposed to deionized water) predominantly comprising a substantial amount of metal salt, It is considered necessary to start and maintain. In some embodiments, the pre-wetting fluid should not contain some or all of the plating bath additives (i.e., levelers and inhibitors) needed in the field to inhibit plating on the field. In some embodiments, the pre-wetting fluid does not substantially include a plating leveler. Although it is useful in some embodiments to add a promoter to the pre-wetting fluid, an accelerator (e.g., dimercaptopropane sulfonic acid (SPS)) is simply added by surface exposure to the pre-wetting fluid , Which tends to bifurcate to form very strong adsorptive promoter monomers (e.g., mercaptopropanesulfonic acid (MPS)). This promoter bifurcation is fast enough so that the entire surface is saturated with the promoter adsorbent prior to the start of plating. Thus, at the beginning of subsequent plating, the field and top sidewall may wish to have the promoter removed or deactivated in order to allow the current to flow into the intricacy of the feature. Conversely, pre-wetting fluids that contain metal ions but do not contain accelerators or other additives will be plated at high speed when inserted into a bath until the inhibitory additive becomes surface-active. In some embodiments, the pre-wetting fluid is substantially free of at least one halide, a plating promoter, and a plating leveler.

더 적은 촉진제는 도금욕으로부터 특징부의 입구 및 더 낮은 영역으로 신속하게 확산할 수 있는 반면, 억제제 및 레벨러 분자는 좀 더 느리게 확산할 것이고 초기에 특징부의 상부 측벽에서 주로 작용할 것이며, 이로써 분극 접촉(polarization contact)을 형성하고 특징부 내로 전류가 흐르도록 할 수 있다. 촉진제 분자를 억제제/할로겐화물 조합에 의해 전개되는 분극을 불활성화, 제거, 또는 방해하는 역할을 주로 한다. 촉진제 그 자체는 단지, 분극성 억제제/할로겐화물 조합이 없는 무첨가제 용액(additive free solution)에 관한 약한 분극제(polarizing agent)일 뿐이다. 염화물과 같은 일부 할로겐화물은, 특징부 바닥에 억제제나 레벨러가 존재함이 없이, 비교적 작고, 유사한 활성을 갖고 촉진제 분자로 확산될 수 있으나, 표면 동력(surface kinetics)이 여전히 빠를 것이고, 도금 저항성은 매우 낮을 것이다(할로겐화물 단독은 일반적으로 비-분극성이고, 사실상 일부 문헌에서 할로겐화물이 스스로는 감극적(depolarizing)이라고 언급한다). 더욱이, 억제제가 없는 국소적 환경에서 일정한 시간의 지속 동안(즉, 임의의 억제제가, 억제제 나중 흡수 성향을 감소시키는 경향이 있는 "관성(inertial)" 도금 조건을 설정할 수 있기 전에) 강한 흡착식 MPS 촉진제 분자로의 SPS의 전기화학적 전환이, 분극을 피하고, 특징부의 바닥까지 상대적 도금을 증가시킨다. 이와 반대로, 특징부의 상부 벽 및 필드에서, 도금 용액에의 노출 직후에 억제제가 흡착되고, 레벨러는 촉진제의 흡착과 경쟁하여 위 장소에서의 분극을 제거하며, 따라서 그 곳에서의 분극이 매우 빠르게 전개된다. 도금욕으로의 담금 이후 시간이 지나감에 따라, 촉진제 및 할로겐화물과 같은 작은 분자가 특징부 내로 빠르게 확산될 것이나, 더 큰 레벨러 및 훨씬 큰 억제제는 훨씬 느리게 환산될 것이고, 이로 인해 내부에서 이들의 억제 효과가 지연될 수 있고 따라서 빠른 충전이 가능하게 된다.The less accelerant can diffuse quickly from the plating bath to the inlet and lower region of the feature, while the inhibitor and the leveler molecules will diffuse more slowly and will initially act primarily at the upper sidewall of the feature, contact can be formed and a current can flow into the feature. It mainly plays a role of inactivating, eliminating, or hindering the polarization developed by the inhibitor / halide combination of the promoter molecule. The promoter itself is merely a weak polarizing agent for an additive free solution with no polarization inhibitor / halide combination. Some halides, such as chlorides, may be relatively small, have similar activity and diffuse into the promoter molecule without the presence of inhibitors or levelers at the bottom of the feature, but the surface kinetics will still be fast, (The halide alone is generally non-polarizable and, in fact, in some documents the halide itself is referred to as depolarizing). Furthermore, it has been found that, for a sustained period of time (i.e., before any inhibitor can establish an "inertial" plating condition that tends to reduce the inhibitor propensity to absorb later) in a local environment without inhibitor, Electrochemical conversion of SPS to the molecule avoids polarization and increases relative plating to the bottom of the feature. Conversely, in the top wall and field of the feature, the inhibitor is adsorbed immediately after exposure to the plating solution, and the leveler competes with the adsorption of the promoter to remove the polarization at the location, so that the polarization there is very rapid do. As time passes after immersion in the plating bath, small molecules such as accelerators and halides will spread rapidly into the feature, but larger levelers and much larger inhibitors will translate much slower, The inhibition effect can be delayed and thus quick charging becomes possible.

특징부 크기에 따라, 특정 실시예에서, 특징부 내부로의 전도(seeding) 품질, 다양한 처리 비용, 및 그 밖의 다른 목표, 하나 이상의 프리-웨팅 유체가 서로의 것보다 선호될 수 있다. 표 1 내지 4는 본원에 기술된 것과 유사한 다수의 특징부 충전 실험 및 관찰에 기초한 것이며, 이들 표는 서로 다른 다수의 프리-웨팅 유체 조합에 있어서 특징부 부식의 경향 및 특징부 충전의 개선/지체(충전 속도)를 비교하여 질적으로 카테고리가 나누어진 것이다. 표 항목 "우수(EXCELLENT)"는 일반적으로 매우 바람직한 결과를 나타낸다(예를 들어, 씨앗 부식의 정황이 거의 없거나, 개선되거나 높은 특징부 충전 속도). 표 항목 "양호(GOOD)"는 모든 경우에서 최적일 수는 없다 하더라도 잠재적으로 수용 가능한 결과는 나타낸다(예를 들어, 씨앗 품질, 도금욕 등에 따라서). 표 항목 "좋음(fair)"은 통상적으로 사실상 한계점에 있거나 신뢰할 수 없는 성능을 포함하고, 종종 부정적이거나 조악한 결과로 인도할 수 있다. 마지막으로, 표 항목 "조악함(poor)"은 거의 예외 없이 수용할 수 없는 씨앗 부식을 나타내거나, 상당히 변화되거나(가령, 순응적 충전), 또는 감소된 충전 속도 행동을 나타낸다. Depending on the feature size, in certain embodiments, the seeding quality into the feature, various processing costs, and other goals, more than one pre-wetting fluid may be preferred over each other. Tables 1 to 4 are based on a number of feature fill experiments and observations similar to those described herein, and these tables show the tendency of feature sub-corrosion and the improvement / retardation of feature fill in a number of different pre-wetting fluid combinations (Charge rate), which is a qualitative category. The table item " EXCELLENT " generally exhibits very favorable results (e. G., Little or no context of seed corrosion), or improved or high feature fill rate. The table item " GOOD "indicates potentially acceptable results even though it may not be optimal in all cases (e.g., depending on seed quality, plating bath, etc.). The table entry "fair" is typically at a critical point or includes unreliable performance and can often lead to negative or coarse results. Finally, the table entry "poor" indicates seed corrosion that is unacceptable almost unchanged, exhibits significantly changed (e.g., compliant filling), or reduced filling rate behavior.

서로 다른 산 농도에 있어서의 결과가 주어진다. 둘 사이의 차이가 일반적으로 최소라고 밝혀졌다 하더라도, 황산염 또는 메탄 술폰산염의 금속에 대한 결과 또한 주어진다. "황산" 또는 "메탄 술폰산"이라는 이름이 주어진 표에서, 추가적 성분이 두 가지 유형의 산의 혼합물(단순히 동일한 화학성분을 갖는 더 많은 산이 아님)을 형성한다. 예를 들어, 표 2에서, 프리-웨팅 유체 전부가 2g/L 이상, 또는 황산 또는 메탄 술폰산을 포함한다. 행 1[즉, > 2 g/L의 메탄 술폰산(또는 황산)]에서 프리-웨팅 유체가 2 g/L의 황산과 2 g/L의 메탄 술폰산 모두를 함유한다. 행 2[즉, 2 g/L의 메탄 술폰산(또는 황산)]에서 프리-웨팅 유체가 2 g/L의 황산 또는 2 g/L의 메탄 술폰산을 함유한다. 황산은 메탄 술폰산과 대략 동일한 분자량을 가지며, 따라서 농도가 이러한 두 경우에서 대략 같으나, 황산은 모두 이양자성(二陽子)이고 서로 다른 해리 상수(H2SO4: MW= 98, pKa1 = 3.0, pKa2=2; CH3SO3H: MW= 96, pKa = 1.9)를 가지며, 따라서 동일한 양의 황산을 함유하는 용액의 pH는 더 낮을 것이다. 마지막으로, 서로 다른 염으로부터의 구리 용액의 온도는 제2구리(Cu++)의 리터 당 그램(grams per liter)으로 나타낸다(무수염(anhydrous salt) 또는 수화염(hydrated salt)이 아님).Results at different acid concentrations are given. Although the difference between the two is generally found to be minimal, the results for the metal of the sulphate or methanesulphonate are also given. In the table given the name "sulfuric acid" or "methanesulfonic acid", the additional component forms a mixture of two types of acids (not simply more acids with the same chemical composition). For example, in Table 2, all of the pre-wetting fluid contains 2 g / L or more, or sulfuric acid or methanesulfonic acid. The pre-wetting fluid contains both 2 g / L sulfuric acid and 2 g / L methanesulfonic acid in row 1 [ie> 2 g / L methanesulfonic acid (or sulfuric acid)]. The pre-wetting fluid in line 2 (i.e., 2 g / L methanesulfonic acid (or sulfuric acid)) contains 2 g / L sulfuric acid or 2 g / L methanesulfonic acid. Sulfuric acid has approximately the same molecular weight as methanesulfonic acid and therefore the concentration is approximately the same in these two cases, but the sulfuric acid is both diatomic and has different dissociation constants (H 2 SO 4 : MW = 98, pKa 1 = 3.0, pKa 2 = 2; CH 3 SO 3 H: MW = 96, having a pKa = 1.9), therefore the pH of the solution containing the same amount of sulfuric acid is lower. Finally, the temperature of the copper solution from different salts is expressed in grams per liter of secondary copper (Cu ++ ) (not anhydrous salt or hydrated salt).

이들 표로부터, 양호한 프리-웨팅 유체에 대한 다수의 일반적인 경향이 식별될 수 있으며, 상기 프리-웨팅 유체는, 특히 산(pH 2 이상)을 거의 포함하지 않거나 전혀 포함하지 않고, 높은 금속 이온 농도에 적당하며, 할로겐화물을 거의 포함하지 않거나 전혀 포함하지 않으며(<10 ppm), 억제제 같은 약 15ppm 이하의 PEG를 포함하고, 레벨러 도는 촉진제 도금 첨가제를 포함한다. 20 g/L에서부터 100 g/L 까지의 금속 이온을 포함하고, 용매(물) 및 저농도의 계면활성제(또는 계면활성제를 전혀 포함하지 않음) 이외에 다른 성분을 포함하지 않은 용액이 양호한 프리-웨팅 유체 조성물의 예시이다.From these tables, a number of general trends for good pre-wetting fluids can be identified, and the pre-wetting fluids have little or no acid (especially pH 2 or higher) , Contains little or no halide (<10 ppm) halogens, contains up to about 15 ppm PEG, such as inhibitors, and includes leveling or accelerator plating additives. A solution containing from 20 g / L to 100 g / L of metal ions and containing no components other than the solvent (water) and the low concentration of surfactant (or no surfactant) &Lt; / RTI &gt;

Figure pct00006
Figure pct00006

표1: 탈이온수(DI water) 및 다른 성분의 프리-웨팅 유체Table 1: Pre-wetting fluids of deionized water (DI water) and other ingredients

Figure pct00007
Figure pct00007

표 2: 2 g/L 이상의 황산 또는 메탄 술폰산, 및 다른 성분의 프리-웨팅 유체Table 2: Pre-wetting fluids of 2 g / L sulfuric acid or methanesulfonic acid, and other ingredients

Figure pct00008
Figure pct00008

표 3: 탈이온수, 2 g/L 이하의 황산 또는 메탄 술폰산, 및 다른 성분의 프리-웨팅 유체Table 3: Deionized water, 2 g / L sulfuric acid or methanesulfonic acid, and other components pre-wetting fluid

Figure pct00009
Figure pct00009

표 4: 탈이온수, 2 g/L 이하의 황산 또는 메탄 술폰산, 20 g/L 이상의 황산구리, 및 다른 성분의 프리-웨팅 유체
Table 4: Deionized water, 2 g / L sulfuric acid or methanesulfonic acid, 20 g / L or higher copper sulfate, and other ingredients pre-wetting fluid

결론conclusion

이해의 명확성을 위하여 전술된 장치 설계 및 방법이 세부적으로 기술되었으나, 첨부된 청구항의 범위를 벗어나지 않는 한 특정 변경 및 수정이 가해질 수 있음이 명백할 것이다. 본원에 기술된 공정 및 조성물 모두를 구현하는 많은 대안적 방법이 존재함을 주의해야 한다. 따라서, 본원 발명 실시예들은 예시적으로 고려되어야 하지 제한적으로 이해되어서는 안되며, 실시예들이 본원에서 제시된 세부적 사항에 제한되어서는 안된다.
Although the device design and method described above have been described in detail for clarity of understanding, it will be apparent that certain changes and modifications may be practiced without departing from the scope of the appended claims. It should be noted that there are many alternative ways of implementing both the processes and compositions described herein. Accordingly, the embodiments of the present invention should be considered as illustrative and not restrictive, and the embodiments should not be limited to the details set forth herein.

Claims (22)

전기 분해에 의해 웨이퍼 기판을 처리하기 전에 웨이퍼 기판을 프리-웨팅(pre-wetting)하기 위한 장치에 있어서, 상기 장치는,
프리-웨팅 전에, 용해된 하나 이상의 가스를 프리-웨팅 유체(pre-wetting fluid)로부터 제거하도록 구성된 탈가스 장치;
프리-웨팅 유체가 들어오기 위한 주입구를 가지며, 아대기압(subatmospheric pressure)에서 탈가스된 프리-웨팅 유체를 이용하여 웨이퍼 기판을 프리-웨팅하도록 구성된 공정 챔버; 그리고
상기 공정 챔버 내에 위치되고, 프리-웨팅 공정 동안 웨이퍼 기판을 고정하도록 구성된 웨이퍼 고정부
를 포함하는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
An apparatus for pre-wetting a wafer substrate prior to processing the wafer substrate by electrolysis, the apparatus comprising:
A degassing device configured to remove, before pre-wetting, one or more dissolved gases from pre-wetting fluid;
A process chamber configured to pre-wet the wafer substrate with a pre-wetting fluid degassed at subatmospheric pressure, the pre-wetting fluid having an inlet for entry of the pre-wetting fluid; And
A wafer fixture positioned within the process chamber and configured to fix the wafer substrate during the pre-
Wherein the wafer substrate is pre-wetted.
제 1 항에 있어서,
상기 탈가스 장치는 프리-웨팅 유체를 약 20℃ 이하의 온도까지 냉각하도록 구성되는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
Wherein the degassing apparatus is configured to cool the pre-wetting fluid to a temperature of less than or equal to about &lt; RTI ID = 0.0 &gt; 20 C. &lt; / RTI &gt;
제 1 항에 있어서,
상기 공정 챔버는 진공 펌프와 연결되도록 구성된 배출구를 포함하는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
Characterized in that the process chamber comprises an outlet configured to be connected to a vacuum pump.
제 1 항에 있어서,
상기 장치는 웨이퍼 기판 위에 프리-웨팅 유체를 액체 형태로 배달하도록 구성되는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
Wherein the apparatus is configured to deliver the pre-wetting fluid in liquid form onto the wafer substrate.
제 1 항에 있어서,
웨이퍼 기판은 하나 이상의 오목 특징부(recessed feature)를 갖고, 상기 장치는 웨이퍼 기판 위에 프리-웨팅 유체를 가스 형태로 배달하도록 구성되며, 프리-웨팅 유체는, 제거된 모든 비응축성 가스를 실질적으로 갖고, 뒤이어, 오목 특징부를 프리-웨팅 유체로 채우는 웨이퍼 기판 위에 액체막을 형성하기 위하여 응축하는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
The wafer substrate has one or more recessed features, wherein the apparatus is configured to deliver the pre-wetting fluid in gaseous form onto the wafer substrate, wherein the pre-wetting fluid has substantially all of the non-condensable gas removed , Followed by condensing to form a liquid film on the wafer substrate filling the concave features with the pre-wetting fluid.
제 1 항에 있어서,
상기 장치는 웨이퍼 기판의 프리-웨팅 동안 공정 챔버 내의 압력을 약 50torr 이하로 유지하도록 구성되는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
Wherein the apparatus is configured to maintain the pressure in the process chamber below about 50 torr during pre-wetting of the wafer substrate.
제 1 항에 있어서,
상기 장치는, 공정 챔버 내의 압력이 약 50torr 이하까지 감소된 후에, 공정 챔버 내부에 그리고 웨이퍼 기판 위에 프리-웨팅 유체의 주입을 시작하도록 구성되는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
The apparatus is configured to begin injecting pre-wetting fluid into the process chamber and onto the wafer substrate after the pressure within the process chamber has been reduced to less than about 50 torr. .
제 1 항에 있어서,
상기 장치는, 공정 챔버 내의 압력이 약 50torr 이하까지 감소된 후에, 웨이퍼 기판을 프리-웨팅 유체에 담그기 시작하도록 구성되는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
Wherein the apparatus is configured to begin immersing the wafer substrate in the pre-wetting fluid after the pressure in the process chamber is reduced to less than about 50 torr.
제 1 항에 있어서,
상기 웨이퍼 고정부는 웨이퍼 기판을 회전시키도록 구성되는 것을 특징을 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
And wherein the wafer anchoring portion is configured to rotate the wafer substrate.
제 9 항에 있어서,
상기 공정 챔버는 회전하는 웨이퍼 기판 위로 프리-웨팅 유체를 배달하도록 구성되는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
10. The method of claim 9,
Wherein the process chamber is configured to deliver pre-wetting fluid over a rotating wafer substrate.
제 1 항에 있어서,
상기 공정 챔버는 프리-웨팅된 웨이퍼 기판 위에 금속층을 전기-도금하도록 추가로 구성되는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
Wherein the process chamber is further configured to electroplate a metal layer on a pre-wetted wafer substrate.
제 1 항에 있어서,
상기 장치는 웨이퍼 기판을 공정 챔버로부터 전기-도금 장치로 운반하도록 구성된 운반 수단을 추가로 포함하는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
Wherein the apparatus further comprises a conveying means configured to convey the wafer substrate from the process chamber to an electroplating apparatus.
제 1 항에 있어서,
상기 장치는 모듈 내의 장소이고, 상기 모듈은 전기-에칭 공정(electroetching) 또는 전해-연마 공정(electropolishing)으로 구성된 그룹으로부터 선택된 양극성 공정을 위해 구성된 장소를 추가로 포함하는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
Characterized in that the device is a place in a module and the module further comprises a place configured for a bipolar process selected from the group consisting of an electroetching process or an electropolishing process. Apparatus for pre-wetting.
제 1 항에 있어서,
상기 장치는 모듈 내의 장소이고, 상기 모듈은 웨이퍼 기판을 금속으로 전기-도금하도록 구성된 전기-도금 장소를 추가로 포함하는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
Wherein the device is a location within the module and wherein the module further comprises an electroplating site configured to electroplate the wafer substrate to the metal.
제 14 항에 있어서,
전기-도금 장소는, 전기-도금 장소 내의 탈가스된 도금 전해질에 웨이퍼 기판을 담그도록 구성되는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
15. The method of claim 14,
Wherein the electroplating site is configured to immerse the wafer substrate in a degassed plating electrolyte in an electroplating site. &Lt; RTI ID = 0.0 &gt; 8. &lt; / RTI &gt;
제 15 항에 있어서,
상기 전기-도금 장소는, 웨이퍼 기판을 탈가스된 도금 전해질에 담그기 전에, 웨이퍼 기판을 음극적으로(cathodically) 편극시키도록 구성되는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
16. The method of claim 15,
Wherein the electroplating site is configured to cathodically polarize the wafer substrate prior to immersing the wafer substrate in a degassed plating electrolyte. &Lt; RTI ID = 0.0 &gt; 8. &lt; / RTI &gt;
제 1 항에 있어서,
공정 챔버 내의 압력을 아대기압까지 감소시키기 위한 프로그램 명령어; 그리고
그 이후에, 웨이퍼 기판 위에 웨팅 층(wetting layer)을 형성하도록 아대기압에서 웨이퍼 기판을 프리-웨팅 유체와 접촉시키기 위한 프로그램 명령어
를 포함하는 제어기를 추가로 포함하는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
The method according to claim 1,
Program instructions for reducing the pressure in the process chamber to sub-atmospheric pressure; And
Thereafter, program instructions for contacting the wafer substrate with the pre-wetting fluid at sub-atmospheric pressure to form a wetting layer on the wafer substrate,
&Lt; / RTI &gt; further comprising a controller, wherein the controller is further configured to:
제 17 항에 있어서, 상기 프로그램 명령어는,
아대기압에서 액체 프리-웨팅 유체를 웨이퍼 기판 위로 배달하는 동안 웨이퍼 기판을 제 1 회전수로 회전시키기 위한 명령어, 여기서 상기 유체 배달은 약 10초 내지 120초 동안 수행됨;
프리-웨팅 유체의 배달을 중단시키기 위한 명령어;
프리-웨팅 유체의 배달을 중단한 후에, 초과 표면 비말동반된(entrained) 프리-웨팅 유체를 웨이퍼 기판으로부터 제거하도록 웨이퍼 기판을 제 2 회전율로 회전시키기 위한 명령어
를 추가로 포함하는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
18. The computer readable medium of claim 17,
Instructions for rotating the wafer substrate at a first rotational speed during delivery of the liquid pre-wetting fluid at subatmospheric pressure onto the wafer substrate, wherein the fluid delivery is performed for about 10 seconds to 120 seconds;
Instructions for stopping delivery of the pre-wetting fluid;
Instructions for rotating the wafer substrate at a second rate of rotation to remove excess surface entrained pre-wetting fluid from the wafer substrate after stopping delivery of the pre-wetting fluid,
Further comprising the step of: pre-wetting the wafer substrate.
제 18 항에 있어서, 상기 프로그램 명령어는,
프리-웨팅 유체의 배달을 중단한 이후, 그리고 초과 표면 비말동반된 프리-웨팅 유체의 제거 전, 공정 챔버 내의 압력을 대기압까지 증가시키기 위한 명령어를 추가로 포함하는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
19. The computer readable medium of claim 18,
Further comprising instructions to increase the pressure in the process chamber to atmospheric pressure after discontinuing the delivery of the pre-wetting fluid and prior to removal of the excess surface entrapped pre-wetting fluid. - a device for wetting.
제 18 항에 있어서, 상기 프로그램 명령어는,
초과 표면 비말동반된 프리-웨팅 유체의 제거 이후, 공정 챔버 내의 압력을 대기압까지 증가시키기 위한 명령어를 추가로 포함하는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
19. The computer readable medium of claim 18,
Further comprising instructions for increasing the pressure in the process chamber to atmospheric pressure after removal of the excess surface entrainment pre-wetting fluid. &Lt; Desc / Clms Page number 19 &gt;
제 17 항에 있어서,
상기 장치는 원심성 회전(centrifugal spinning) 에어-나이프 건조(air-knife drying), 와이핑(wiping)으로 구성된 그룹으로부터 선택된 방법에 의해, 초과 표면 비말동반된 프리-웨팅 유체를 웨이퍼 표면으로부터 제거하도록 구성되는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
18. The method of claim 17,
The apparatus is configured to remove excess surface entrapped pre-wetting fluid from the wafer surface by a method selected from the group consisting of centrifugal spinning air-knife drying, wiping, &Lt; / RTI &gt; wherein the substrate is pre-wetted.
전기 분해에 의해 웨이퍼 기판을 처리하기 전에 웨이퍼 기판을 프리-웨팅(pre-wetting)하기 위한 장치에 있어서, 상기 장치는:
프리-웨팅 유체가 들어오기 위한 주입구를 가지고, 기포의 제거를 용이하게 하기 위하여 프리-웨팅 공정 동안 또는 프리-웨팅 공정 이후 대기압보다 더 높은 기압에서 동작하도록 구성된 공정 챔버;
상기 공정 챔버 내에 위치되고, 프리-웨팅 공정 동안 웨이퍼 기판을 고정하도록 구성된 웨이퍼 고정부
를 포함하는 것을 특징으로 하는, 웨이퍼 기판을 프리-웨팅하기 위한 장치.
An apparatus for pre-wetting a wafer substrate prior to processing the wafer substrate by electrolysis, the apparatus comprising:
A process chamber configured to operate at a higher atmospheric pressure than the atmospheric pressure during the pre-wetting process or after the pre-wetting process to facilitate removal of the bubbles, with an inlet for pre-wetting fluid entering;
A wafer fixture positioned within the process chamber and configured to fix the wafer substrate during the pre-
Wherein the wafer substrate is pre-wetted.
KR1020107026340A 2009-06-17 2010-06-16 Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling KR101265416B1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US21802409P 2009-06-17 2009-06-17
US61/218,024 2009-06-17
US12/684,787 US8962085B2 (en) 2009-06-17 2010-01-08 Wetting pretreatment for enhanced damascene metal filling
US12/684,792 2010-01-08
US12/684,792 US20100320081A1 (en) 2009-06-17 2010-01-08 Apparatus for wetting pretreatment for enhanced damascene metal filling
US12/684,787 2010-01-08

Publications (2)

Publication Number Publication Date
KR20110044834A true KR20110044834A (en) 2011-05-02
KR101265416B1 KR101265416B1 (en) 2013-05-16

Family

ID=44239939

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107026340A KR101265416B1 (en) 2009-06-17 2010-06-16 Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling

Country Status (1)

Country Link
KR (1) KR101265416B1 (en)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006004955A (en) * 2003-05-30 2006-01-05 Ebara Corp Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
KR101265416B1 (en) 2013-05-16

Similar Documents

Publication Publication Date Title
US10840101B2 (en) Wetting pretreatment for enhanced damascene metal filling
US10301738B2 (en) Methods and apparatus for wetting pretreatment for through resist metal plating
KR101105485B1 (en) Process for through silicon via filling
CN106245073B (en) Method for electrochemically filling large, high aspect ratio recessed features with metal, aqueous solution plating bath solution, plating apparatus and system
KR102348574B1 (en) Alkaline Pretreatment for Electroplating
US6709565B2 (en) Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
CN103305886B (en) For soaking method and apparatus of the pretreatment to carry out insertion resist metal plating
TW201439385A (en) Electrofill vacuum plating cell
KR20120026462A (en) By-product mitigation in through-silicon-via plating
KR20220025886A (en) Removal of byproducts from electroplating solutions
US8268155B1 (en) Copper electroplating solutions with halides
US20050109627A1 (en) Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
KR101265416B1 (en) Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160426

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180426

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190425

Year of fee payment: 7