KR101265416B1 - Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling - Google Patents

Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling Download PDF

Info

Publication number
KR101265416B1
KR101265416B1 KR1020107026340A KR20107026340A KR101265416B1 KR 101265416 B1 KR101265416 B1 KR 101265416B1 KR 1020107026340 A KR1020107026340 A KR 1020107026340A KR 20107026340 A KR20107026340 A KR 20107026340A KR 101265416 B1 KR101265416 B1 KR 101265416B1
Authority
KR
South Korea
Prior art keywords
wetting
wafer
fluid
wetting fluid
wafer substrate
Prior art date
Application number
KR1020107026340A
Other languages
Korean (ko)
Other versions
KR20110044834A (en
Inventor
스티븐 티. 마이어
데이비드 더블유. 포터
마크 제이. 윌리
로버트 래쉬
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/684,792 external-priority patent/US20100320081A1/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20110044834A publication Critical patent/KR20110044834A/en
Application granted granted Critical
Publication of KR101265416B1 publication Critical patent/KR101265416B1/en

Links

Images

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)

Abstract

A pre-wetting device design and method are disclosed. Such device designs and methods are used to pre-wet the wafers before plating the metal on the wafers' substrates. A composition of a pre-wetting fluid is disclosed that prevents corrosion of the seed layer on the wafer and improves the filling rate of the features on the wafer.

Description

[0001] Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling [0002]

Cross-reference to related application

The present application is related to U.S. Patent Application No. 61 / 218,024, filed June 17, 2009; 12 / 684,787 and 12 / 684,792, filed January 8, 2010, the entire disclosures of which are incorporated herein by reference.

The technical field of the present invention

The embodiments described herein relate to pre-wetting device designs and methods, and more particularly to pre-wetting device designs and methods for pre-wetting semiconductor devices prior to depositing an electrically conductive material on the wafers for integrated circuit fabrication. Device design and method.

Wetting is an attribute of the liquid / solid interface dominated by the adhesive force between liquid and solid and the cohesive force within the fluid. The adhesion between the liquid and the solid allows the liquid to spread over the solid interface. Cohesion in the liquid can minimize contact of the liquid with the solid surface. Wetting of a solid surface by a liquid is important in many industrial processes where the liquid interacts with the solid surface. Electro-plating (electrodeposition), including electroplating in integrated circuit fabrication (cathodic process), is one such industrial process. Wetting is also important in anodic processes, including electro-etching and electropolishing.

For example, in integrated circuit fabrication, a conductive material, such as copper, is deposited by electroplating onto a seed layer of metal deposited on the wafer surface by physical vapor deposition (PVD) or chemical vapor deposition (CVD). Electro-plating is an optional method for depositing metal in vias and trenches of wafers during damascene processes and dual damascene processes.

The damascene process is a method for forming an interconnection in an integrated circuit. This damascene process is particularly suitable for the fabrication of integrated circuits using copper as a conductive material. The damascene process involves the formation of inlaid metal lines in trenches and vias formed in a dielectric layer (an intermetal dielectric). In a typical damascene process, patterns of trenches and vias are etched in the dielectric layer of a semiconductor wafer substrate. Typically, a thin film layer of a tacky metal diffusion-barrier film, such as tantalum, tantalum nitride, or a TaN / Ta bilayer is then deposited on the wafer surface by a PVD process, and the top of this diffusion- A metal seed layer (e.g., copper, nickel, cobalt, ruthenium, etc.) is subsequently deposited. The trenches and vias are then electrofilled with copper and the surface of the wafer is planarized.

The present invention relates to a pre-wetting device design and method.

In one embodiment, an apparatus for pre-wetting the wafer surface prior to electrolytically treating the wafer surface is disclosed. The apparatus includes a degasser configured to remove one or more gases that are not dissolved prior to pre-wetting from the pre-wetting fluid, and a process chamber having an inlet for introducing the pre-wetting fluid. The process chamber is configured to pre-wet the wafer surface with degassed pre-wetting fluid at sub-atmospheric pressure. Inside the process chamber there is a wafer fixture positioned and configured to secure the wafer surface during the pre-wetting process.

In yet another embodiment, an apparatus for pre-wetting a wafer surface prior to electrolytically treating the wafer substrate is disclosed. The apparatus includes a process chamber having an inlet for introducing pre-wetting fluid. The process chamber is configured to operate at a pressure greater than atmospheric pressure during pre-wetting or after pre-wetting to facilitate bubble removal. Inside the process chamber there is a wafer fixture positioned and configured to secure the wafer substrate during the pre-wetting process.

Figure 1 shows a graph of feature size versus bubble dissolution.
Figure 2 shows a graph of dissolved gas pressure versus bubble dissolution time.
3 is a schematic representation of one embodiment of a pre-wetting apparatus.
Figure 4 shows an embodiment of a pre-wetting chamber.
5 is an isometric view of an embodiment of a pre-wetting chamber.
Figure 6 illustrates an embodiment of a pre-wetting chamber configured for a condensing pre-wetting process.
Figure 7 illustrates an embodiment of a pre-wetting chamber configured for immersion pre-wetting processes.
Figure 8 illustrates another embodiment of a pre-wetting chamber configured for a locked pre-wetting process.
Figure 9 illustrates an embodiment of an apparatus in which a pre-wetting process is performed in a plating cell.
Figure 10 shows an embodiment of an electro-plating system.
11A and 11B are flow charts of an embodiment of a pre-wetting process.
12 is a flow chart of an embodiment of an electro-plating process for electro-plating a metal layer on a wafer substrate.
Figure 13 shows a wafer substrate having features filled with pre-wetting fluid.

Reference will now be made to specific embodiments. Examples of specific embodiments are shown in the accompanying drawings. While the invention will be described in connection with such specific embodiments, it will be understood that the invention is not limited to these embodiments. Rather, alternative forms, modifications, and equivalents are intended to be included within the spirit and scope of the present invention as defined by the appended claims. In the following description, numerous details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these details. In other instances, well known process operations have not been described in detail so as not to unnecessarily obscure the present invention.

Apparatus designs and methods for wafer pre-wetting are disclosed herein to modify the conditions of wafer introduction, plating during plating, and pre-wetting fluid composition. The pre-wetting process according to embodiments provided herein may be performed in an electro-plating chamber, or may be performed in a separate pre-wetting location of the module including the pre-wetting site and the electroplating site. In some embodiments, the pre-wetting process and the electroplating process are performed in separate devices.

The substrate is typically a semiconductor wafer, which has a layer of a conductive material (e.g., a seed layer comprising copper or a copper alloy) present thereon. During electroplating, an electrical connection to the conductive layer is made and the wafer surface is negatively biased, thereby serving as a cathode. The wafer is contacted with a plating solution comprising a metal salt (such as copper sulfate, copper alkylsulfonate, or a salt), and the metal salt is reduced at the wafer cathode to deposit a metal on the wafer . In many embodiments, the substrate includes one or more concave features (e.g., vias and / or trenches), and such concave features need to be filled by an electroplating process. Further, in addition to the metal salt, the plating solution may include an acid, and typically includes a halide (e.g., chloride, bromide, etc.) used to control the electrodeposition on the various surfaces of the substrate, Enhancers, accelerators, levelers, and inhibitors.

The disclosed processes and associated devices can be fabricated using a wider (e.g., typically greater than 5 袖 m), and deeper (such as typically greater than 10 袖 m Lt; RTI ID = 0.0 > (e. G., Large) damascene structures (vias). Is described further in U.S. Patent Application No. 12 / 193,644, filed on August 18, 2008, for a perforated silicon via structure, which is incorporated herein by reference. Gas bubbles trapped or present on or in the surface will interfere with the field and feature plating process by blocking the surface of the feature with a non-conductive gas or by forming an obstruction to free passage of current. By the disclosed process and associated device design, void-free copper electrofilling is possible.

There are a number of challenges in electro-plating and electro-charging of TSV interconnection. This includes long plating times due to very wide or deep structures, and the formation of sidewall voids due to the seed layer corrosion reaction with the plating electrolyte solution and the insufficient coverage of the bottom sidewalls by the PVD-deposited seed layer do. Moreover, it is important to ensure that the interior of all concave features is filled with liquid and that there is no trapped gas inside the features that interferes with plating. It is also advantageous to simultaneously maintain strong wall and field plating growth-inhibition while selectively removing plating resistance at the bottom of the feature.

The pre-wetting device designs and methods described herein are generally described in the context of electro-plating (cathodic processing) of metals, particularly copper. However, the pre-wetting device designs and methods described herein are generally applicable to all electrolytic processes (e.g., electro-etching and electrolytic-polishing processes, both of which are anodic processes).

A method for forming a liquid filled bubble-free concave feature, which is required for the plating process, is described. Furthermore, compositions of pre-wetting fluids are disclosed that increase plating rates while minimizing seed layer corrosion.

Introduction

 The concentration of dissolved gas at the air interface with the liquid is related to the internal bubble pressure by Henry's law, and one form of Henry's law can be expressed as:

C i = x i H i P i (1)

Here, the subscript i refers to the "inside" of the bubble, C i is a liquid phase (liquid phase) the concentration (for example, moles / l of nitrogen in each, oxygen, etc.) of gas molecular components soluble in the bubble surface, x i Is the mole fraction of the molecular component in the gas phase itself within the bubble, H i is the Henry's law constant, and P i is the pressure inside the bubble.

The above equation can be expressed for each molecular component of gas in a mixture of gases (for example, one for oxygen, one for nitrogen, etc.). There is a similar equation for the concentration of dissolved gas in a bulk solution, where the subscript b is a gas phase which equilibrates with the concentration (C b ) of the species in the bulk, e. G. ) is used to indicate a solution "bulk" having the same P and P b represents the pressure. By assuming that the diffusion rate of the gas molecules from the inside of the bubble gas phase to the bubble / liquid surface is not limited (by ignoring the 2D and 3D dispersion effect), and thus the concentration between the gas dissolved in the bubble interface and the gas inside the bubble , A useful approximation of the rate of gas dissolution from the trapped bubbles inside the feature can be obtained, and this rate approximation is expressed as: < RTI ID = 0.0 >

R = dV / dt = DH ( x i P i - x b P b) / h (2)

Where D is the diffusion coefficient of the gas in solution, h is the distance from the top of the trapped bubble to the edge of the thickness of the boundary layer located at the delta distance above the upper wafer plane, V is the volume of the bubble gas, t is the time, And the subscript b corresponds to the condition at the bulk of the solution at the diffusion boundary layer interface. For a given chemical system at a fixed temperature (constant Henry's law constant and diffusion coefficient), two factors: 1) a large concentration difference / driving force (x i P 1 - x b P b ); And 2) a short diffusion distance (h) can lead to relatively fast bubble melting.

If the value of the propulsive force H (x i P 1 - x b P b ) is 0, the dissolution rate is zero. In general, the term is very small. Since gas in the bubbles is typically derived from the air inside the vias of the wafer prior to the pre-wetting process and the liquid is saturated with the same air as the air typically before the pre-wetting process, Will be equal to the mole fraction of air (for example, x = 0.21 for oxygen, in both bubble and bulk solutions). Thus, in this situation and generally (unless other means are used to enhance bubble dissolution), the propulsion is primarily a natural capillary pressure difference within the bubble outgas, It causes dissolution.

Due to the strong internal capillary forces, the trapped gas in the small damascene features (e.g., vias) can exhibit very large internal pressures. Total internal capillary pressure is proportional to the contact angle and surface tension and is inversely proportional to the radius of curvature of the bubble.

P i = P ext +? Cos? / R (3)

Where P i is the total internal pressure in the bubble, P ext is the external pressure of the fluid (typically about 1 atmosphere), σ is the liquid / gas surface tension, θ is the solid / liquid / gas contact angle, It is a radius. The radius of curvature r may not be substantially different from the feature width and may thus be replaced by a radius of the via as an approximation to the radius of curvature of the bubble. For small vias, the total internal pressure (and thus the partial pressure of each component) can be very large, thereby exceeding more than several atmospheres. This causes such a large internal pressure to result in a non-equilibrium state with respect to the bulk of the solution, and the bubble interface is considerably supersaturated with respect to the amount of gas dissolved in the bulk of the solution at the same pressure (i. E. Is greater than the solubility of the gas in the liquid). This satisfies one of the conditions for fast bubble dissolution. For small vias, the short diffusion distance "h" also aids the fast dissolution rate.

Conversely, large vias with larger radius bubbles have a small excess internal pressure and a much longer diffusion distance. As a function of via depth for vias with a 3: 1 aspect ratio (depth-to-width) for vias filled initially with 50% gas at atmospheric pressure, various conditions (i.e. partial pressure of dissolved gas, / RTI > is calculated / modeled for complete bubble dissolution is shown in FIG. For all of the processes shown in Figure 1, the σ of the vias = 60 dyne / cm (for example, for water), D = 1.9E-5 cm 2 / sec ), T = 20 [deg.] C, and Vi = 50%.

Vi is the initial volume of bubbles under 1 atmospheric pressure (i. E., Only 50% of each vial is filled with bubbles to produce this plot). In the case of P ext = 0.2, the pressure on the fluid is still equal to 1 atm, but the partial pressure of the gas dissolved in the bulk of the liquid is equal to the pressure equilibrating with a gas pressure of only 0.2 atm. For example, the above conditions can be achieved by forming trapped bubbles by allowing the fluid having a pressure of 0.2 atmospheres to overflow the surface while the pressure of the gas on the degassed fluid is 1 atm. In the case of P ext = 3, the amount of gas dissolved in the liquid equals the pressure which equilibrates with the pressure of 1 atm, but the pressure for liquid and air bubbles is equal to 3 atm. For example, the above conditions can be achieved by causing the atmospheric-saturated liquid to flood the surface and then trapped bubbles by applying external pressure to the 3-atmosphere via / liquid / wafer. .

Graphs B and C (pre-wetting fluid degassed to a partial pressure equal to 0.2 atmospheres) are shown in graphs A and F (the amount of ungashed pre-wetting fluid, gas equals pressure equilibrating with 1 atm air) By comparison, the degassed solution has a shorter bubble dissolution time. Comparison of graphs F and C is similar, but the boundary layer thickness and dissolution time are greater because the wafer rotated at slower speeds (90 rpm versus 12 rpm at A and B).

The graphs A and F of Figure 1 show that the dissolution time of the bubbles within the vias in which the solution is saturated with air varies by more than 5 digits (over 10 5 ) between the size of 0.2 μm and the size of 50 μm. In small submicron features, bubbles are unstable and dissolve quickly, while in larger features bubbles will persist for a very long time. For example, the calculation results show that the gas will be completely dissolved in 4 seconds due to the relatively large front end of the line structure with a diameter of 1 m and a depth of 4 m, which is fully filled with gas. Conversely, a 0.25 μm feature at 1 μm depth will be very unstable and will dissolve within 0.4 seconds, and in a smaller structure it will essentially dissolve immediately. However, in a large TSV scale structure, there are no desirable factors (i.e., high internal pressure and short diffusion distance). On the contrary, the calculation results show that it takes more than 2 hours to dissolve the bubbles in the width of 25 μm and the depth of 100 μm. Even if the feature is filled with only 10% gas at the bottom, it will still take 20 minutes or more for the gas to be removed.

The time to dissolve the trapped bubbles is reduced by removing gas from the pre-wetting fluid. In this case, the right term of the propulsive force (x b P b in Eq. 2) is obtained by removing the gas from the solution (for example by reducing the partial pressure of the gas exposed to the pre-wetting fluid in a degassing unit under partial vacuum) (I.e., by reducing the size of the product at the gas side of the degassing unit, the gas is removed from the liquid). (When significant capillary pressure is present) the gas in the trapped bubbles is at a pressure of at least 1 atmosphere. At the bubble interface, the concentration of the gas will be at a concentration that is nearly in equilibrium with a pressure equal to or greater than 1 atmospheres, but in solution the overall concentration is much lower due to the degassing operation. This results in a significant concentration of propellant force in the solution and a degree of sub-saturation of the gas (chemical "capacity") which can rapidly dissolve the bubbles.

These procedures may initially appear attractive, but two restrictions may apply. First, for large and deep vias, the diffusion distance to the gas may still be a significant limiting factor. Second, since the amount of gas in the solution can never be less than zero, the magnitude of the propelling force for dissolution is limited to about Hx i P (P = 1 atm) or less. Comparing graphs B and C of FIG. 1 with graphs A and F, the dissolution rate of large features (e.g., 50 占 퐉) is reduced by more than one order of magnitude (more than 10 times) It is too long to do (eg, at least 5-10 minutes). Since the process is dominated by a large excess internal bubble pressure compared to an increase in the molten gas propulsion force of one atmosphere, the dissolution rate of the smaller features is virtually unaffected by the use of the degassed solution.

Figure 2 shows the bubble melting time for various feature dimensions (at 90 rpm, at 60 dyne / cm), where the amount of dissolved gas is an independent parameter. In each case, initially, the bubble is 50% of the via size, and even though the dissolved partial pressure changes as a function of the x-axis, there is an external pressure of one atmosphere for the fluid and bubbles. For clarity, in FIG. 2, the concentration of the dissolved gas corresponds to dissolved gas on the x-axis in connection with Henry's law. This partial pressure will be obtained, for example, by degassing the contact fluid to an extent of the x-axis parameter. The bubbles in the smaller, less deep features dissolve faster and the velocity is promoted by the larger internal capillary pressure. Again, by reducing the partial pressure on the smaller features, it has a lesser relative effect on dissolution time reduction. For larger features (e.g., 50 [mu] m x 150 [mu] m), the advantage is reduced by reducing the partial pressure of the dissolved gas to 30-40% or less of the saturated state. At the smallest and shallower feature, the dissolution time exceeds 100 seconds. Feature depth is a significant limiting factor in all cases, with deep features having a long dissolution time.

Device

In general, the device designs and methods described herein provide for the removal of gases (primarily both non-condensable gases) (e.g., nitrogen and oxygen) from the interior of the features prior to pre-wetting the surfaces and features with the fluid Thereby preventing the formation of bubbles in the recessed features (e.g., vias) on the substrate. To this end, a wafer having recessed features in a container (e.g., a vacuum container) suitable for holding the wafer and removing gas from the wafer surface is disposed. In addition to such a container itself, there is a need for means for removing gas (e.g., a line connected to a vacuum source, such as a pump) while the vacuum is maintained, and means for depositing liquid on the surface.

Various device designs are described herein for pre-wetting wafers before the start of the plating process or shortly after the start of the plating process, where bubbles and gases, which may otherwise be trapped within recessed features in the surface, Is not trapped. Embodiments of the pre-wetting apparatus include various components. Typically, the pre-wetting apparatus includes a pre-wetting fluid storage and return tank comprising a liquid mixing device, a liquid level controller, and a sensor. In some embodiments, the apparatus includes a pre-wetting fluid degassing flow loop. In some embodiments, such a degassing flow loop includes a circulating pump, a routing / switching valve, a liquid degassing element, and a plurality of liquid degassing elements (such as tools and various liquid degassing elements on the pre- And a connection between the system vacuum pump (which is used to pump-down and vacuum). The pre-wetting apparatus also includes a pre-wetting chamber. In some embodiments, the pre-wetting chamber comprises a vacuum wafer access door or lid (open / closed) for accessing the chamber, located in two places, a combined door / lead, And a splash shield to prevent liquid from dropping thereafter from the top wall or door to the wafer surface. In some embodiments, the interior of the chamber has a wafer securing portion for supporting and rotating the wafer within the chamber. In some embodiments, the chamber includes an air-dome chamber-heater, which, if otherwise, is present on the wafer and the vacuum wafer access door, and potentially liquid that can be dropped onto the wafer It is used to prevent condensation on the wall of the chamber. The pre-wetting chamber typically comprises an inlet port for injecting a pre-wetting fluid into the chamber to cause the pre-wetting fluid to reach the upper surface of the rotating wafer, and an inlet port for evacuating the chamber, Wherein the injection line comprises a particle filtering device and the injection port comprises a flow diffuser configured to disperse the incoming gas flow to minimize chamber flow turbulence, . In some embodiments, the chamber includes a liquid level sensor for monitoring an empty / ready state and an overflow state / overflow condition. The pre-wetting chamber also includes an outlet for removing liquid from the chamber and directing the discharged liquid back to the storage tank.

Embodiments described herein can be used to (1) substantially remove all non-condensable gases in the atmosphere from above the wafer and from within the vias, and then pre-wetting the wafer with the pre- ≪ / RTI > And / or (2) significantly increasing the rate at which the bubbles are dissolved by applying a large external pressure to the fluid thereby causing the bubbles to dissolve in the fluid, by forming a largely supersaturated state at the air interface Overcomes the deleterious effects of bubbles that can form in the vias or trenches in the wafer. In addition to these pretreatment and pre-plating measures, in some embodiments, plating is performed in a plating solution that is maintained in a degassed state, and in other embodiments, a plating solution is removed in a line immediately before being exposed to the wafer surface Gas.

In some embodiments, it is possible to perform pre-wetting in the electro-plating cell, wherein the pre-wetting fluid has the same composition as the plating solution. However, for various reasons, including hardware complexity of plating and vacuum process combinations, pre-wetting (including vacuum feature-refilled pre-wetting) is often performed in a different cell, sub-cell, or module than the plating cell . When the pre-wetting under vacuum is performed in a distinctly different area of the plating cell than in the plating solution or in a module that is clearly separated from the plating cell, a composition of the pre-wetting fluid can be selected. The pre-wetting fluid may have a composition that is the same as or very similar to that used to subsequently coat the wafer. The pre-wetting fluid comprises all of the elements of the plating bath (e.g., the same solvent, dissolved same metal ion, acid, cation, additive, and halide at the same or very similar concentration as in the plating solution) . Such pre-wetting fluid may be used in some embodiments. Alternatively, in other embodiments, a substantially different pre-wetting fluid than the plating solution may be used. For example, in some embodiments, 1) water, 2) a fluid having a metal ion concentration substantially greater than the plating solution, 3) a fluid having lower and different halide combinations or halides that are not dissolved at all, 4 ) One of the plating additives, a minority, or a fluid free of all plating additives, or 5) a pre-wetting fluid of a water-miscible solvent may be used as the pre-wetting fluid. Such pre-wetting fluids are further described herein.

a) possible corrosion of the metal layer on the wafer substrate prior to the start of plating; b) possible inhibition of the plating process (i. e., slowing down of the feature metal-fill process or complete inhibition of the process); c) possible loss of pre-wetting fluid for subsequent pre-wetting fluid reuse; And d) the ability to vary (by addition, dilution, or concentration) of various critical chemical species concentrations in the plating bath over time. A number of factors must be considered when selecting the pre-wetting fluid composition. In the above-mentioned changing process, the metal ion concentration in the plating bath, the halide concentration, the organic additive, and the like can be changed. This effect can be quite substantial. Furthermore, it would be advantageous to employ a pre-wetting process in the same module without activating the appropriate means of removing and recovering the excess of the exported pre-wetting fluid to be added to the plating solution when using a pre-wetting fluid of a composition different from the plating bath Monitoring, and / or correcting means for plating solution solution over time. On the other hand, the use of the process and hardware in which the pre-wetting operation is performed in a separate processing station, module, vessel, or sub-vessel of the plating cell that enables the separation and recovery of the fluid may be advantageous, This problem can be avoided. With this in mind, and in order to simplify the description of the core concept of the embodiment, various embodiments are described below in the context of separate pre-wetting "places" and separate "plating places" Quot; to "place" of the latter. However, although it may be desirable in some situations (e.g., to avoid mixing of unequal liquids or for other reasons), it may be advantageous to use a pre-wetting material, a general fluid, The aspects are not intended to be limiting.

Figure 3 shows an illustrative layout of one embodiment of the pre-wetting apparatus (i.e., chamber 301 and associated hardware). Chamber 301 is connected to the vacuum pump 303 through an outlet in the chamber and through a three-way valve connection 605. [ On the other side of the three-way valve, a degassing loop (not shown) including a pre-wetting fluid tank 307, a degassing device 309, and a pump 311 rotating the pre- 306). In another embodiment, the pre-wetting injection line and the vacuum line are not connected except in the chamber, and the above lines each have their own valve (i.e. not a three-way valve). In an alternative embodiment, the chamber has an inlet adapted for connection of the inlet with the pre-wetting fluid and an outlet adapted for connection with the vacuum pump. When the fluid is desired to flow into the chamber by the pump rather than being sucked into the chamber by pressure differential between the pre-wetting fluid tank 307 and the chamber 301, Elements can be following.

In some embodiments, by applying a vacuum to the holding tank using a vacuum pump (not shown), the gas is removed in the region within the pre-wetting fluid contained in the tank 307, The amount of gas can be minimized. It is also contemplated that the velocity of the gas from the pre-wetting fluid may be increased by, for example, allowing fluid to be injected back into the chamber from the circulation loop in the spray or by increasing the surface of the fluid exposed to the vacuum through the spray column Or gas removal can be increased. In the embodiment of the system shown in Figure 3, the pre-wetting before pre-wetting fluid one or more non-dissolved gas from the degassing device 309 in order to remove (e.g., O 2 and N 2 both) (e. G., Some In an embodiment, the pre-wetting fluid is circulated through a membrane contact degasser. Examples of commercially available degassing devices include Liquid-Cel ( TM) from Membrane of Charlotte, pHasor ( TM) from Entegris, Chaska, Minnesota. The amount of undissolved gas can be monitored using a suitable meter (e.g., a commercial undissolved oxygen meter (not shown).) As described herein, the pre-wetting fluid is injected into the chamber 31 After degassing the pre-wetting fluid, the valve (s) between the vacuum side of the degassing chamber 309 and the vacuum pump 303, (Thereby preventing the gas in the chamber from initially dissolving in the degassed pre-wetting fluid; in some embodiments, a separate pump can be used for these two functions).

Unlike the conditions that exist when using a device configured similar to that of FIG. 3, when the pre-wetting fluid is not degassed before exposing the pre-wetting fluid to the wafer under vacuum, undissolved gas from the fluid is introduced into the chamber And may be released from the fluid by injection. This results in the formation of bubbles inside the vias. Where it is not desired to be limited by a particular model or theory, the via bottom is a negative curvature location and the location is particularly susceptible to nucleation of the bubbles and release of the gas from the pre-wetting fluid. In this case, bubbles will form from the pre-wetting fluid containing the undissolved gas because the pre-wetting fluid is saturated with gas under pre-wetting conditions (e.g., vacuum in the chamber). The bubbles thus formed may remain there after the pre-wetting process, which in turn may interfere with the plating there and result in associated defects. Thus, in some embodiments (including the embodiment shown in FIG. 3), the pre-wetting fluid used in the pre-wetting process is a degassed pre-wetting fluid. In some embodiments, the degassed pre-wetting fluid may be a plating solution, and the pre-wetting process described herein may be performed in the same chamber as the plating chamber itself. If separate pre-wetting chambers and devices are used but the pre-wetting fluid is not degassed, intermittent unreliable filling results can be observed. For example, if the vias on the wafer are filled with the pre-wetting fluid without first degassing the pre-wetting fluid (using a wafer under vacuum), then (as indicated by the same percentage with post-plating void It was found that approximately 15% of the vias still had air bubbles therein. Thus, in some embodiments, it is important to perform pre-wetting with a degassed fluid under vacuum (i.e., at subatmospheric pressure).

Conversely, in some embodiments, by using the degassed pre-wetting fluid in combination with the pre-wetting operation under vacuum (i.e., at subatmospheric pressure), the pre-wetting under vacuum can be significantly So that fewer feature cavities can be created. In a specific embodiment that provides good protection against cavitation, the combination of degassed pre-wetting fluid and pre-wetting under vacuum is further combined with plating in the degassed plating solution. The plating solution may be degassed only in the initial stage of plating (e.g., only about the first 10 minutes of the plating process), or may be degassed during the entire plating process (e.g., if the plating time is longer) It may remain. Experiments performed under these conditions resulted in voidless vias.

3, a three-way valve 305 from the degassing loop 306 to the vacuum pump location to be connected to the line, after reaching a low value (i.e., subatmospheric pressure) in the chamber 301, And a three-way valve 313 of a degasser loop is set to direct the fluid into the vacuum chamber 301. As shown in Fig. In some embodiments, the subatmospheric pressure is approximately equal to the boiling pressure of the pre-wetting fluid at the operating temperature, and such boiling pressure is about 20 torr in water at ambient temperature. In yet another embodiment, the subatmospheric pressure is about 50 torr. In a further embodiment, in a further embodiment, a pressure of 50 torr is maintained during pre-wetting of the wafer substrate. In an alternative embodiment, the pre-wetting system is configured so that the pre-wetting fluid begins to be injected into the chamber and onto the wafer substrate after the pressure in the chamber has been reduced to less than about 50 torr. When the pre-wetting fluid tank 307 is at atmospheric pressure, liquid is introduced into the chamber 301 by the pressure difference between the vacuum chamber and the pre-wetting fluid tank.

The pre-wetting fluid wets the device side of the wafer surface of the wafer in chamber 301. The needle valve 317 may be used to meter the flow of the pre-wetting fluid into the chamber 301. An embodiment of the chamber 301 is described herein. In some embodiments, the chamber 301 is a pressure chamber configured to exert external pressure to increase the rate of bubble dissolution, as described herein. In a further embodiment of the pre-wetting apparatus, the pre-wetting apparatus comprises a transfer means configured to transfer the wafer substrate from the pre-wetting chamber to the electro-plating apparatus.

In some embodiments, the pre-wetting fluid is cooled before being injected into the pre-wetting chamber (e.g., 0 ° C in water, or -10 ° C in a suitable electrolyte). In another alternative embodiment, a degasser is configured to cool the pre-wetting fluid to a temperature below about 20 占 폚. In another example of a method for cooling a pre-wetting fluid, an in-line cooler (both not shown in FIG. 3) or an in-line cooler And passing the fluid through. By cooling the pre-wetting fluid, the partial vapor pressure of the solvent of the pre-wetting fluid is reduced, whereby a greater vacuum condition can be applied, for example, to the degassing device. In addition, lowering the temperature of the pre-wetting fluid can be effective in increasing the surface tension and viscosity of the pre-wetting, thereby causing a "blow through" or "weeping" phenomenon of the degassing device There is a tendency to make them appear less. The wiping phenomenon can be a particularly difficult problem when dealing with pre-wetting fluids containing salts, since salt-wise fluid wiping tends to dry and destroy the pores of the degassing device. By using lower temperature fluids, it is possible to reduce the tendency of salt-rich electrolytes to evaporate and flow, thereby avoiding the cause of failure of such known degassing devices. For example, the vapor pressure of water (with a small amount of salt) is about 2.7 torr at -10 ° C compared to 17.5 torr at 20 ° C and 32 torr at 30 ° C. In a 20 torr vacuum applied to the degassing device (yielding a result that about 0.5 ppm of atmospheric gas is dissolved), the pre-wetting fluid at 30 占 폚 will literally boil and the salt around the pores of the degassing device And the pre-wetting fluid at 20 占 폚 will quickly evaporate. However, when using a pre-wetting fluid of -10 ° C, very weak degassing device salting occurs. Thus, in general, more dissolved gas can be more effectively removed from the degassing device in lower temperature fluids. In some embodiments, the pre-wetting fluid is cooled to a temperature below 20 占 폚 (e.g., below 0 占 폚) while the pre-wetting fluid is degassed and before the fluid is introduced into the process chamber. In addition, by reducing the temperature of the pre-wetting fluid, the rate of metal corrosion in the pre-wetting system can be reduced.

In some embodiments of the pre-wetting apparatus, the surface of the wafer is wetted with pre-wetting fluid, followed by external pressure being applied to the fluid. The wafer surface is first contacted with the fluid using suitable means, thereby generally locking the wafer into the pre-wetting fluid (as described herein). In this embodiment, the pre-wetting chamber includes an inlet through which pre-wetting fluid can enter, and the chamber is configured to operate at a pressure higher than atmospheric pressure during pre-wetting or after pre-wetting. By applying an external pressure to the fluid, bubble removal is facilitated. In some embodiments, the pre-wetting fluid may include oxygen, as well as any dissolved non-condensable gases such as nitrogen and carbon dioxide, prior to pre-wetting of the surface to promote dissolution rate of any bubbles trapped within the recessed features (E.g., to minimize metal corrosion on the wafer). Exposure of wafers to deoxygenated treatment fluids for semiconductor wafer processing is described in U.S. Patent Nos. 6,021,791 and 6,146,468, both of which are incorporated herein by reference.

After the wafer is immersed in the pre-wetting fluid or after the wafer is covered with the pre-wetting fluid, the wafer peripheral zone (e.g., the pressure chamber) is closed and sealed and an external pressure is applied to the chamber and fluid. The pressure may be applied pneumatically (e.g., by injecting a high pressure gas into the chamber over the area above the fluid), or the pressure may be exerted (e. G., In a chamber substantially free of dissolved gas, (E.g., using a hydraulic piston or other suitable device to apply to the fluid). As the pressure in the chamber increases, the bubble will decrease from its original size. When pneumatic (gas) pressure is used to compress the trapped bubbles, it may be important to avoid the phenomenon of a significant amount of gas dissolving into the pre-wetting fluid, especially near the bubble. In some embodiments, a relatively thick layer of fluid (e.g., a thickness greater than 1 cm) is used. In another embodiment, pneumatic pressure is applied to the chamber through a long tube having substantial resistance to dissolution of the gas relative to reaching the interface so that the gas in contact with the liquid contacts over a relatively small surface area and a relatively long diffusion Path to limit the amount of gas that can be dissolved in the fluid depending on the time period. However, if pressure is applied, the driving force for dissolving the trapped bubbles will be increased through the applied pressure. For large bubbles without significant capillary pressure effects, the thrust for dissolution is determined by the product of the initial mole fraction of a particular gas component in the bubble, the difference between the pressure applied to the chamber and the initial partial pressure of the dissolved gas in the fluid, Will be the same. The quantity of the difference will depend on the degree of degassing performed on the pre-wetting fluid.

In a pre-wetting embodiment where the wafer is not immersed in the pre-wetting fluid but covers the wafer with a thin layer of pre-wetting fluid, pneumatically applied external pressure may potentially cause gas For example, de-gassed) pre-wetting fluid. There is a competition between gas uptake from an externally pressurized gas source and gas dissolution from bubbles into the liquid. Thus, a relatively thick layer of pre-wetting fluid should be used in a non-immersion pre-wetting process. There is also a limited number of practical means for applying hydrostatic pressure to the layer of thin pre-wetting fluid on the wafer. One possible means for this is to form a face-up wafer and a cup to hold the pre-wetting liquid fluid. Conversely, there is a much wider tolerance in the thick pre-wetting fluid and the locked pre-wetting process. This is because the pressure can be transferred to the bubbles by pure hydrostatic mechanisms and, alternatively, the application of the pneumatic pressure causes the pre-bubbles around the bubbles in the vias with gas due to the relatively long diffusion distance, It will not quickly re-saturate the wetting fluid.

When a pressure is applied that has a gas partial pressure in the bubbles that exceeds the pressure in the pre-wetting fluid, the bubbles will begin to melt. Eventually, the bubble will completely dissolve, and the total time it will take will depend on the initial size of the bubble, the applied pressure, and the original depth of the bubble in the feature. After the bubbles are completely dissolved, a certain amount of time is required before the pressure is relieved so that any excess amount of dissolved gas (over an amount that can be dissolved at 1 atm) can equilibrate in the pre-wetting fluid as a whole Should be passed. This avoids the possibility of bubbles being re-nucleated in the features. When this procedure is followed, the bubble will be removed from the feature and the bubble will not be reformed when the excess external pressure is relieved.

Referring again to FIG. 1, graphs D and E (rotations of 12 rpm versus 90 rpm, respectively in the plating bath) are estimated for the rate of bubble dissolution as described above, but in this case a) the amount of initial gas dissolved in the solution 1 Equivalent to the amount of equilibrium with air pressure (same as condition A, ie the contact fluid is not degassed), b) an external pressure of 3 atm is applied. In such a case, the total pressure of the gas dissolved in the bulk fluid is equal to 1 atm of air, and at the interface of the bubbles equilibrates with the pressure of 3 atm. As a result of the comparison of A and F in Fig. 1 (no degassing, no pressurization), B and C (no degassing or pressurization) and D and E (no degassing but pressurization) From the viewpoint of achieving dissolution time, pressurization of the fluid appears to be a good method. By using previously degassed pre-wetting fluid (0.2 atmospheres) with 3 atmospheric pressure external fluid pressurization (case not shown in FIG. 1), the degassing time for generally large features is calculated to be 50 (3-1 = 2 atmospheric propulsion power vs. 3-0 = 3 atmospheric propulsion).

However, using a degassed fluid in the above process beyond a simple reduction of the gas removal time (which can be achieved, for example, by simply increasing the pressure to a pressure of 4 atm in this case, for example) may potentially present additional significant advantages do. After relieving the externally applied pressure to the chamber, the gas from the bubbles and some of the gas from the external source (if pneumatically derived) will be dissolved into the pre-wetting fluid. As noted above, the fluid (especially the fluid in the feature) can be dissolved in ambient conditions / pressure after the pressure is relieved, unless it is waiting for an equilibrium state (which may be a relatively slow process that takes more than a few minutes) There is a tendency for bubbles to re-coagulate and reform within the vias because they can still contain gases at concentrations that exceed the concentration (i.e., concentrations that exceed equilibrium at 1 atmospheric pressure). Conversely, if the fluid is degassed prior to the application of externally applied pressure, the equilibration time can be greatly reduced, which can be substantially reduced by absorbing gas from the bubbles and thereby avoiding the re-agglomeration and precipitation of the bubbles This is because there is excess capacity.

Finally, depending on the orientation of the wafer and the surface tension between the bubbles and the inner via surface, the trapped bubbles can be reduced to a size much smaller than the diameter of the vias by external compression pressure, And thereafter bubbles may come out of the via mouth by the buoyancy of the bubble itself. Once the bubble leaves the via, the bubble can be depressurized without the possibility of being trapped inside. It has been found that the terminal is increased in infinite media (no wall effect) which depends on the diameter (a), kinematic viscosity (v), and Reynolds number (Re) Which is less than about 0.5 mm, and can be roughly given as: < RTI ID = 0.0 >

Figure 112010076810861-pct00001
for Re < 1.0 (4)

Figure 112011013259321-pct00002
for 20 <Re <100 (5)

here,

Figure 112011013259321-pct00003
Gravity acceleration,
Figure 112011013259321-pct00004
Is the pre-wetting fluid kinematic viscosity (fluid viscosity divided by fluid density).

The behavior of these cases (ie, (4) and (5)), in contrast to the irrotational case (ie, when the Reynolds number is high), where drag is doubled considering wake drag ) Is that the convection in the low Re is negligible and no wake appears behind the rising bubble. The time taken for the bubble to rise in the depth of the via can be calculated as t (sec) = h / V, and the time t can be calculated, for example, in a 10 μm diameter bubble in a 100 μm deep via (0.01 cm) It will be just under one second. Typically, 100 μm deep vias may have 25 μm diameter openings, so the assumption of bubble rise in infinite media is inaccurate and a wall flow-slip effect will increase the time . It is recognized that the speed of the process can be further increased if an external body force is applied to the system in excess of gravity or in place of gravity. For example, centripetal force can be applied by rotating the wafer using the wafer opening pointing towards the center of rotation, thereby helping to push the bubble into the interior.

Equations 4 and 5 underestimate the actual bubble rise time when the bubble diameter is close to the size of the via. This underestimation is a factor in the case where the assumption of rising bubbles in an infinite medium is fundamentally inaccurate (i.e., for bubble diameters greater than about 1/4 of the feature diameter size). Under these circumstances, shear flow stress between the motion of the upward bubble and the via walls begins to dominate. Furthermore, by simply considering the expected longer bubble rise / removal times when more pressure is applied to the system (further shrinking the bubble) or when the bubble diameter is close to the via diameter, a condition satisfying the assumption is achieved .

Another design of the pre-wetting chamber is described herein. One embodiment of the pre-wetting chamber is shown in FIG. In this embodiment, the pre-wetting chamber is configured to transfer the pre-wetting fluid onto the wafer substrate in liquid form. The pre-wetting chamber may also be configured to spray the pre-wetting fluid onto the wafer substrate for a period of time, or to stream the fluid onto the substrate. In Fig. 4, the wafer 401 is fixed in a face-up form at the wafer fixture 402 in the pre-wetting chamber 403. In some embodiments, the wafer anchor is configured to secure the wafer substrate in a substantially horizontal orientation (i.e., an orientation parallel to the earth's horizontal line) during the pre-wetting process. In another alternative embodiment, the wafer anchoring portion is configured to secure the wafer substrate in a substantially vertical orientation during the pre-wetting process.

In a typical operation, the chamber 406 is first evacuated through a vacuum port 409 connected to a vacuum system (not shown). As a result, the pressure in the chamber is reduced to sub-atmospheric pressure. After the majority of the gas in the chamber has been removed due to the vacuum condition, the pre-wetting fluid is transferred from the nozzle 405 or other means onto the wafer surface. In some embodiments, the pre-wetting fluid may be degassed again prior to contacting the wafer surface to avoid release of the gas as the pre-wetting fluid enters the vacuum environment. The wafer may be rotated using the motor 407 during the pre-wetting fluid delivery process to ensure full wafering and exposure of the wafer. In some embodiments, the pre-wetting chamber is configured to transfer the pre-wetting fluid onto the wafer substrate. In some embodiments, the pre-wetting fluid is a liquid. In some embodiments, the pre-wetting fluid (liquid) first contacts the rotating wafer substrate within about 3 cm of the center of the wafer substrate. After pre-wetting, the motor 407 may be used to rotate the wafer at low rpm to remove the entrained pre-wetting fluid, but a thin layer of fluid remains on the wafer surface. Excess pre-wetting fluid exits and exits the vacuum chamber through port 411. The substrate is then transferred to a standard plating cell, such as a Novellus clamshell cell, so that the wafer can be plated with a layer of thin film pre-wetting fluid maintained by surface tension on the surface of the substrate and in the features of the substrate. do.

Figure 5 shows an isometric view of an embodiment of a pre-wetting chamber suitable for carrying out the pre-wetting process described herein. 5 is a detail view of a pre-wetting chamber similar to the embodiment shown in FIG. The pre-wetting chamber 501 comprises a motor 503 for rotating the wafer during processing, the motor being driven by a motor-and-bearing support member 505 through the chuck under the chamber to the chamber base 504 And both the motor-and-bearing support member and the chamber base form a fluid seal between the bearing 507 and the underside of the chamber and bearing. The bearing is a commercially available vacuum-pass-through center shaft rotating bearing. The chuck has three arms (one of them 515) for supporting a wafer (wafer not shown), a confinement pin, and other suitable alignment devices.

In the lower portion of the chamber there is an outlet 519 for removing excess pre-wetting fluid that may be deposited there after being applied to the rotating wafer. The fluid is thrown into the chamber wall and falls into the chamber base. In some embodiments, a "fluid delfector shield" (not shown) around the wafer is positioned approximately in the plane of the wafer such that the fluid emanating from the wafer edge deflects downward before heating the chamber wall. The deflector shield may be mobile, or the wafer and wafer chuck surface may be adjusted by suitable vertical movement means and sealing. The base of the chamber also has a vacuum injection port and a vacuum release line 521 housed in the fluid protection shield 523 in some embodiments. Such a fluid protection shield not only helps prevent the surge of gas from disturbing the fluid unnecessarily in the chamber, but it can also minimize the amount of liquid entering the vacuum line by isolating the liquid and vacuum line. Although the vacuum line (and shield) may be located at the top of the chamber, it is advantageous to be in a vacuum from below the wafer to minimize any particle propensity to fall off and form defects on the wafer. Such particle propensity may occur when particles or other materials are introduced into the chamber while the chamber is being recharged with gas, or when particles or other materials from the environment enter the chamber during the chamber door is open . To minimize the ingress of particles or other materials into the chamber, the chamber is typically recharged with a particle-filtered inert gas such as nitrogen, carbon dioxide, or argon, A clean, particle free gas with a slight positive pressure flows into the chamber. This recharge gas is typically filtered and introduced into a flow diffuser mounted on the wall of the chamber to form a gas flow jet that can dry the wafer or disturb any chamber contents unnecessarily ).

In some embodiments, the pre-wetting fluid nozzle 525 is positioned on the side and on the side, but is positioned and positioned centrally to be positioned and configured to spray or flow the fluid over the centrally located wafer, The wafer and the wafer chuck. In another alternative embodiment, the pre-wetting fluid nozzle may be attached to a movable arm that may be placed on the wafer. In the embodiment shown in FIG. 5, a chamber vacuum door 527 is positioned along the wall of the chamber and is configured to seal against the chamber itself. The chamber vacuum door can be moved away and downward (or upward) from the chamber so that the wafer can be freely introduced into the chamber and then relocated to the sealing position after the wafer is placed on the wafer holding chuck. Doors and other elements that can potentially immobilize entrained fluid should be designed such that the fluid can not be dripped onto the wafer. For example, the retracted position of the door and associated hardware may be located below the plane forming the insert into the chamber, otherwise the wafer may contaminate the wafer while being transported into or out of the chamber. Thereby avoiding possible fluid dripping.

In some embodiments, the upper section of the chamber, in particular the area on the plane where the wafer is placed in the chuck and extracted through the door, is heated above the temperature of the wafer to be pre-wetted. Such an area includes both the area present on the wafer (top surface or vacuum dome not shown in FIG. 5) and the surrounding area around the wafer. This heating is useful to prevent liquid from dripping from the ceiling of the chamber above the wafer before the vacuum is established, thereby potentially trapping the bubbles inside the dropped vias, It is possible to bypass the predetermined process of placing the pre-wetting fluid on the wafer only when it is first removed from the wafer. Likewise, during placement of the wafer in the chamber, liquid away from the wall onto the substrate surface will have a similar effect. By heating the chamber walls, condensation on the walls and ceiling is avoided, and any other stray droplet, which might otherwise reach this position, is quickly evaporated so that this area can be kept dry.

Although not shown in FIG. 5, in some embodiments, a vertically moveable and automatable splash shiel is located around the wafer and chuck and within the chamber. The splash shield can be moved upwards during application of the fluid or, among other things, can be moved upwards at other times suitable for minimizing and avoiding contact of the liquid with the chamber door or top wall. Alternatively, the wafer chuck can be moved deep down into the interior of the chamber and below the plane of the vacuum door after wafer insertion, thereby achieving the same objectives as above.

In another alternative embodiment, instead of transferring the pre-wetting fluid to the wafer surface, the wafer may be immersed in the pre-wetting fluid (e.g., by condensation) or free - Cover with wetting fluid. Since the formation of a vacuum in the chamber creates a condition in which there is substantially no non-condensable gas present in the chamber, the pre-wetting fluid is prevented from entering the via. Alternatively, the liquid does not need to displace any gas located in the via during pre-wetting because the gas has been removed in a separate operation (pre-vacuuming) prior to the pre-wetting operation.

For example, in one embodiment, after the pre-wetting chamber has been evacuated, a condensable fluid vapor (e.g., water vapor (low pressure steam), methyl alcohol, dimethyl carbonate, diethyl carbonate, isopropyl alcohol, Dimethyl sulfoxide, and dimethylformamide, or any other liquid that is readily soluble in subsequent rinsing or used as a subsequent plating electrolyte that is soluble in the subsequent plating electrolyte) is formed in the chamber or is injected into the chamber. In an embodiment in which the wafer substrate has one or more recessed features and the pre-wetting chamber is configured to deliver the pre-wetting fluid in gaseous form onto the wafer substrate, the pre-wetting fluid condenses to form a liquid film on the wafer surface , Allowing the recessed features to be filled with the pre-wetting fluid. Figure 6 shows an embodiment of a pre-wetting chamber configured for such a condensing pre-wetting process. Figure 6 shows a mobile vacuum lid 609 (alternatively, an access door) that allows access to the chamber, a line to the vacuum source 611, a vacuum release line 613, Lt; RTI ID = 0.0 &gt; 601 &lt; / RTI &gt; The vacuum seal 617 seals the lower vacuum containment vessel 619 from the rest of the chamber. The wafer 603 is placed on a wafer cooling element (cooling device) 605 that is part of the wafer anchoring facility (chuck) 607. The wafer cooling element 605 reduces the wafer substrate surface temperature to a temperature that is lower than the condensation temperature of the pre-wetting fluid flowing through the inlet 615 into the chamber as vapor. In another embodiment, after the vacuum is formed and the condensable gas (e.g., air) is removed from the vacuum chamber 601, the water is simply heated to evaporate (e.g., boil) into the chamber, (E.g., on the cooler wafer 603). For example, in a chamber without a vacuum seal 617, a small amount of water can be heated in the lower section 619 of the chamber, and instantaneous flash can occur simultaneously with the vacuum inside the chamber . At any point in the process, the connection to the vacuum state can be removed (closed).

In another embodiment, the wafer substrate is immersed in a bath of pre-wetting fluid for a period of time. Figure 7 illustrates an embodiment of a pre-wetting chamber configured for this immersion pre-wetting process. 7, the wafer 701 is fixed to the wafer fixing portion 702 in the chamber 703. [ The chamber 703 has an inlet 711 for injecting the pre-wetting fluid. As shown, the wafer is fixed in a face-up manner to the wafer holder and secured by suitable means to allow fluid to reach the wafer from the peripheral edge. The chamber 703 is evacuated through a vacuum port 707 connected to a vacuum system (not shown). Thereafter, for example, 1) a pre-wetting fluid (not shown) that is raised by the wafer and wafer securing portion moving downward in the pre-wetting fluid 713, or 2) by the fluid being injected through the inlet 711 By level, the wafer is wetted with the pre-wetting fluid. During the pre-wetting process, the wafer may slowly rotate using the motor 705. [ After the pre-wetting process, the liquid level may be lowered or the wafer may be elevated, and the wafer may be rotated at low rpm using the motor 705 to remove excess fluid entrained, thereby forming a thin pre-wetting fluid Layer remains. Further, by using the flow of nitrogen gas passing through the port 709, the rear surface of the wafer can be dried while the frontside of the wafer is maintained in the wetted state. The wafer is then transported to a standard clamshell for plating.

In another embodiment of the pre-wetting chamber shown in Fig. 7, the wafer may be fixed in a face-down manner. In some embodiments of the pre-wetting apparatus having a pre-wetting chamber as shown in Figure 7, the pre-wetting apparatus begins to immerse the wafer in the pre-wetting fluid after the pressure in the chamber has been reduced to less than about 50 torr . The pre-wetting chamber 703 shown in Fig. 7 can be used in embodiments in which external pressure is applied to dissolve bubbles, as described herein. The chamber and other components will need to resist internal pressure in addition to, or in addition to, a vacuum state.

Figure 8 illustrates another embodiment of a pre-wetting chamber configured for a locked pre-wetting process. 8 shows a wafer-fixing portion 803 that moves with respect to the pre-wetting chamber 801, the wafer 809, and the fluid 813, or with respect to each other. In this embodiment, the chamber and wafer holder 803 can be inclined so that the pre-wetting front can be precisely controlled and liquid can be completely removed from the chamber. Further, the gap between the wafer 809 and the bottom of the chamber is narrow. As shown in FIG. 7, the pre-wetting fluid of FIG. 8 may flow in or out through port 811 and may be connected to chamber 801 via a vacuum port 807 connected to a vacuum system (not shown) Can be in a vacuum state. By rotating the wafer at low rpm using the motor 805, excess fluid can be removed from the wafer surface. The embodiment shown in FIG. 8 can be used when pre-wetting a wafer surface with a high-cost pre-wetting fluid, or when it is desired to utilize a minimal amount of pre-wetting fluid (thus, Level can be maintained at a low level). After pre-wetting, the wafer is transported to a standard clam shell for plating. The design of a similar pre-wetting apparatus, which has a narrow-gap, inclined surface but lacks means for applying a vacuum during the pre-wetting operation, is disclosed in U. S. Patent Application No. &lt; RTI ID = 0.0 &gt; 11 / 200,338, which is incorporated herein by reference.

Further, the chamber shown in Fig. 8 can be used in the embodiment in which external pressure is applied as described above. In this embodiment, the chamber and other facilities are designed or modified to withstand and sustain the internal positive pressure.

An embodiment of a device in which the pre-wetting process is performed in a plating cell is shown in Fig. Alternatively, it can be said that the pre-wetting chamber is configured to pre-wet the wafer substrate and electroplate the metal layer on the pre-wetted paper substrate. In Fig. 9, the chamber 901 is a plating cell having a vacuum sealing surface that is a section of the cell wall 903. The wafer holding facility 905 fixes the wafer 915. In the illustrated embodiment, the plating cell comprises an ionically resistive ionically permeable high resistance virtual anode (HRVA) 907 and a separate anode chamber SAC ) Zone 909. The &lt; / RTI &gt; One example of an HRVA containing device is described in U.S. Patent Application No. 12 / 291,356, filed on November 7, 2008, which is incorporated herein by reference in its entirety. See also U.S. Patent Application No. 11 / 506,054, filed August 16, 2006, which is also incorporated herein by reference.

First, the wafer 915 is fixed on the plating solution 913, and the chamber is evacuated through the vacuum port 911. When the chamber is evacuated, a vacuum must normally be applied to the backside of the wafer through the wafer fixture to prevent the wafer from breaking. The fluid level 913 may then be raised to wet the wafer surface. In some embodiments, the fluid is a pre-wetting fluid, while in other embodiments the fluid is a plating solution. In some embodiments, the fluid is degassed prior to contacting the wafer surface. Since no gas is present in the chamber, no trapped gas containing bubbles is generated below the surface or inside the via, even if the wafer is face-down. After the pre-wetting is complete, the vacuum can be relieved. Electro-plating of the metal (in some embodiments, copper) may then be initiated on the wafer 915. It is simpler to perform the plating (at the mechanical and processing conditions) at ambient pressure with or without wafer rotation. Alternatively, a vacuum can be maintained throughout the electro-plating process. Again, in this and other embodiments, it is advantageous to allow the fluid to be degassed prior to performing the pre-wetting operation. Otherwise, the fluid can release the dissolved gas, whereby bubbles can form inside the surface or on the surface by pushing the gas out of the liquid by low pressure.

A general description of a clamshell-type plating apparatus having an aspect suitable for use with the embodiments disclosed herein is described in detail in U.S. Patent Nos. 6,156,167 and 6,800,187, which are incorporated herein by reference for all purposes .

FIG. 10 shows an embodiment of an electro-plating system / module 1001 for processing wafers. The specific tool layout shown includes two separate wafer handling robots 1003 and a transfer chamber robot 1004 which are connected to a front opening unified pod loading device 1005 Quot; dry "wafer from a cassette located in the aligner module / transport station (not shown). The sorter module can ensure that the wafer is properly aligned on the transfer chamber robot 1004 arm to precisely transport the wafer to other chambers / modules in the system. In some embodiments, the aligner module aligns the wafer azimuthally (called "wafer notch aligning") in a vertical and horizontal plane to a specific location (i.e., y and z position registry).

After wafer processing and drying are completed, the same or different transport chamber robots can be used to reinsert the wafer from the back end "wet processing area" of the tool to the FOUP. A back end robot (not shown) can have more than one arm, and each arm has a single or multiple "end-effectors" that can grip the wafer tightly. Some "end-effectors" use a vacuum "wand" to grip the wafer at the bottom of the wafer while other "end-effectors" can only secure the wafer at the peripheral edge. In some embodiments, only one robotic wafer handling arm end-effector is used to process a wafer having a wedged surface and the other robot wafer handling arm end-effector is reserved to process only fully dry wafers, The contamination can be minimized.

After the wafer is inserted into the transfer station (with the transfer chamber robot 1004), the wafer is typically inserted into the pre-wetting chamber 1013 (i.e., the pre-wetting apparatus is the station in the module, Further comprising an electroplating station configured to electroplate the wafer using the metal, wherein the metal is copper in some embodiments), various such embodiments are described herein. In another alternative embodiment, the system 1001 is configured for an anodic process. In this embodiment, the module further comprises a station for bipolar processes such as electro-etching or electrolytic-polishing.

The pre-wetting chamber 1013 is configured to pre-wet the wafer under vacuum, or to apply pressure to the wetted wafer, and in some embodiments is configured to do both. By way of example, using a pre-wetting chamber configured to pre-wet the wafer under vacuum, ambient air is removed from the chamber while the wafer is rotating. Once the vacuum is achieved, the device side of the wafer is exposed to the degassed pre-wetting fluid (degassed in module 1015 using a degassing flow loop). After the wetting is completed, the excess fluid is removed, the gas is re-injected to atmospheric pressure, and the chamber is opened so that the wafer can be extracted by a robot or other transfer means. In some embodiments, the transfer means is configured to transfer the pre-wetted wafer substrate from the pre-wetting station to the electro-plating station within about one second.

Thereafter, in some embodiments, the wafer is placed in an aligner (not shown), such as a notch aligner. By passing through a high precision notch aligner, it can be precisely positioned within the edge sealing plating cell which displaces the plating solution from the back and very small device side edge exclusion zones (e.g., about 1 mm from the edge). The plating cell may be specially designed to have a seal across the notch area. Plating and feature filling (i.e., a metal layer is electroplated on the wafer substrate) occurs in the plating cell 1021, 1023, or 1025 (i.e., the electroplating site), and in some embodiments, Is a degassed solution. In some embodiments, the metal is copper. The electro-plating station is configured to immerse the wafer in a degassed plating electrolyte in an electro-plating station. In some embodiments, the electro-plating station is configured to cathodically polarize the wafer substrate before immersing the wafer substrate in the degassed plating electrolyte. The plating solution may be recycled through a separate degassing loop other than the main plating bath and the flow loop between the plating cells, or may be recycled in the same loop as the bath / plating cell loop (degassed just before being injected into the plating cell) Passes through the degassing element, and can be recycled by being degassed immediately before being injected into the plating cell.

After the plating is completed, the wafer is rinsed with water on the plating cell, rotated to remove entrained excess fluid, and the wafer holding clam shell device is opened to release the edge seal to enable wafer extraction. Thereafter, in some embodiments, the wafer is picked up from the plating cell and transported into a metal removal isotropic etching module (ITE module) 1031. The ITE module is used primarily to remove metal from the top of the wafer at field areas above the features of the plated wafer, leaving some or all of the metal inside the recessed features. Various designs of suitable equipment, etch processes, and etch chemistry are described in U.S. Patent Nos. 5,486,235, 7,189,649, 7,338,908, 7,315,463, and U.S. Patent Application No. 11 / 602,128, filed November 20, 2006, 11 / 888,312, filed July 30, 2007, and 11 / 890,790, filed August 6, 2007, both of which are incorporated herein by reference.

In addition, the metal at the edge of the wafer is removed from the ITE module 1031. Since the wafer is often secured to an excluded clam shell device, there is only a thin seed metal layer at the outermost periphery (the original seed layer) prior to the top side global etch performed here. Thus, after the treatment, the edges of the wafer end will be completely free of metal, while the more central, non-plating protected areas and edge exclusion zones are likely to have some metal remaining (however, The metal is likewise removed in this zone). Thus, the ITE module is capable of performing global etchant removal entirely from the wafer, as well as removing the metal from the outer peripheral edge of the wafer and from the outer peripheral floor of the wafer, thereby, for example, as disclosed in U.S. Patent No. 6,309,981 The need to perform more complex edge-specific etching processes, such as edge bevel removal (EBR), as described, can often be eliminated.

In some embodiments, the procedure of the etching process and the thickness distribution of the film are monitored in the etch module, for example by measuring cross wafer sheet resistance using an eddy current meter or measuring the reflection of acoustic signals. Alternatively, the thickness after etching at the transport station in later dry stages of the process can be measured, and the process can be monitored or modified to be suitable for minimizing any wafer-to-wafer performance drift . After etching, the wafer may be rinsed and dried in the etching module, or may be moved to a separate module, wafer rinse, cleaning and drying station 1041. Thereby, any residual chemical that has been removed or reduced (e.g., by applying a dilute acidic solution to the surface), which may have been formed in the process sequence, and which has not been removed by more rough rinsing in the etch station (At both front and back of the wafer), and an edge bevel removal operation is performed as desired (see, for example, U.S. Patent No. 6,309,981). After rinsing the wafer with water, the wafer is rotated and dried, and then transferred to a transfer station, where a front end robot reattaches the wafer in the wafer holding cassette.

One consideration in the pre-wetting process is that during the time between pre-wetting and plating (i.e., after exposing the wafer to the pre-wetting fluid during the vacuum state in the pre-wetting chamber, but before plating begins) So that the surface can be de-weted. Dewetting can be described as physical release and coagulation of the pre-wetting fluid from the surface (i.e., rather than drying the surface), thereby leaving a film of a thicker pre-wetting fluid in one section of the surface, Another section has no pre-wetting fluid thereon. This characteristic behavior is generally associated with a highly hydrophobic surface for pre-wetting fluids. If the wetting layer is retracted or solidifies from a previously wetted surface, the properties of the pre-wetting process are lost. To avoid this phenomenon, a wetting agent may be added to the pre-wetting fluid to avoid pooling of the fluid.

Surface oxides exposed to air and moisture, surface contaminants, and other materials deposited on the wafer surface may be very hydrophobic. For example, a wafer with a thin copper metal seed layer, exposed to air and water vapor, will form a thin cuprous oxide layer that is hydrophobic with respect to water. To avoid this potential problem, in certain embodiments, for example, a small amount of acid (e.g., H 2 SO 4 , H 3 PO 4) is used in a pre-wetting process at a pH where the oxide is no longer stable By adding to the pre-wetting fluid, the oxide film can be removed. The acid will react with oxides to form water and metal salts. In addition, the pre-wetting fluid may contain a small amount of a wetting agent (e.g., a surfactant, alcohol) that lowers the surface tension and contact angle, thereby avoiding the above phenomenon. The chemical nature of the pre-wetting fluid is further discussed herein.

In some embodiments where the pre-wetting operation is performed in a separate chamber prior to plating, the pre-wetting fluid may contain a small amount of metal ions, for example, to help avoid bacterial formation in the system, Can help you to change. Alternatively, a reducing agent suitable for the metal oxide may be added to the wetting solution such as formaldehyde, glyoxylic acid or dimethyl-amine borane, or a metal ion complex additive (such as, for example, ammonia, Glycine, ethylenediamine). Moreover, surface oxides or other contaminants can be removed by treating the wafer in a reducing atmosphere (e.g., forming gas or argon in argon) before, during, or without pre-wetting operation. In addition, the temperatures of the pre-wetting fluid and the wafer surface can be increased or decreased from the ambient environment to optimize fluid retention on the wafer.

In some embodiments, operation in a pre-wetting chamber or a pre-wetting chamber that is part of an electro-plating system is controlled by a computer system. The computer includes a controller including program instructions. The program instructions may include instructions for performing all of the operations necessary to pre-wet the wafer substrate. In one embodiment, the program instructions are for decreasing the pressure in the process chamber to sub-atmospheric pressure, and thereafter contacting the wafer substrate with the pre-wetting fluid at subatmospheric pressure to form a wetting layer on the substrate surface will be. The wafer substrate may be rotated at a first rotaion rate during transfer of the liquid pre-wetting fluid from the sub-atmospheric pressure onto the wafer substrate, and such fluid transfer is performed for a time period of about 10 seconds to 120 seconds. Thereafter, the transfer of the pre-wetting fluid is stopped. After the transfer of the pre-wetting fluid is stopped, the wafer substrate is rotated at the second rotation rate, so that the excess surface of the entrained pre-wetting fluid can be removed from the wafer substrate. In some embodiments, the vacuum in the process chamber is relieved after delivery of the pre-wetting fluid is stopped and before excess of the entrained pre-wetting fluid is removed. In an alternative embodiment, the vacuum condition is relieved after the entrained pre-wetting fluid overflow is removed. In different embodiments, the wafers may be rotated at a rate of rotation relative to one another. In some embodiments, the first turnover rate is less than about 300 rpm during delivery of the liquid pre-wetting fluid onto the wafer substrate, and the second turnover rate for removing the entrained pre-wetting fluid overflow from the wafer substrate is greater than about 300 rpm . In other embodiments, the first rotation rate is less than about 100 rpm and the second rotation rate is greater than about 500 rpm. In a further embodiment, the pre-wetting apparatus includes a method selected from the group consisting of centrifugal spinning, air-knife drying, and wiping, and program instructions for performing these operations To remove the entrained pre-wetting fluid overflow from the wafer substrate.

Process / method

In a typical pre-wetting method for some embodiments disclosed herein, a vacuum is first formed in an environment around the wafer. Thereafter, the pre-wetting fluid is sprayed onto the wafer surface, the fluid flows, the fluid is covered, or the surface of the wafer is immersed in sufficient fluid (in some embodiments, degassed) May be exposed to a sufficiently thick liquid layer. The layer may not always cover the entire surface until the latter part of the process. The wafer surface then remains locked or until the adsorption (or reaction) of any pre-wetting fluid composition substantially reaches a complete / equilibrium state and a desired / uniform wetting property (hydrophilic, low contact angle) The wafer surface is exposed to the pre-wetting fluid layer for a period of time (e.g., by spraying, flow, continuing the cover, or submerging the surface with additional fluid). After pre-wetting, the operation of spraying the wafer with the pre-wetting fluid, flowing the fluid into the wafer, or covering the wafer with the fluid is stopped. In some embodiments, the vacuum is removed and then the entrained excess fluid is removed from the (currently) completely hydrophilic surface (e.g., by centrifugal rotation, air-knife drying, squeegee wiping, Removed, leaving a thin, uniform adhesion layer of the pre-wetting fluid on the surface. In another alternative embodiment, the entrained excess fluid is removed before the vacuum is relieved. Finally, a wafer can be transferred to the plating cell to plate the wafer.

Because the beginning of the metal deposition can be anywhere from a few seconds to a minute or more of the time the entrained pre-wetting fluid is removed from the wafer surface, the wafer is extensively hydrophilic and the entire surface is completely coated with the fluid It is important to remain as it is. At later times, hydrophobic surface / fluid bonding may result, for example, starting from the edge of the wafer, leaving fluid from the wafer surface not covering a portion of the wafer surface. This de-wetting can cause fluid to escape from within any recessed feature in the wafer substrate, which may result in gas being trapped in the feature in the lock in the plating bath. A hydrophobic surface (especially a completely de-wetted surface in some areas) has an uneven fluid pre-wetting layer thickness on the wafer substrate. In the case where the pre-wetting fluid in use has a composition different from that of the plating bath, the operation of subsequently immersing the pre-wetted wafer in the plating solution is such that if the pre-wetting fluid has not adequately wetted the wafer, It will not be possible. Wafers that are not uniformly wetted will cause diffusion times and concentrations of the various components to be different across the surface of the wafer due to the thickness of the wetted layer. This may result in changes in feature fill behavior or the formation of various wafer substrate defects (e.g., lines of trapped bubbles, metal pits, metal thickness variations, or growth protrusions). Thus, after the pre-wetting process, the pre-wetting fluid should form a uniform and small contact angle (e.g., a contact angle of about 15 degrees or less, if possible) with respect to the entire wafer surface. If a smaller contact angle is possible, a very thin and adherent pre-wetting fluid layer can be formed.

It is often observed that the contact angle of the surface can change over time and that the hydrophobic surface can become more hydrophilic depending on the time of exposure to a particular liquid. Certain wafer surfaces, such as those coated with a copper film by, for example, plasma vapor deposition, may exhibit a significant reduction in liquid / surface contact angle with time as the surface is continuously exposed to the pre-wetting fluid. In particular, due to the continuous exposure of these surfaces under vacuum conditions, the surface can be rapidly and completely converted from a generally de-wetted hydrophobic state to a wetted hydrophilic state.

Moreover, this conversion results in particularly small defects, especially when combined with subsequent plating operations, especially when occurring under vacuum and using degassed pre-wetting fluids. Although it is not desired to be bound by any particular wetting model or theory, it is contemplated that the surface may be submerged, sprayed with the surface-tension-lowering pre-wetting fluid, (For example, 5 seconds to 1 minute), the surface may be changed from a hydrophobic state to a hydrophilic state when the surface is covered with the fluid, or when a sufficient time period is allowed while the fluid is being treated. For example, the time required for a low concentration composition (e.g., a wetting agent) to adsorb to the wafer interface, or alternatively, for adsorbed spurious chemical species present on the surface (e.g., from atmospheric exposure) By allowing time, an appropriate wetting action with stability can be obtained. Alternatively, the agent in the pre-wetting fluid may react to slightly roughen the surface and / or to remove a thin surface layer such as a surface oxide, nitride, or carbonate.

As a specific example, it is necessary that the surface with primary copper or secondary copper oxide, which tends to be substantially hydrophobic to water, is converted to a hydrophilic metal surface. By simply exposing to deionized water (DI water) (which does not react with the oxide), the surface can remain sufficiently hydrophobic. Alternatively, dissolved metal ions and a small amount of dissolved acid (e.g., sulfuric acid, methanesulfonic acid, or acetic acid, resulting in a pH between about 2 and 4) with or without a salt, a small amount of metal Such as deionized water (DI water), which comprises a copper complexing agent (e.g., citric acid salt at a pH of between about 3 and 6, glycine at a pH between about 6 and 12 or ethylenediamine) Exposing the surface to a suitable metal oxide reducing agent / compound (e.g., formaldehyde, glycolic acid, dimethylamine borane) is effective in removing the surface oxide to convert it from a hydrophobic interface to a hydrophilic interface to be. Two examples of copper surface oxide removal reactions in weak acids are:

CuO + 2H + - & gt ; Cu + 2 + H 2 O, and (6)

Cu 2 O + 2H + - & gt ; 2 Cu + + H 2 O? Cu + 2 + Cu + H 2 O (7)

As a result of simply exposing the sputtered copper surface to the atmosphere, particularly wet air (i.e., humid air), a thin oxide surface layer of the first copper and the second copper oxide on the copper is formed almost immediately, . The oxide may be converted / removed by exposing it to a suitable scavenger (such as those listed herein), but it is important to completely oxidize the copper layer (also, for example, in the feature). Subsequent removal operations of the metal oxide layer using an oxide removal process (as opposed to an oxide reduction process) may inhibit subsequent film growth for a fully oxidized copper layer. In addition, the wetting conversion process (such as those listed herein) is a chemical reaction with a finite reaction rate. For example, by exposing the wafer to an oxide removal pre-wetting fluid or plating bath, a layer of hydrophilic surface will begin to form at the fluid contact point. A region having a longer exposure to the pre-wetting (e.g., oxide removal) fluid may prevent other areas of the wafer from being wetted in the process. A hydrophilic region that may be created may tend to carry fluid flow thereon, thereby preventing wetting of other regions. Accordingly, one object of the present invention is to modify the contact angle, wetting property, and general wetting process so that the entire surface can eventually be uniformly covered with liquid, both macroscopically and microscopically.

By applying a degassed pre-wetting fluid to the surface while maintaining a low pressure / vacuum atmosphere, the obstruction of simultaneous expanding, flushing, or removal of the trapped gas from the surface is substantially eliminated , So that obstacles in the exposed areas of the wafer that have not previously been exposed to the pre-etching fluid or are still hydrophobic due to limited prior exposure can be reduced. Considering a process that does not use a combination of vacuum state and wetting, the various regions of the wafer surface will be divided into five weighting categories: 1) Hydrophobic Wetted: covered with pre-wetting fluid and wetted but insufficient time Still hydrophobic; 2) Hydrophilic Wetted: covered and wetted with pre-wetting fluid for a sufficient time to become hydrophilic; 3) Un-wetted: hydrophobic, exposed to air, never exposed to pre-wetting fluid; 4) De-wetted: pre-wetted, but de-wetted, again exposed to air; 5) Trapped bubbles: Contain bubbles containing trapped air, at the surface and below the layer of pre-wetting fluid.

The region of state 3, 4, or 5 will not undergo any adsorption or chemical reaction so that any hydrophobic-to-hydrophilic surface transition occurs until the upper zone is not wetted later and the upper zone is later wetted. I never do that. Moreover, the area around the state 3, state 1 or 2, may be wetted, hydrophilic, or hydrophilic, thereby allowing fluid to flow freely and continuously over this surface, and to remove the wetting or bubbling of adjacent surfaces considerably more It can be difficult. In addition, the presently hydrophobic surface zone previously exposed to the pre-wetting fluid can be repeatedly passed between liquid-coverage-free and hydrophobic-covered conditions. This process eventually results in a process that either: 1) changes to state 2, is hydrophilic and wetted, then stays in state 2, or 2) encapsulates the bubble and is surrounded by the more wetted area , Oscillating back and forth several times from state 1 to state 3 to carry fluids by capillary action into the adjacent hydrophilic region, and continue to switch between the above states.

The above processes performed under atmospheric conditions (i.e., in air) should be contrasted with those performed in a vacuum (and using degassed pre-wetting fluid). In the above processes, there are only three wetting categories: 1) Wetted: covered with a pre-wetting fluid and wetted with the fluid; 2) Un-wetted: exposed to vacuum and not exposed to pre-wetting fluid; 3) De-wetted: previously exposed but de-wetted and re-exposed to vacuum.

The pre-wetting process performed in a vacuum state will result in that the particular portion of the wafer will eventually become hydrophilic (State 1) if the particular portion of the wafer has only been exposed to the pre-wetting fluid for a sufficient amount of time. Unlike the pre-wetting process performed in the atmosphere, no high-speed fluid pre-wetting fluid flow is required to "flush away " the trapped bubbles. Moreover, bubble flushing is not 100% effective, often resulting in bubble fragmentation, leaving behind a large number of smaller, more difficult to remove bubbles. Thus, pre-wetting under vacuum is a much more reliable low defect process than simply spraying the pre-wetting fluid onto the wafer, covering the wafer with the fluid, or immersing the wafer in the fluid, under the atmosphere. Other favored factors for pre-wetting under vacuum are: a) the surface energy of the vacuum / liquid / metal interface is different and the contact angle is often less than the air / liquid / metal interface; b) the metal oxide / nitride / carbonate And c) the use of degassed fluids prevents the possibility of gas depositing the fluid, for example, as a result of a pseudo temperature or pressure change at some point in the liquid-water interface.

11A is a flow diagram of a general embodiment of the pre-wetting process 1100. FIG. A wafer substrate having a metal layer exposed above some or all of the wafer substrate is provided to the pre-wetting process chamber (1105). The pressure in the process chamber is then reduced to an atmospheric pressure (1110). The wafer substrate then contacts the pre-wetting fluid at subatmospheric pressure to form a wetting layer 1115 on the wafer substrate surface. This pre-wetting process can be performed in the pre-wetting device design described herein.

The wafer substrate has different features in different embodiments. The wafer substrate may have one or more recessed features. The recessed feature may be a damascene feature formed by damascene patterning processes. The damascene plating process is a process in which recesses in a dielectric layer of a semiconductor wafer formed by a damascene patterning process are filled with a metal film. In addition, the recessed feature may be a through-mask feature.

In some embodiments, the pre-wetting fluid has substantially no dissolved gas. In some embodiments, before the wafer contacts the pre-wetting fluid, one or more dissolved gases are removed from the pre-wetting fluid. In some embodiments, the pre-wetting fluid is cooled to below about 20 占 폚 during removal of the gas to aid in the removal of the dissolved gas. In some cases, in order to remove gas from the pre-wetting fluid so as to obtain a pre-wetting fluid that has substantially no dissolved gas, a pre-wetting fluid treatment tank may be used to prevent the wafer substrate from contacting the pre- Wetting fluid circulating through a degassing loop prior to a specific time period (which depends on the capacity and capacity of the degassing apparatus, typically 1/2 hour). This is discussed herein with respect to FIG. Typically this indicates that the fluid is flowing through the loop in a vacuum state while the vacuum pump is turned on and the valve connecting the degassing device and connecting it to the pre-wetting tank and pump is open. This ensures that the pre-wetting fluid applied subsequently to the wafer surface is substantially free of dissolved gases. Measurements of the system thus designed represent the dissolved oxygen residual level reaching a level as low as about 1-2% or represent the dissolved oxygen residual level below the level saturated with oxygen from the air.

Moreover, dome heaters and wall heaters on the process chamber can be turned on, and the upper heater is set to a temperature of about 10 占 폚, and in some cases, set to a temperature of about 20 占 폚 or higher than the pre-wetting fluid temperature. For example, if the fluid temperature is about 20 占 폚, a wall temperature of about 40 to 50 占 폚 is suitable. Dome and wall heaters avoid condensation on the surface and avoid the potential for liquid droplets in the vacuum state to fall onto the exposed surface. The chamber surface can be purged by bringing the chamber with the closed door and the wall into a vacuum state at the target heating temperature. For example, if the wafer is not present in the chamber and the wall is not heated, the chamber may be in a vacuum state for more than about 10 minutes to remove any liquid that may accumulate in the chamber ceiling and top wall And is kept in a vacuum state. For example, a vacuum can be removed by refilling with clean, dry nitrogen. This procedure removes any condensate possible from the chamber walls and minimizes the formation of particles with gas. a) after confirming that all chamber fluid level sensors are at an appropriate value (e.g., the tank is full and the chamber is empty), b) the heater is on, and c) the vacuum is ready for processing, The chamber process door can be opened and the door shield (if installed) is lowered. The wafer is then placed in the chuck, the robot arm is retracted, the vacuum door is closed, the liquid splash shield (if installed) is raised, or the wafer is lowered below the shield.

 In some embodiments, the target vacuum level for the pre-wetting process is between about 10 and 100 torr (e.g., about 40 torr). In some embodiments, the vacuum (e.g., subatmospheric pressure) is about 50 torr. For example, after pumping is complete, the vacuum line may be closed, while in other embodiments, the pump continues to apply vacuum while the pre-wetting fluid is being injected into the chamber and onto the wafer.

In some embodiments, liquid pre-wetting fluid is delivered over the wafer substrate surface. This allows the wafer substrate to be contained in the pre-wetting fluid. Alternatively, it may spray the wafer substrate with a pre-wetting fluid or spray the fluid onto the wafer substrate. In another alternative embodiment, contact of the wafer substrate with the pre-wetting fluid is achieved by transferring the gaseous pre-wetting fluid onto the wafer substrate. The gaseous fluid may condense to form a wetting layer on the wafer substrate. In this embodiment, before exposing the wafer substrate to the pre-wetting fluid, the temperature of the wafer substrate may fall below the condensation temperature of the pre-wetting fluid.

In some embodiments, the wafer may be rotated while the liquid pre-wetting fluid is delivered over the wafer substrate surface. In some embodiments, the wafer substrate is rotated at a speed between about 10 and 300 rpm. In a further embodiment, the wafer substrate is rotated at a speed between about 10 and 100 rpm. In another alternative embodiment, the wafer substrate is rotated at a speed of about 100 to 400 rpm (e.g., about 300 rpm). In some cases, a cycle of higher turnover (e.g., about 400-800 rpm) or turnover (turnover) may be used for a short period of time when fluid wetting resistance of a highly hydrophobic wafer becomes a problem. Pump down may be initiated before or after wafer rotation begins.

In an embodiment where a liquid pre-wetting fluid is used, the flow of pre-wetting fluid begins inside the chamber and above the wafer surface. (E.g., about 20 seconds) for about 3 seconds to 1 minute or more (e.g., about 20 seconds), depending on the time required to obtain the total wetting of the specific surface, the wafer rotation rate, , About 0.8 lpm) is used. In some embodiments, the pre-wetting fluid contacts the wafer substrate from about 10 seconds to about 120 seconds. After the wetting process is completed, the pre-wetting fluid flow is stopped, for example by closing the pre-wetting fluid flow valve.

The chamber is then at atmospheric pressure. In some embodiments, the chamber is atmospheric pressure using an oxygen-free gas (e.g., dry nitrogen).

In some embodiments, excess pre-wetting fluid is removed from the substrate surface. Such removal can be made before or after the chamber is at atmospheric pressure. In some embodiments, the excess pre-wetting fluid is removed from the wafer substrate by rotating the wafer substrate. The wafer substrate rotation rate is increased to such a value that the entrained excess fluid can be removed from the wafer substrate surface, but a layer of thin liquid remains. During removal of excess pre-wetting fluid, the wafer substrate may be rotated from about 300 rpm to about 1000 rpm. The wafer substrate may be rotated for less than about 20 seconds during removal of excess pre-wetting fluid. In other embodiments, the wafer substrate rotation rate (turnover) is increased to about 250 to 800 rpm for about 5 to 60 seconds while avoiding complete drying of the pre-wetting fluid. Since the rotary process can generally start before the vacuum is removed, by performing the above steps after the vacuum has been removed, the evaporative drying from the thin layer and the possibility of forming a dry surface at the same point on the wafer may be less, It is believed that the potential for wafer drying is reduced.

After removing the entrained excess fluid from the wafer substrate surface, the rotation of the wafer substrate is stopped, the splash shield (if present) is lowered or the wafer substrate is raised (both are possible), the vacuum door is opened, And placed in the electro-plating chamber. In some embodiments, the pre-wetted wafer substrate is exposed to the environment outside the chamber and the electro-plating chamber for less than about 1 minute. In other embodiments, the pre-wetted substrate has a wetting layer having a thickness of about 50 to 500 占 퐉 just prior to electro-plating when transferred to the electro-plating chamber. After the wafer substrate is in the electro-plating chamber, in some embodiments the wafer substrate is electroplated using a degassed plating solution. In some embodiments, the pre-wetted wafer substrate is polarized cathodically with respect to the plating solution before the wafer substrate contacts the plating solution.

The pre-wetting process chamber and the electroplating chamber may be separate locations of one device module. In another alternative embodiment, the wafer substrate is electroplated in the same chamber that was used for pre-wetting. In this embodiment, the electroplating can be performed using a degassed plating solution.

In an alternative embodiment, after removing the pre-wetted wafer substrate from the pre-wetting process chamber, the pre-wetted wafer substrate is transferred to a chamber configured to perform a bipolar process, such as energetic-etching and electrolytic-polishing.

11B is a flow diagram of another embodiment of pre-wetting process 1150. FIG. A wafer substrate is provided (1155) to the pre-wetting chamber having a metal layer exposed over some or all of the surface of the wafer substrate. The pressure in the process chamber is then reduced to sub-atmospheric pressure (1160). The wafer substrate is then contacted (1165) with the pre-wetting fluid at subatmospheric pressure. The pressure in the process chamber is then increased 1170 to facilitate removal of the bubbles. This pre-wetting process may be performed in the pre-wetting device design described herein.

Such device designs and methods described herein may be used to pre-wet partially fabricated semiconductor device structures. In some embodiments, the pre-wetted partially fabricated semiconductor device structure includes one or more recessed features. Such a recessed feature has a metal layer lining the feature. The recessed feature also includes a substantially gas-free pre-wetting fluid to fill the feature, wherein the pre-wetting fluid comprises a water-soluble metal salt solution substantially free of a plating promoter and a leveler.

As described herein, different combinations of pre-wetting fluid composition and plating solution composition can be used in pre-wetting processes in combination with electro-plating processes. 12 is a flow diagram of an embodiment of an electro-plating process 1200 for electro-plating a copper layer on a wafer substrate. A wafer substrate having a metal layer exposed above some or all of the surface of the wafer substrate is provided 1205 to the pre-wetting process chamber. The wafer then contacts the pre-wetting fluid to form a layer of pre-wetting fluid 1210 on the wafer substrate. The pre-wetted wafer is then contacted (1215) with a plating solution comprising metal ions to electroplate a layer of metal on the wafer substrate.

The device designs and methods described herein are useful in a variety of other liquid semiconductor processes and environments where bubbles or trapped gases in high aspect ratio features can cause problems beyond electroplating / feature filling.

All of the operations described herein, including various wetting, pre-wetting, degassing, aligning, delivering, and plating operations, may be performed by one or more controllers provided with the described modules and systems or with one or more controllers Lt; / RTI &gt; Any combination or order of such operations, as described herein, may be programmed or configured using the above controller. Controller commands can be implemented using firmware, software macros, application specific integrated circuits, shareware, and the like.

free - Wetting  Fluid chemicals

By properly adjusting the chemical of the pre-wetting fluid, one can realize the additional advantage of the pre-wetting process described herein, including reducing the time to fill the feature with metal by more than 50%. Moreover, under the similar conditions (i.e., the same conditions except for the composition of the pre-wetting fluid), the feature filling process can be started considerably faster in view of the fact that the amount of metal selectively deposited at the bottom of the feature is much greater at the same time . Using a combination of specific organic additives and inorganic additives with the pre-wetting fluid, the pre-wetting process is advantageous for excellent sidewalls and fields (where the field refers to a flat wafer substrate area outside the feature) versus metal growth selectivity at the bottom of the feature, , Thereby enabling high-speed selective deposition of one or more orders of magnitude (greater than 10 times) greater than the relative plating rate / growth at the bottom of the feature for the top sidewalls and field. The selectivity achieved by controlling the chemistry of the pre-wetting fluid is the ability to quickly charge the bottom-up, (often) plug-fill, high aspect ratio features without voids It is possible.

Historically, a number of different plating bath solutions used to deposit copper have been used to meet a variety of needs / objectives. Copper sulphate and copper methane sulphonate are the most commonly used metal salts for electroplating copper, especially in the integrated circuit industry. In addition, acidic copper fluoroborate baths (a mixture of fluoroboric acid and copper with boric acid) with the potential for high copper solubility and high deposition rates are used, but partially or totally BF 4 - Due to the tendency of the anions to decompose to form harmful HF, the acidic copper fluoroboronic acid is not much preferred and is replaced by methane sulphonate, which also has high copper solubility. In addition, alkaline copper cyanide and copper pyrophosphate baths have been extensively used in cyanide baths with generally good plating performance, but they are not preferred due to their toxicity and safety.

Although the scope of the present invention is not limited to the electroplating of a particular metal or the combination of the pre-wetting fluid with the particular plating solution described in the examples, copper sulfate and / or copper methanesulphonate may be added to the Will be used as an example. The embodiments disclosed herein can be used to provide a variety of solder alloys such as nickel, ion, gold, silver, tin, lead, zinc as well as copper and other metals in co-deposited alloys (e.g., Or magnetic alloy materials including iron, cobalt, and nickel) for the deposition of metals other than copper. It is also understood that in copper electroplating, a variety of other salts besides copper sulfate and copper methane sulfonate may also be used.

Copper sulfate and copper methanesulfonate plating bath solutions typically comprise three or more materials (so-called plating "additives") at a small concentration (10 ppb to about 1000 ppm) that affect the electrodeposition reaction. Typically, the additive includes an accelerator (also referred to as a chemical species containing a mercaptoester such as a brightener), an inhibitor (usually a polymer such as polyethylene glycol, for example a carrier) , Levelers, and halides (e.g., chloride and bromide ions), each of which has a unique and beneficial role in forming copper films with desired micro and macro characteristics.

The pre-wetting fluid and plating solution composition described herein can be used with any of the device designs or methods. For example, the pre-wetting fluid and plating solution composition may be used in conjunction with the methods described in Figures 11A, 11B, and 12.

There are several different categories of process interactions that should be considered in selecting the optimal pre-wetting fluid for a wafer substrate. Various issues are discussed herein, along with hypothetical and measured examples of the effects of the above process interactions on feature fill.

One of the considerations is that from the period after the pre-wetting fluid is applied to the surface under vacuum and from the time the wafer is transferred to the plating bath to the plating bath and the entire surface is covered with the pre-wetting fluid , The surface tension of the pre-wetting fluid should be sufficiently compatible with the wafer substrate surface (e.g., hydrophilic). In some embodiments, just prior to immersion in the plating solution, the pre-wetting layer is thin (e.g., about 50 to 500 μm thick) and uniform. The thinning of the film keeps the concentration increase or the dilution / modification amount of the plating bath concentration small, and the film exhibits a minimum delay in adsorption of the plating additive to a common plating surface (i.e., field zone). By uniformizing the film thickness, a uniform conversion from the solution-coated state of the pre-wetting fluid composition to the solution composition for plating is possible and can be adjusted much more easily.

Another consideration is that when the wafer is transferred from the pre-wetting site to the plating site, the features are filled with the pre-wetting fluid and the general surface is covered with the fluid. It is believed that during the time between the initial exposure of the surface to the plating solution and the beginning of plating, undesirable reactions with the constituent of the pre-wetting fluid alone or with the constituents of the pre-wetting fluid, Reaction may occur. By degassing the pre-wetting fluid (e.g., using the degassing apparatus described herein), the above reactions associated with the dissolved gas can be reduced or eliminated. In addition, when the liquid surface layer of the pre-wetted wafer is exposed to air, gas re-adsorption into the degassed pre-wetting fluid will occur (e.g., after 15 seconds or more) and may cause harmful corrosion or other It can also have an impact. Alternatively, through the appropriate selection of components included in the composition of the pre-wetting fluid and / or timely / rapid wafer transfer to the plating cell, such reactions and effects can be reduced or avoided altogether.

Generally, the reaction between the pre-wetting fluid and the seed layer on the wafer is derived from the presence of chemical propulsion (i.e., negative free energy for reaction) with an appropriate activation energy. By eliminating these propulsive forces or by suppressing dynamics, harmful reactions can be prevented in advance. The reaction may be carried out in the presence of a combination of one or more solvents (e.g., water, alcohol, carbonate or ketone), a pre-wetting fluid solute (such as an acid, inorganic salt, organic electrolyte or neutral plating additive species) .

An example of a particularly deleterious reaction is the corrosion reaction of the metal seed layer. The seed erosion rate can be determined, for example, by the following factors: the pre-wetted wafer transfer time, the temperature of the pre-wetting fluid, and the parameters such as the plating solution bath, the choice of pre-wetting solvent, Will vary depending on the particular composition that is dissolved, and any space-change and time-varying distributions or redistributions (i.e., concentration differences due to diffusion into or out of the features) during initial locking of the wafer in the plating solution bath. These different reactions are described herein.

Any electrolytic reaction to metal corrosion can be expressed as two half reactions, and these two half reactions are coupled by the transfer of electrons in the metal. For example, reduction of oxygen or other oxidizing agents in the solvent (reducing elements) combine with oxidation of the copper metal. The reaction of copper metal with oxygen occurs in two stages of cupric ion, depending on the presence of cuprous ion, solvent environment, complexing agent, and pH.

Cu → Cu + + e → Cu + 2 + e- (8)

The reduction reaction of oxygen written for acid conditions or alkaline conditions is as follows.

O 2 + 4H + + 4e - ? H 2 O (9a)

O 2 + 2H 2 O + 4e - ? 4OH - (9b)

By using an oxygen free pre-wetting fluid, it completely prevents the reaction (9a or 9b) from occurring, so that corrosion of the copper is inhibited from the above source. Thus, in some embodiments, it is desirable to remove oxygen from the pre-wetting fluid. However, if oxygen is re-injected into the electrolyte from the surrounding environment (e.g. during transfer from the pre-wetting site to the plating site), reaction 9a or 9b may occur again. Similarly, if the supply of protons is low (e. G., A pH of about 3 or higher), the reaction 9a will be reduced.

13, the structure 1301 of the wafer substrate 1302 consists of a cavity filled with pre-wetting fluid 1303. The feature surface 1305, wall 1306 and bottom 1307 of the structure are typically deposited as a barrier layer (not shown) below the "seed layer" (e.g., copper 1304) Coated. The thickness of the metal along the wall (especially the wall 1308) is much thinner (and also less at the bottom of the feature 1307) than at the surface 1305 due to the nature of the seed deposition process (e.g., PVD) Often thinner). Initially, degassed pre-wetting fluid 1303, which has little or no dissolved gas (e.g., oxygen) and no bubbles, is injected into the surface in a vacuum. However, during the wafer transfer, some gas liquid from the atmosphere is subsequently injected into the exposed liquid layer surface 1308, resulting in a state close to saturation. For a much shorter diffusion distance and resistance to reach the surface 1305, it is desirable that the oxygen reduction reaction 9a be started first. Reaction (8) may occur somewhere along the surface, but the reaction may be desirable to occur at the point where the membrane is the thinnest (the entire seed metal layer may be lost) and the effect of the reaction is most harmful and on the roughest surface have. Also, it is preferable that a metal corrosion half-reaction takes place in places where the oxygen reduction reaction does not occur at the same time (for example, at places 1307 and 1308) as deep inside the feature. The entire reaction is completed by allowing electrons formed by the reaction (8) in the feature to pass through the metal along the wall to the top of the feature and the field, where the electrons are bound to oxygen by reaction (9a or 9b). The walls may be damaged due to feature formation and / or deposition processes (e.g., from repeated application of a SF 6 isotropic RIE etch / C 4 F 8 passivation sequence known as a high-grade silicon etch or "Bosche & On a microscopic scale, the surface can be rough. Rough metal surfaces tend to have local high electrochemical activity, and therefore will be more corrosive on rougher metal surfaces than on smooth and smooth surfaces. This phenomenon will promote metal loss from these rough metal surfaces. See, for example, a discussion of the above phenomenon as disclosed in U.S. Patent No. 6,946,065.

In some embodiments, a substantially non-conductive (i. E., Non-ionized, and no electrolyte) solvent can be used effectively for pre-wetting fluids in a pre-wetting process performed in a vacuum state. This is a negative factor that would otherwise have led to avoiding the use of such fluids. One of these negative factors is that the conductivity of the pre-wetting fluid is fairly small. At the time immediately after the wafer is immersed in the plating bath solution, deposition at the bottom of the filled with nonconductive or low conductivity solvent can not support the plating because the solvent can not support ionic current flow, Is expected. Another potentially detrimental factor is the formation of internal corrosion cells and the formation of internal corrosion cells due to the different activities of the dissolved metals at the wafer surface and in the features after the wafer is inserted into the electroplating bath. The electrochemical transition difference in solution between the bottom of the feature and the top of the feature can be expressed by the Nernst equation:

Figure 112011013259321-pct00005
(10)

In the equation 10, R is the universal gas constant, T is the absolute temperature, n is the number of electrons in the corrosion reaction, F is the constant of Faraday, C (feature) and C Ion concentration. A concentration cell is formed and a potential is formed by the difference in concentration as given by Eq. 10 by corrosion. When using a pre-wetting fluid free of dissolved metal ions, the bottom of the feature will encounter a C (feature) concentration that is less than C (surface) for a period of time after being immersed in a plating bath containing metal ions. Thus, there will be a corrosive potential difference between the bottom of the feature and the location of the surface, and due to this corrosion potential, the metal on the walls and bottom of the feature is preferably oxidized to release electrons, By combining, the cycle can be completed.

Specifically,

Cu ++ + 2e-? Cu (11)

Will occur in the surface zone and occur at the walls of the feature and at the bottom surface at the bottom of the feature

Cu → 2e- + Cu ++ (12)

Oxidation reaction.

In order to avoid such undesirable processes when using this type of pre-wetting fluid, it is important to polarize the wafer surface in a negative (plating) manner with respect to the plating solution before or after immersing the wafer surface in the plating solution Do. (See U.S. Patent Nos. 7,211,175, 6,562,204, and 6,551,483, which are incorporated herein by reference in their entirety for all purposes) Is achieved by applying a negative potential difference or a small cathodic current between the wafer and the solution. Alternatively, or in addition, in some embodiments, a rapid rinse of the wafer surface with a solution having a relatively low metal ion concentration (e.g., deionized water) can be used, and a high speed rotation or other Other methods follow. This process reduces the concentration of metal at the surface relative to the concentration of metal in the feature, but also removes electrolyte from the wafer edge, thereby reducing the tendency of the electrolyte to be plated on the edge of the wafer and plating device contacts (e.g., , When plating in a closed or sealed contact "plating cup"). As yet another alternative, the metal ion concentration in the pre-wetting solution may be at least equal to or greater than the metal ion concentration in the subsequent plating bath.

Examples of embodiments of the substantially non-conductive type of pre-wetting fluid include isopropyl alcohol without electrolyte or other water-soluble non-aqueous solvent (e.g., a solvent that can be mixed with water). Other embodiments include alcohols, dialkylcarbonates, dimethylformamide, and dimethyl sulfoxide. Yet another embodiment provides a process for the production of a small concentration of non-metal-complexing tetramethylammonium sulfate and / or tetramethylammonium hydroxide in a pH range of between about 3.5 and 11.5. Lt; / RTI &gt; Another embodiment is an aqueous solution comprising a surfactant such as an anionic surfactant (with an alkali metal cation or a tetramethylammonium cation), such as laurylsulfate. A pre-wetting fluid that is a non-copper complex is used in some embodiments, having a reduced surface tension as compared to water, having a relatively low conductivity (e.g., as compared to an acid or a strong base).

In some embodiments of the electro-plating process 1200 for electro-plating a metal layer on a wafer substrate as shown in FIG. 12, a wafer substrate having a metal layer exposed on some or all of the wafer substrate surface is transferred into a pre-wetting process chamber (1205). The wafer then contacts the pre-wetting fluid to form a layer of pre-wetting fluid 1210 on the wafer substrate. The pre-wetting fluid comprises an aqueous solvent. The water-soluble solvent may be an alcohol, ketone, dimethyl carbonate, diethyl carbonate, dimethylsulfoxide, or dimethylformamide. The pre-wetted water is then contacted with a plating solution comprising metal ions to electroplate the metal layer on the wafer substrate (1215). In some embodiments, the plating solution may include copper ions to deposit a copper layer on the wafer substrate.

For the sake of detail, in some embodiments, the half oxidation (e. G., Equations 8 and 9) must complete the electrical circuit and therefore pass the ion current between the two sites where the oxidation and reduction reactions take place. The use of a pre-wetting fluid having a small ionic conductivity, such as a solvent that is substantially free of a low conductivity solvent or ionically separated conductive ions (such as dissolved acids, bases, and salts) It is advantageous. Many water soluble solute free solvents, such as deionized water, isopropyl alcohol, ethylene glycol, propylene glycol, propylene carbonate, etc., have a high electron resistance in the absence of solute, The solubility of cuprous copper is generally very small. Because of these factors, corrosion of metals in such solvents can only occur by direct oxidation using dissolved oxygen, a process at generally very slow atmospheric temperatures, and oxygen concentration:

Cu + 1 / 2O 2 → Cu 2 O (13)

Thus, it is an example to perform pre-wetting using an ionic-solute-free solvent such as water or deionized water (to avoid reaction 13) Another embodiment is to perform pre-wetting using a deoxygenated deionization solvent such as ionized water. In some embodiments, the plating solution is also deoxygenated / degassed prior to contact / exposure to the wafer surface and during contact / exposure to the wafer surface, and a potential or current is applied to the wafer before insertion, The formation of the reaction 12 in the feature can be prevented. In conjunction with sidewall corrosion protection, the use of dissolved non-ionic species (e. G., Nonionic surfactant or switter-ionic surfactant added to lower surface tension, , Are useful in some embodiments over highly conductive ionic solutes such as acids and bases. This is generally due to the low solution specific conductance and ionic currents that combine the half-oxidation reaction and the corrosion half-reaction. An exception to this is the addition of a surface that adsorbs an electrochemically active non-ionic material (e.g., a non-ion leveler compound). In some embodiments, a further example of an undesirable pre-wetting fluid combination for copper plating is not polyethylene glycol or a polyethylene / polypropylene oxide copolymer (known to act as a plating "inhibitor"), Lt; RTI ID = 0.0 &gt; (e. G., Chloride) ions. In some embodiments, the inhibitor without adsorption and electrochemically active enhanced halides appears to be undesirable unless it is very low in concentration.

In one experiment performed in accordance with the embodiments described herein, a TSV of 60 [mu] m depth / 10 [mu] m width was electroplated to copper through a structure having an 8000 A copper seed layer. The features were pre-wetted with deoxygenated deionized water. After the wafer has been exposed to the atmosphere for five minutes, the wafer is transferred to the plating cell and subsequently immersed in the plating solution. The plating solution was a deoxygenated plating bath with an additive component sold under the trademark DVF 200 TM by Enthone Inc (DVF 200 TM is a copper methanesulfonate / methanesulfonate plating solution, in which the accelerator, And leveler additive, and 50 ppm chloride ion were added). Using the above method, the charging characteristics of a number of individual features across multiple runs showed a complete void-free feature in most cases. In some experiments, the wafer was negatively polarized before being inserted into the plating bath. These results show a process robustness for forming a no-cavity bottom-up charge using a combination of degassed deionized water pre-wetting processes performed in vacuum and subsequent equipotential insertion into a copper plating solution.

In other embodiments, substantially nonconductive, including some dissolved compounds other than metal (e.g., electrolytic or nonionic, organic or inorganic, with a relatively small amount added to reduce surface tension and acid in wetting) A pre-wetting fluid is used that is substantially free of material that is adult, but electrochemically active, or considered to be a plating bath additive. For example, in some embodiments, any promoter / brightener or leveler (which may be commonly found in subsequent plating baths), rather than a pre-wetting fluid comprising an electrochemically active agent, A pre-wetting fluid is used that does not substantially contain the liquid.

In one experiment performed in accordance with the embodiments disclosed herein, wafers with a TSV of 60 [mu] m depth / 10 [mu] m through a structure having an 8000 A copper seed layer were electroplated with copper. The features were dimercapto-propane sulfonic acid (SPS) (copper salt, 80 g / L copper ion), 20 g / L methanesulfonic acid, 50 ppm chloride and 3 or 12 ppm copper plating promoter, Lt; RTI ID = 0.0 &gt; pre-wetting &lt; / RTI &gt; After pre-wetting, the wafer was exposed to the atmosphere for about 1 minute, then transferred to the plating cell, and subsequently immersed in the plating solution. The plating solution was a deoxygenated plating bath with an additive component sold by Enthone Inc. under the DVF 200 TM trademark. Thereafter, copper was plated on the wafer. (I.e., pre-wetted with a solution containing 3 ppm dimercapto-propanesulfonic acid and 12 ppm dimercapto-propanesulfonic acid), a sidewall void was formed.

In some embodiments, the pre-wetting fluid comprises water and a copper salt. This helps to avoid corrosion of the seed layer by setting the electrochemical differences discussed in relation to Eq. 10. In certain embodiments, the copper salt is at a concentration of at least about 50% of the saturation limit. In certain embodiments, the copper salt is copper sulfate, a copper alkylsulfonate salt, and mixtures thereof. In certain embodiments, the copper salt is at a concentration greater than about 20 g / L of copper. In some embodiments, after pre-wetting the wafer substrate with a pre-wetting fluid comprising water and a copper salt, the pre-wetted wafer substrate is electroplated with copper using a copper-containing plating solution; The pre-wetting fluid comprises a copper salt with a copper concentration equal to or higher than the copper concentration in the plating solution. In some embodiments, the copper concentration in the pre-wetting fluid is greater than about 25% greater than the copper concentration in the plating solution. In another alternative embodiment, the pre-wetting fluid consists essentially of water and a copper salt.

In some embodiments, the plating solution (i.e., the same metal salt and / or the same metal ion concentration, the same acid and / or the same acid concentration, the same halide and / or the same concentration of halide, the same additive and / Wetting fluid having a composition that is the same as or very similar to the composition of a liquid (e.g., a solution having an additive). In embodiments where the pre-wetting fluid and plating solution have the same composition, the metal layer may be plated on the wafer substrate in the same chamber, as used in pre-wetting. However, if the seed layer is marginal (e.g., rough and thin in the feature), this pre-wetting fluid (i.e., the same or very similar fluid as the plating solution) It may be possible to fill the feature to be co-extensive. In addition, as described herein, by using a solution different from the plating bath for pre-wetting, the feature filling rate can be improved.

In one experiment performed in accordance with the embodiments disclosed herein, a TSV of 60 [mu] m depth / 10 [mu] m width was plated with copper through a structure having an 8000 A copper seed layer. The features were first pre-wetted with the plating solution (i.e., the pre-wetting fluid had the same composition as the plating solution). A commercially available deoxygenated plating bath with a plating additive component sold under the trademark DVF 200 TM by Enthone Inc. was used (i.e., in other experiments described herein, the plating additive component was DVF 200 TM ), the feature / wafer was pre-wetted by the pre-wetting process described herein. The surface was exposed to a deoxygenated plating bath and then the surface was exposed to the atmosphere for one or three minutes between release of vacuum and transport / lock to plating bath and start of metal deposition. The wafer was negatively polarized immediately upon insertion into the plating solution. In the case where the surface was exposed to the atmosphere for one minute, the feature was filled with metal without any voids and no signs of side wall corrosion. However, the features from the same wafer show that some features have not been filled, typically one side of the feature having an irregularly shaped cavity. This is generally believed to be related to the loss of seed metal on that side of the feature. For the wafers prepared and treated in exactly the same way except that they were exposed to the atmosphere for three minutes between release of vacuum and start of plating, feature filling was roughly incomplete. In many cases, the entire bottom of the feature was not plated. In addition, a similar tendency (i. E., A transition from no-cavity to significant sidewall cavities) occurs at fixed air exposure times but in a decrease in seed layer thickness. Thus, in some embodiments, the use of a plating solution as a pretreatment solution is less than optimal, due to significant sensitivity to imperfect feature fill due to sidewall corrosion. In particular, in the case where the seed layer thickness is considerably thin, the number of sidewall void-type defects has significantly increased in the case of both above indicating a narrow tolerance of the seed layer for this pre-wetting fluid.

Referring back to reactions (8 and 9), the metal ions produced by the combination of reaction (8) and reaction (9a or 9b) must allow the surface-reversed (ionic) current to flow through the fluid Thus, in some embodiments, a substantially conductive solution has an undesirable pre-wetting fluid property. This in turn is a substantial conductivity generally required in plating solutions, where the conductivity is tailored to minimize the voltage drop in solution and within the features to facilitate the deposition process. A particular concern is the high ion mobility of the highest proton of any cation. These properties tend to confer very high conductivity to acidic solutions having a given molarity. Thus, as a general rule, a pre-wetting fluid having a high concentration of highly dissociated acid (e.g., producing a pH of at least about 2 or forming at least about 0.01 mole of free protons) is not preferred in some embodiments, Because of the high conductivity of the fluid, which facilitates the corrosion reaction. Under these acidic conditions, the metal at the bottom of the feature wall 1308 (FIG. 3) is in an undesirable state and can potentially lead to results that result in areas that corrode or produce sidewalls without the electro- have.

As a key consideration, it is desirable to avoid corrosion inside these features, and avoid feature-filling defects such as cavities. The combination of high conductivity, acidity, and potentially undesirable adsorption, reaction of additives, and halides with metals can lead to, for example, feature side wall corrosion and filling defects, as well as optimal feature fill rates It is possible to inhibit or delay the desired distribution of the various surface additives required for no-void filling. Since the metal on the sidewall is thin and can be oxidized prior to exposure of the pre-wetting fluid, a corrosion reaction involving acid or other components can result in the loss of all the plating-capable metal, thereby causing copper diffusion barrier layer tantalum, It is possible to leave a metal that is not plated, such as tantalum nitride having an exposed oxide layer. Thus, a poor feature filling may result by exposing the surface to an improper component mixture of the pre-wetting fluid such that the exposed surface is cathodically protected (cathodically protected). In contrast to the use of strongly acidic electrolytes (a pH of about 2 or less), the supply of protons in reaction 9a can be limited by using a more neutral or near-neutral pre-wetting fluid, thereby reducing the corrosion rate Defects are reduced, and reliability is generally improved, so that the overall pre-wetting can be successful. The pre-wetting fluid of this description is generally not optimal or acceptable for copper metal deposition, but is preferred for pre-wetting in some embodiments. Solutions in the pH range of about 2 to 12 without dissolved metal ion complex anions do not allow reactions such as 8 and 9 to occur at a perceptible rate.

In some embodiments, the pre-wetting fluid comprises deionized water, acid, and copper salts, and the pH of the pre-wetting fluid is not lower than about 2. In a further embodiment, the pH of this pre-wetting fluid is between about 2 and 4. The acid in this embodiment may be sulfuric acid, alkylsuphonic acid, and mixtures of these acids. The pre-wetting fluid may also contain less than about 2 g / L sulfuric acid or methane sulfonic acid in some of the embodiments. In other cases, the pre-wetting fluid consists essentially of water, acid, and copper salts, and the pH of the pre-wetting fluid is at least about two. In another embodiment, the pre-wetting fluid comprises water and an acid, and the pH of the pre-wetting fluid is at least about two.

Complexing with additional oxidizing sources (e.g., dissolved oxygen) having a pH of at least about 3, according to the various pH / dislocation stability diagrams and calculations disclosed (known as Pourbaix diagrams) It is expected to form a metal surface oxide by exposing the copper metal to the electrolyte solution. Oxidation instead of dissolved metal salts of copper can inhibit further oxidation. The primary copper ions formed at the interface to react directly with water or hydroxide are thermodynamically preferred in order to form a primary copper oxide or hydroxide (rather than to form a dissolved cuprous salt or cupric salt).

2Cu + 4OH - - &gt; Cu 2 O + H 2 O + 4e - (15a)

Cu + 2OH - → Cu (OH ) 2 + 2e - → CuO + H 2 O + 2e - (15b)

At very high pH, the hydroxides of copper are somewhat soluble, and thus these conditions may be somewhat less preferred from this view. The combination of the copper oxidation half reaction and the oxygen reduction reaction can be reduced in the neutral solution so that the prewetting fluid without a copper complexing agent in a pH range of about 2 to 12 (more preferably about 3.5 to 10.5) Lt; / RTI &gt; is a kind of pre-wetting fluid useful for use in a state of being. This type of pre-wetting fluid may be a solution that may include some dissolved compounds (e. G., Electrolytic and non-ionic, organic or inorganic to reduce surface tension and aid in wetting) And substantially no material that electrochemically changes the activity, and / or is considered as a plating bath additive. The presence of a copper complexing agent also alters the conditions under which the complex is formed instead of passivating the oxide / hydroxide; In the presence of oxygen, undesirable high-speed corrosion is expected in metal complexing agents containing solutions with dissolved oxidizing agents. Typically, some materials that are bath additives are mercapto groups (such as mercapto-propanesulfonic acid, di-mercaptopropane sulfonic acid, including mercapto-propanesulfonic acid, (E.g., diazine black and Janus green B) containing a metal complex and a leveler. For example, a pre-wetting fluid that does not sublime into any of the brighteners or levels commonly found in the plating baths used subsequently can avoid the associated pre-wetting seed metal parts. Inhibitors such as polyethers (e.g., polyethylene glycols, polypropylene oxides, etc.) or metal ion complexing agents are not particularly corrosive by themselves and are added when the fast charging is not a major concern because they tend to reduce surface tension as a wetting agent . However, the addition of an inhibitor together with a chloride ion, generally considered as a co-constituent required to achieve inhibitor electrochemical activity, is undesirable in some embodiments.

In some embodiments, the pre-wetting fluid can help remove the oxide surface. In some embodiments of the electro-plating process 1200 for electro-plating a metal layer on the wafer substrate shown in FIG. 12, a wafer substrate having a metal layer exposed over some or all of the wafer substrate is provided in the pre-wetting process chamber (1205). Thereafter, the pressure in the pre-wetting chamber is reduced to an atmospheric pressure (not shown). The wafer then contacts the pre-wetting fluid to form a layer of pre-wetting fluid 1210 on the wafer substrate. In one embodiment, the pre-wetting fluid comprises an acid to partially or totally remove surface oxides from the seed layer, and the pre-wetting fluid has a pH between about 2 and 6. The pre-wetted wafer is then contacted with a plating solution containing metal ions to electroplate the metal layer on the wafer substrate (1215). The plating solution has a pH between about 2 and 6, and the plating solution and the pre-wetting fluid have different compositions.

In other embodiments, the pre-wetting fluid may help to convert the surface with the metal oxide to a metallic surface (e.g., primary copper or secondary copper oxide-reactions 6 and 7 and related discussion) , Or may help remove the oxide surface. In some embodiments of the electro-plating process 1200 for electro-plating a metal layer on the wafer substrate shown in FIG. 12, a wafer substrate having a metal layer exposed over some or all of the surface is provided in the pre-wetting process chamber (1205). The wafer then contacts the pre-wetting fluid to form a layer of pre-wetting fluid 1210 on the wafer substrate. In one embodiment, the pre-wetting fluid may contain a small amount of reducing agent to partially or totally reduce surface oxides on the seed layer. In another embodiment, the pre-wetting fluid may include a metal complexing agent to partially or wholly remove surface oxides on the exposed metal layer, wherein the pre-wetting fluid has a viscosity of between about 4 and 12 pH. The pre-wetted wafer may then be contacted with the plating solution to electroplate the metal layer on the wafer substrate (1215).

In some embodiments, the plating solution may include copper ions to electroplate the copper layer on the wafer substrate. In this embodiment, the exposed metal layer on the wafer substrate is typically copper or a copper alloy. Examples of reducing agents for copper include formaldehyde, glycolic acid (and its salts), and dimethylamine borane. In some embodiments, when the exposed metal layer is copper, the pre-wetting fluid may include a copper complexing agent to partially or totally remove surface oxides on the exposed copper layer, Lt; / RTI &gt; to 12.

Generally, low concentrations of halogen ions (e.g., parts per million, typically 10 to 100 ppm), such as chloride or bromide, are present and are often critical for many plating bath solutions. Halides are also a well-known corrosive agent. It is generally known that solutions containing halides will corrode the surface faster than the same solution without halides (i.e., matching pH and ionic strength). Since the halides are critical for successful plating and their concentration is low, the absence of halide in the pre-wetting fluid will inhibit uniform exposure to the interior surfaces of the features, thereby detrimentally affecting the feature filling process . However, in some embodiments, it is useful not to include or add even a very low level of halide to the pre-wetting fluid. In some embodiments, the pre-wetting fluid is substantially free of halides. Even at low ppm levels of halides with halide alone or with other plating bath additives, a sharp increase in the corrosion rate of metal on the sidewall of the feature was observed. While not wishing to be bound by any particular theory, the corrosion of the metal as a whole is probably promoted or stabilized by the formation of the primary copper halide reactant.

In a TSV feature filling example, similar to the other experiments described herein, performed in accordance with the embodiments described herein, the prewetting fluid is a mixture of 100 g / L copper methane sulfonic acid, 16 g / L methanesulfonic acid, and 50 ppm chloride Ion containing or no chloride. Thereafter, copper plating was carried out using the same solution and process as described for the other experiments described herein. Even with a small amount of halogen ions in the pre-wetting fluid, the side wall seed layer corrosion was greatly deteriorated.

Plating bath inhibitors include polyethylene glycol (PEG), polypropylene glycol (PPG), polyethylene oxide (PEO), polypropylene oxide (PPO), and various copolymers of these monomers. An inhibitor is used to inhibit copper plating on the outside of the features on the wafer, thereby allowing copper to be deposited on the inside of the feature. Inhibitors are also good surface tension reductants (surfactants) and can therefore be regarded as useful components in pre-wetting fluids. As mentioned herein, the inhibiting plating properties of the above compounds are generally induced in combination with halides, and the presence of halides can lead to feature side wall corrosion. In some embodiments, the pre-wetting fluid is substantially free of halides, plating promoters, and plating levelers, and includes plating inhibitors at low concentrations (e.g., typically about 15 ppm or less).

To determine the effect of the inhibitor on the pre-wetting fluid, a solution of 100 g / L copper methanesulfonate, 16 g / L methanesulfonic acid (sometimes referred to as VMS (virgin makeup solution) Experiments were carried out using pre-wetting fluids comprising various amounts of polyethylene glycol having a molecular weight of 8,000. Side wall corrosion was not generally observed in these chloride-free pre-wetting fluids. However, as the concentration of inhibitor reaching somewhere between about 5 ppm and 25 ppm, the feature charge was significantly affected. The charge characteristics were converted from a bottom up charge at inhibitors from about 0 ppm to 5 ppm to a bottom void at about 25 ppm inhibitor. At inhibitors of greater than about 50 ppm, the plating was highly conformable. Thus, the use of more than about 15 ppm inhibitor is not desirable from possible feature fill in some embodiments.

In some embodiments of the electro-plating process 1200 for electro-plating the copper layer on the wafer substrate shown in FIG. 12, a wafer substrate having a metal layer exposed over some or all of the surface is provided in the pre-wetting process chamber (1205). The wafer then contacts the pre-wetting fluid to form a layer of pre-wetting fluid 1210 on the wafer substrate. The pre-wetting fluid comprises water and copper ions, and substantially free of plating additives. In this embodiment, the plating solution comprises a plating additive. The concentration of copper ions in the pre-wetting fluid is greater than the concentration of copper ions in the plating solution. In some embodiments, the pre-wetting fluid is substantially free of additives including halides, accelerators, and levelers, and combinations thereof. In some embodiments, the pre-wetting fluid comprises polyethylene oxide at a concentration of about 15 ppm or less. In some embodiments, the plating solution additive comprises a halide, an accelerator, an inhibitor, and combinations thereof. The pre-wetted wafer is then electroplated (1215) with a layer of copper over the wafer substrate in contact with a plating solution containing copper ions.

In addition to the possibilities of feature pre-wetting fluids that aid or interfere with the specific filling process (e.g., sidewall corrosion avoidance or conforming type filling action formation), there is a relationship between the composition of the pre-wetting fluid and the feature filling rate do. In the experiments performed in comparison to the rate of feature filling, the plating bath solution composition and the plating current over time were fixed and the amount of feature fill at the end of the process was monitored. The experiment showed that the choice of pre-wetting fluid had a dramatic effect on feature fill rate and time, i.e. the fill rate was often increased by more than one factor and the fill time was reduced.

While it is not desired to have any particular description or model in this effect, it is contemplated that having a conductive electrolyte (e.g., as opposed to deionized water) that primarily comprises a significant amount of metal salt It is considered necessary to start and maintain. In some embodiments, the pre-wetting fluid should not contain some or all of the plating bath additives (i.e., levelers and inhibitors) needed in the field to inhibit plating on the field. In some embodiments, the pre-wetting fluid does not substantially include a plating leveler. Although it is useful in some embodiments to add a promoter to the pre-wetting fluid, an accelerator (e.g., dimercaptopropane sulfonic acid (SPS)) is simply added by surface exposure to the pre-wetting fluid , Which tends to bifurcate to form very strong adsorptive promoter monomers (e.g., mercaptopropanesulfonic acid (MPS)). This promoter bifurcation is fast enough so that the entire surface is saturated with the promoter adsorbent prior to the start of plating. Thus, at the beginning of subsequent plating, the field and top sidewall may wish to have the promoter removed or deactivated in order to allow the current to flow into the intricacy of the feature. Conversely, pre-wetting fluids that contain metal ions but do not contain accelerators or other additives will be plated at high speed when inserted into a bath until the inhibitory additive becomes surface-active. In some embodiments, the pre-wetting fluid is substantially free of at least one halide, a plating promoter, and a plating leveler.

The less accelerant can diffuse quickly from the plating bath to the entrance of the feature and to the lower area, while the inhibitor and the leveler molecules will diffuse more slowly and will initially act primarily at the upper sidewall of the feature, contact may be formed and current may flow into the feature. It mainly plays a role of inactivating, eliminating, or hindering the polarization developed by the inhibitor / halide combination of the promoter molecule. The promoter itself is merely a weak polarizing agent for an additive free solution with no polarization inhibitor / halide combination. Some halides, such as chlorides, may be relatively small, have similar activity and diffuse into the promoter molecule without the presence of inhibitors or levelers at the bottom of the feature, but surface kinetics will still be rapid, (The halide alone is generally non-polar and, in some literatures, the halide itself is referred to as depolarizing). Furthermore, it has been found that, for a sustained period of time (i.e., before any inhibitor can establish an "inertial" plating condition that tends to reduce the inhibitor propensity to absorb later) in a local environment without an inhibitor, Electrochemical conversion of SPS to the molecule avoids polarization and increases relative plating to the bottom of the feature. Conversely, in the top wall and field of the feature, the inhibitor is adsorbed immediately after exposure to the plating solution, and the leveler competes with the adsorption of the promoter to remove the polarization at the upper location, do. As time passes after locking into the plating bath, small molecules such as accelerators and halides will quickly diffuse into the feature, but larger levelers and much larger inhibitors will translate much slower, The effect can be delayed and thus a fast charge becomes possible.

Depending on the feature size, in certain embodiments, the seeding quality into the features, various processing costs, and other goals, one or more pre-wetting fluids may be preferred over each other. Tables 1 to 4 are based on a number of feature fill experiments and observations similar to those described herein, and these tables illustrate the tendency of feature corrosion and the improvement / delay of feature fill ), And it is classified into qualitative categories. The table item " EXCELLENT " generally exhibits very favorable results (e. G., Few or no circumstances of seed corrosion), or improved or high feature fill rates. The table item " GOOD "indicates potentially acceptable results even though it may not be optimal in all cases (e.g., depending on seed quality, plating bath, etc.). The table entry "fair" is typically at a critical point or includes unreliable performance and can often lead to negative or coarse results. Finally, the table entry "poor" indicates seed corrosion that is unacceptable almost unchanged, exhibits significantly changed (e.g., compliant filling), or reduced filling rate behavior.

Results at different acid concentrations are given. Although the difference between the two is generally found to be minimal, the results for the metal of the sulphate or methanesulphonate are also given. In the table given the name "sulfuric acid" or "methanesulfonic acid", the additional component forms a mixture of two types of acids (not simply more acids with the same chemical composition). For example, in Table 2, all of the pre-wetting fluid contains 2 g / L or more, or sulfuric acid or methanesulfonic acid. The pre-wetting fluid contains both 2 g / L sulfuric acid and 2 g / L methanesulfonic acid in row 1 [ie> 2 g / L methanesulfonic acid (or sulfuric acid)]. The pre-wetting fluid in line 2 (i.e., 2 g / L methanesulfonic acid (or sulfuric acid)) contains 2 g / L sulfuric acid or 2 g / L methanesulfonic acid. Sulfuric acid has approximately the same molecular weight as methanesulfonic acid and therefore the concentration is approximately the same in these two cases, but the sulfuric acid is both diatomic and has different dissociation constants (H 2 SO 4 : MW = 98, pKa 1 = 3.0, pKa 2 = 2; CH 3 SO 3 H: MW = 96, having a pKa = 1.9), therefore the pH of the solution containing the same amount of sulfuric acid is lower. Finally, the temperature of the copper solution from different salts is expressed in grams per liter of secondary copper (Cu ++ ) (not anhydrous salt or hydrated salt).

From these tables, a number of general trends for good pre-wetting fluids can be identified, and the pre-wetting fluids have little or no acid (especially pH 2 or higher) , Contains little or no halide (<10 ppm) halogens, contains up to about 15 ppm PEG, such as inhibitors, and includes leveling or accelerator plating additives. A solution containing from 20 g / L to 100 g / L of metal ions and containing no components other than the solvent (water) and the low concentration of surfactant (or no surfactant) &Lt; / RTI &gt;

Figure 112010076810861-pct00006

Table 1: Pre-wetting fluids of deionized water (DI water) and other ingredients

Figure 112010076810861-pct00007

Table 2: Pre-wetting fluids of 2 g / L sulfuric acid or methanesulfonic acid, and other ingredients

Figure 112010076810861-pct00008

Table 3: Deionized water, 2 g / L sulfuric acid or methanesulfonic acid, and other components pre-wetting fluid

Figure 112010076810861-pct00009

Table 4: Deionized water, 2 g / L sulfuric acid or methanesulfonic acid, 20 g / L or higher copper sulfate, and other ingredients pre-wetting fluid

conclusion

Although the device design and method described above have been described in detail for clarity of understanding, it will be apparent that certain changes and modifications may be practiced without departing from the scope of the appended claims. It should be noted that there are many alternative ways of implementing both the processes and compositions described herein. Accordingly, the embodiments of the present invention should be considered as illustrative and not restrictive, and the embodiments should not be limited to the details set forth herein.

Claims (32)

A degassing device configured to remove the dissolved at least one gas from the pre-wetting fluid to produce a degassed pre-wetting fluid;
Process chambers comprising:
An injection port connected to the degassing device and configured to inject the degassed pre-wetting fluid,
A wafer fixing unit configured to fix the wafer substrate in a face-up direction and configured to rotate the wafer substrate, and
A vacuum injection port to enable vacuum formation in the process chamber;
A plating chamber configured to electrolytically process the wafer substrate in a face-down direction; And
As a controller,
A program command for rotating the wafer substrate at a first rotation rate,
Contacting the wafer substrate with the degassed pre-wetting fluid that exits the degassing apparatus and is injected through the injection port at a subatmospheric pressure in the process chamber while rotating the wafer substrate at the first rotation rate, Program instructions for forming a wetting layer on a substrate, wherein said degassed pre-wetting fluid is in a liquid state, and
The controller including program instructions for conveying the wafer substrate to the plating chamber;
.
The method according to claim 1,
Wherein the degassing device is configured to remove from the pre-wetting fluid one or more dissolved gases, wherein the pre-wetting fluid is at a temperature of 20 ° C or less.
delete delete delete The method according to claim 1,
Wherein the process chamber is configured to maintain a pressure of 50 Torr or less during formation of the wetting layer on the substrate.
delete delete delete delete delete The method according to claim 1,
Further comprising a conveying means configured to convey the wafer substrate from the process chamber to the plating chamber.
The method according to claim 1,
Characterized in that the device is a station or station in a module and the module further comprises a station configured for an anodic process selected from the group consisting of an electro-etching process or an electropolishing process .
delete The method according to claim 1,
Wherein the plating chamber is configured to immerse the wafer substrate in a degassed plating electrolyte in the plating chamber.
16. The method of claim 15,
Wherein the plating chamber is configured to cathodically polarize the wafer substrate before immersing the wafer substrate in the degassed plating electrolyte.
delete 2. The method of claim 1,
Stopping delivery of the pre-wetting fluid;
Instructions for rotating the wafer substrate at a second rate of rotation to remove an excess surface of the entrained pre-wetting fluid from the wafer substrate after stopping delivery of the pre-wetting fluid;
&Lt; / RTI &gt;
19. The computer readable medium of claim 18,
Program instructions for reducing the pressure in the process chamber to an atmospheric pressure prior to forming a wetting layer on the wafer substrate; And
Instructions for increasing the pressure in the process chamber to atmospheric pressure or above atmospheric pressure after stopping delivery of the degassed pre-wetting fluid and before removing excess surfaces of the entrained pre-wetting fluid;
&Lt; / RTI &gt;
19. The computer readable medium of claim 18,
Further comprising program instructions for reducing the pressure in the process chamber to an atmospheric pressure prior to forming the wetting layer on the wafer substrate.
The method according to claim 1,
The apparatus may be configured to remove excess surface of the entrained degassed pre-wetting fluid by a method selected from the group consisting of centrifugal spinning, air-knife drying, and wiping. To remove the wafer from the wafer surface.
A degassing device configured to remove the dissolved at least one gas from the pre-wetting fluid to produce a degassed pre-wetting fluid;
A process chamber configured to maintain a vacuum comprising:
A wafer fixing unit configured to fix the wafer substrate in a face-up direction and configured to rotate the wafer substrate,
An inlet connected to the degassing device and configured to inject the degassed pre-wetting fluid and deliver the stream of degassed pre-wetting fluid onto the wafer substrate that is fixed in a liquid-phase, face-up direction; and
A vacuum injection port to enable vacuum formation in the process chamber;
A plating chamber configured to electrolytically process the wafer substrate in a face-down direction; And
As a controller,
A program command for rotating the wafer substrate at a first rotation rate,
The wafer substrate fixed in the face-up direction at a sub-atmospheric pressure in the process chamber while the wafer substrate is being rotated at the first rotation rate is transferred from the degassing apparatus to the degassed free- Program instructions for forming a wetting layer on the wafer substrate in contact with a wetting fluid, and
The controller including program instructions for conveying the wafer substrate to the plating chamber;
.
The method according to claim 1,
Wherein the degassing apparatus is configured to remove one or more dissolved gases from the pre-wetting fluid, wherein the pre-wetting fluid is at a temperature of 0 ° C or less.
2. The method of claim 1,
Further comprising program instructions for reducing the pressure in the process chamber to an atmospheric pressure prior to forming the wetting layer on the wafer substrate.
25. The computer readable medium of claim 24,
Further comprising program instructions for initiating formation of a wetting layer on the wafer substrate when the pressure in the process chamber is reduced to less than 50 Torr.
2. The method of claim 1,
Further comprising program instructions for contacting the wafer substrate with the degassed pre-wetting fluid for 10 to 120 seconds.
The method according to claim 1,
Wherein the vacuum injection port is located below the wafer fixture and the process chamber further comprises a fluid protection shield for housing the vacuum injection port wherein the surge of gas disturbs the fluid in the chamber &Lt; / RTI &gt;
The method according to claim 1,
Characterized in that the pre-wetting fluid and the eletroplating bath for electroplating the wafer substrate in the plating chamber have a different composition.
The method according to claim 1,
Wherein the pre-wetting fluid is deionized water.
The method according to claim 1,
Wherein the wafer substrate comprises a feature having a width greater than 5 [mu] m and a depth greater than 10 [mu] m.
The method according to claim 1,
Wherein the wafer substrate comprises a feature having an aspect ratio of at least 3: 1.
The method according to claim 1,
Wherein the degassing apparatus has a dissolved atmospheric gas of 0.5 ppm or less and is configured to generate a degassed pre-wetting fluid for contact with the wafer substrate.
KR1020107026340A 2009-06-17 2010-06-16 Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling KR101265416B1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US21802409P 2009-06-17 2009-06-17
US61/218,024 2009-06-17
US12/684,792 US20100320081A1 (en) 2009-06-17 2010-01-08 Apparatus for wetting pretreatment for enhanced damascene metal filling
US12/684,787 US8962085B2 (en) 2009-06-17 2010-01-08 Wetting pretreatment for enhanced damascene metal filling
US12/684,792 2010-01-08
US12/684,787 2010-01-08

Publications (2)

Publication Number Publication Date
KR20110044834A KR20110044834A (en) 2011-05-02
KR101265416B1 true KR101265416B1 (en) 2013-05-16

Family

ID=44239939

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107026340A KR101265416B1 (en) 2009-06-17 2010-06-16 Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling

Country Status (1)

Country Link
KR (1) KR101265416B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006004955A (en) * 2003-05-30 2006-01-05 Ebara Corp Substrate processing apparatus and substrate processing method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006004955A (en) * 2003-05-30 2006-01-05 Ebara Corp Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
KR20110044834A (en) 2011-05-02

Similar Documents

Publication Publication Date Title
US10840101B2 (en) Wetting pretreatment for enhanced damascene metal filling
US10301738B2 (en) Methods and apparatus for wetting pretreatment for through resist metal plating
CN105845558B (en) Through silicon via filling process
KR102113883B1 (en) Methods and apparatus for wetting pretreatment for through resist metal plating
KR102348574B1 (en) Alkaline Pretreatment for Electroplating
US7503830B2 (en) Apparatus for reduction of defects in wet processed layers
US20140299476A1 (en) Electroplating method
TW201439385A (en) Electrofill vacuum plating cell
KR20110127617A (en) Through silicon via filling using an electrolyte with a dual state inhibitor
WO2008002977A2 (en) Apparatus for applying a plating solution for electroless deposition
KR20220025886A (en) Removal of byproducts from electroplating solutions
US8268155B1 (en) Copper electroplating solutions with halides
KR101265416B1 (en) Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling
US20050109627A1 (en) Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160426

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180426

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190425

Year of fee payment: 7