KR20100076457A - Method for forming semiconductor device - Google Patents

Method for forming semiconductor device Download PDF

Info

Publication number
KR20100076457A
KR20100076457A KR1020080134510A KR20080134510A KR20100076457A KR 20100076457 A KR20100076457 A KR 20100076457A KR 1020080134510 A KR1020080134510 A KR 1020080134510A KR 20080134510 A KR20080134510 A KR 20080134510A KR 20100076457 A KR20100076457 A KR 20100076457A
Authority
KR
South Korea
Prior art keywords
via hole
film
insulating layer
layer
insulating
Prior art date
Application number
KR1020080134510A
Other languages
Korean (ko)
Inventor
양진호
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020080134510A priority Critical patent/KR20100076457A/en
Publication of KR20100076457A publication Critical patent/KR20100076457A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PURPOSE: A method for manufacturing a semiconductor device is provided to enable a side wall profile to form a via hole having vertical or positive slopes by remaining a second insulating layer on the side wall of the via hole during the formation of a dual damascene pattern. CONSTITUTION: An etch stopping layer(33A) and a first insulating layer(34) are successively formed on a conductive film(32). The first insulating layer is selectively etched so that a via hole(36) is formed to expose the etch stopping layer. A second insulating layer(37A) is formed to cover the upper side of the first insulating layer. The second insulating layer remains on the side wall of the via hole and the upper surface of the first insulating layer. A trench(41) connected to the via hole is formed by etching the second insulating layer. A second insulating layer remaining in the side wall of the via hole is removed through a cleaning process.

Description

반도체 장치 제조방법{METHOD FOR FORMING SEMICONDUCTOR DEVICE}Semiconductor device manufacturing method {METHOD FOR FORMING SEMICONDUCTOR DEVICE}

본 발명은 반도체 장치의 제조 기술에 관한 것으로, 특히 듀얼 다마신(Dual Damascene)공정을 이용한 반도체 장치의 금속배선 제조방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a manufacturing technique of a semiconductor device, and more particularly, to a method for manufacturing metal wiring of a semiconductor device using a dual damascene process.

최근, 반도체 장치의 집적도 증가에 따른 금속배선의 RC 지연(delay) 문제를 해결하기 위하여 금속배선으로 구리(Cu)를 사용하는 기술이 도입 및 적용되고 있다. 구리를 사용하여 금속배선을 형성함에 있어서, 구리는 식각특성이 매우 열악하기 때문에 통상적으로 다마신 공정(damascene process)을 사용하여 구리배선을 형성한다. 다마신 공정으로는 싱글 다마신 공정(Single damascene process) 또는 듀얼 다마신 공정(Dual Damascene process)을 사용하고 있으며, 특히 듀얼 다마신 공정을 주로 적용하고 있다.Recently, in order to solve the RC delay problem of the metal wiring due to the increase in the degree of integration of semiconductor devices, a technology of using copper (Cu) as the metal wiring has been introduced and applied. In forming metal interconnections using copper, since copper has very poor etching characteristics, copper interconnects are typically formed using a damascene process. As a damascene process, a single damascene process or a dual damascene process is used, and a dual damascene process is mainly applied.

도 1a 및 도 1c는 종래기술에 따른 듀얼 다마신 공정을 이용한 구리배선 제조방법을 도시한 공정단면도이다. 1A and 1C are cross-sectional views illustrating a method for manufacturing copper wiring using a dual damascene process according to the related art.

도 1a에 도시된 바와 같이, 소정의 구조물이 구비된 기판(11) 상에 도전 막(12), 식각정지막(13) 및 절연막(14)을 순차적으로 형성한 후, 제1하드마스크패턴(18)을 식각장벽(etch barrier)으로 절연막(14)을 식각하여 도전막(12) 상의 식각정지막(13)을 노출시키는 비아홀(15)을 형성한다. As shown in FIG. 1A, after the conductive film 12, the etch stop film 13, and the insulating film 14 are sequentially formed on the substrate 11 having a predetermined structure, the first hard mask pattern ( 18 is used to etch the insulating layer 14 to form a via hole 15 exposing the etch stop layer 13 on the conductive layer 12.

도 1b에 도시된 바와 같이, 제1하드마스크패턴(18)보다 큰 선폭의 개구부를 갖는 제2하드마스크패턴(19)을 식각장벽으로 절연막(14)을 소정두께 식각하여 비아홀(15)과 연결된 트렌치(16)를 형성하여 비아홀(15)과 트렌치(16)로 이루어진 듀얼 다마신 패턴(17)을 형성함과 동시에 식각정지막(13)을 식각하여 도전막(12)의 상부면을 노출시킨다.As shown in FIG. 1B, the insulating layer 14 is etched by a predetermined thickness with a second hard mask pattern 19 having an opening having a line width larger than that of the first hard mask pattern 18 to be connected to the via hole 15. The trench 16 is formed to form a dual damascene pattern 17 including the via hole 15 and the trench 16, and the etch stop layer 13 is etched to expose the upper surface of the conductive layer 12. .

도 1c에 도시된 바와 같이, 듀얼 다마신 패턴(17)을 포함하는 구조물 표면을 따라 장벽금속막(20)을 형성한 후, 장벽금속막(20) 상에 듀얼 다마신 패턴(17)을 매립하도록 구리를 증착한다. 이어서, 절연막(14)의 상부면이 노출될때까지 평탄화공정을 진행하여 구리배선(21)을 완성한다.As shown in FIG. 1C, after the barrier metal film 20 is formed along the surface of the structure including the dual damascene pattern 17, the dual damascene pattern 17 is embedded on the barrier metal film 20. Copper is deposited to Next, the planarization process is performed until the upper surface of the insulating film 14 is exposed to complete the copper wiring 21.

하지만, 종래기술은 식각정지막(13)으로 질화막을 사용하고, 절연막(14)으로 산화막을 사용하며, 트렌치(16)를 형성함과 동시에 식각정지막(13)을 식각하여 도전막(12)의 상부면을 노출시키는 식각공정시 불소(F)가 함유된 가스를 식각가스로 사용한다. 이때, 식각가스에 대한 식각정지막(13)과 절연막(14)의 식각선택비 부족으로 인해 식각정지막(13)의 과도손실이 발생하는 문제점이 있다.However, according to the related art, a nitride film is used as the etch stop film 13, an oxide film is used as the insulating film 14, and the etching stop film 13 is etched while the trench 16 is formed. In the etching process to expose the upper surface of the fluorine (F) containing gas is used as an etching gas. In this case, a transient loss of the etch stop layer 13 may occur due to the insufficient etching selectivity of the etch stop layer 13 and the insulating layer 14 with respect to the etch gas.

구체적으로, 도 1b의 'A'와 같이 식각정지막(13)이 비아홀(15) 외측벽 방향으로 치고 들어간 프로파일을 갖게 된다. 즉, 식각정지막(13)을 포함하는 비아홀(15)의 하부영역 측벽 프로파일이 음의 기울기를 갖는 문제점이 발생한다. Specifically, as shown in 'A' of FIG. 1B, the etch stop layer 13 has a profile that is struck in the direction of the outer wall of the via hole 15. That is, a problem arises that the sidewall profile of the lower region of the via hole 15 including the etch stop layer 13 has a negative slope.

이처럼, 식각정지막(13)의 과도손실에 의해 비아홀(15) 측벽 프로파일이 음의 기울기를 가질 경우, 도 1c의 'B'와 같이 장벽금속막(20)이 정상적으로 증착되지 않거나, 또는 1c의 'C'와 같이 보이드(void)와 같은 매립불량이 발생하여 구리배선(21)과 도전막(12) 사이에 콘택저항을 증가시키고, 구리배선(21)의 저항 및 RC지연이 증가하는 문제점이 발생한다. As such, when the via hole 15 sidewall profile has a negative slope due to the excessive loss of the etch stop layer 13, the barrier metal layer 20 may not be normally deposited as shown in FIG. As a result of a buried defect such as void, such as 'C', the contact resistance is increased between the copper wiring 21 and the conductive film 12, and the resistance and RC delay of the copper wiring 21 are increased. Occurs.

결국, 식각정지막(13)의 과도손실에 의해 비아홀(15) 측벽 프로파일이 음의 기울기를 가질 경우, 반도체 장치의 특성 및 신뢰성이 저하되는 문제점이 발생한다. As a result, when the sidewall profile of the via hole 15 has a negative slope due to the excessive loss of the etch stop layer 13, a problem occurs that the characteristics and the reliability of the semiconductor device are deteriorated.

본 발명은 상기한 종래기술의 문제점을 해결하기 위하여 제안된 것으로, 비아홀과 트렌치로 이루어진 듀얼 다마신 패턴을 형성함에 있어서, 식각정지막의 과도손실에 의해 비아홀 하부영역 측벽 프로파일이 음의 기울기를 갖는 것을 방지할 수 있는 반도체 장치 제조방법을 제공하는데 그 목적이 있다. The present invention has been proposed to solve the above-mentioned problems of the prior art, and in forming a dual damascene pattern consisting of a via hole and a trench, the via hole lower region sidewall profile has a negative slope due to excessive loss of the etch stop layer. It is an object of the present invention to provide a method for manufacturing a semiconductor device that can be prevented.

상기 목적을 달성하기 위한 일 측면에 따른 본 발명의 반도체 장치 제조방법은, 도전막 상에 식각정지막 및 제1절연막을 순차적으로 형성하는 단계; 상기 제1절연막을 선택적으로 식각하여 상기 도전막 상부 상기 식각정지막을 노출시키는 비아홀을 형성하는 단계; 상기 비아홀을 매립하고 상기 제1절연막의 상부면을 덮는 제2절연막을 형성하는 단계; 개구부의 선폭이 상기 비아홀의 선폭보다 작은 하드마스크패턴을 식각장벽으로 상기 제2절연막을 식각하여 상기 제1절연막의 상부면 및 상기 비아홀 측벽에 상기 제2절연막을 잔류시키는 단계; 개구부의 선폭이 상기 비아홀의 선폭보다 큰 하드마스크패턴을 식각장벽으로 상기 제2절연막을 식각하여 상기 비아홀과 연결된 트렌치를 형성함과 동시에 상기 식각정지막을 식각하여 상기 도전막의 상부면을 노출시키는 단계 및 세정공정을 실시하여 상기 비아홀 측벽에 잔류하는 제2절연막을 제거하는 단계를 포함한다. 또한, 상기 비아홀 및 상기 트렌치 표면에 장벽금속막을 형성하는 단계 및 상기 장벽금속막 상에 상기 비아홀 및 상기 트렌치를 매립하는 금속배선을 형성하는 단계를 더 포함할 수 있다. According to one aspect of the present invention, a method of manufacturing a semiconductor device includes: sequentially forming an etch stop layer and a first insulating layer on a conductive layer; Selectively etching the first insulating layer to form a via hole exposing the etch stop layer on the conductive layer; Forming a second insulating layer filling the via hole and covering an upper surface of the first insulating layer; Etching the second insulating layer by using a hard mask pattern having a line width of an opening smaller than the line width of the via hole as an etch barrier, and leaving the second insulating layer on an upper surface of the first insulating layer and sidewalls of the via hole; Etching the second insulating layer by using a hard mask pattern having a line width larger than that of the via hole as an etch barrier to form a trench connected to the via hole, and etching the etch stop layer to expose an upper surface of the conductive layer; And performing a cleaning process to remove the second insulating layer remaining on the sidewalls of the via holes. The method may further include forming a barrier metal film on the via hole and the trench surface, and forming a metal wiring on the barrier metal film to fill the via hole and the trench.

상술한 과제 해결 수단을 바탕으로 하는 본 발명은, 비아홀 및 트렌치로 이루어진 듀얼 다마신 패턴을 형성함에 있어서, 비아홀 측벽에 제2절연막을 잔류시켜 트렌치 형성공정시 식각정지막의 과도손실이 발생하더라도 세정공정을 통해 비아홀 측벽에 잔류하는 제2절연막을 제거함으로써, 측벽 프로파일이 수직 또는 양의 기울기를 갖는 비아홀을 형성할 수 있는 효과가 있다. 이를 통해, 듀얼 다마신 패턴 내부에 장벽금속막이 비정상적으로 증착되거나, 또는 듀얼 다마신 패턴에 매립되는 금속배선 내부에 보이드와 같은 매립불량이 발생하는 것을 방지하여 금속배선과 도전막 사이에서 콘택저항이 증가하는 것을 방지할 수 있으며, 금속배선의 저항 및 RC지연을 감소시킬 수 있는 효과가 있다. According to the present invention based on the above-described problem solving means, in forming a dual damascene pattern consisting of via holes and trenches, the second insulating film is left on the sidewalls of the via holes, even if a transient loss of the etch stop layer occurs during the trench formation process. By removing the second insulating layer remaining on the sidewalls of the via holes through the via, the sidewall profile may have a via hole having a vertical or positive slope. This prevents abnormal deposition of the barrier metal film inside the dual damascene pattern or buried defects such as voids in the metal wiring embedded in the dual damascene pattern, thereby improving contact resistance between the metal wiring and the conductive layer. The increase can be prevented, and the resistance and the RC delay of the metal wiring can be reduced.

결국, 본 발명은 듀얼 다마신 공정을 사용하여 형성된 금속배선을 구비하는 반도체 장치의 특성 및 신뢰성을 향상시킬 수 있는 효과가 있다. As a result, the present invention has the effect of improving the characteristics and reliability of the semiconductor device having a metal wiring formed using a dual damascene process.

이하 본 발명이 속하는 기술분야에서 통상의 지식을 가진자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부도면을 참조하여 설명하기로 한다.DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings, in order to facilitate a person skilled in the art to easily carry out the technical idea of the present invention.

후술한 본 발명은 비아홀과 트렌치로 이루어진 듀얼 다마신 패턴을 형성함에 있어서, 식각정지막의 과도손실에 의해 비아홀 하부영역 측벽 프로파일이 음의 기울기를 갖는 것을 방지할 수 있는 반도체 장치 제조방법을 제공한다. 이를 위해 본 발명은 비아홀 측벽에 희생막을 형성하고, 트렌치 형성공정을 완료한 후에 진행되는 세정공정시 상기 희생막을 제거하는 것을 기술적 원리로 한다. The present invention described below provides a method of manufacturing a semiconductor device capable of preventing the via hole lower sidewall profile from having a negative slope due to excessive loss of the etch stop layer in forming the dual damascene pattern formed of the via hole and the trench. To this end, the present invention is a technical principle to form a sacrificial film on the sidewalls of the via-holes, and to remove the sacrificial film during the cleaning process that is performed after the trench forming process is completed.

도 2a 내지 도 2e는 본 발명의 일실시예에 따른 반도체 장치 제조방법을 도시한 공정단면도이다. 2A through 2E are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

도 2a에 도시된 바와 같이 소정의 구조물이 구비된 기판(31) 상에 도전막(32)을 형성한다. 이때, 도전막(32)은 워드라인(word line), 비트라인(bit line), 금속배선(metal line) 및 불순물영역(예컨대, 소스 또는 드레인영역)을 포함할 수 있다.As shown in FIG. 2A, the conductive film 32 is formed on the substrate 31 having a predetermined structure. In this case, the conductive layer 32 may include a word line, a bit line, a metal line, and an impurity region (eg, a source or drain region).

다음으로, 도전막(32) 상에 식각정지막(33) 및 제1절연막(34)을 순차적으로 형성한다. 이때, 식각정지막(33)은 후속 듀얼 다마신 공정간 도전막(32)을 보호하는 역할을 수행하며, 질화막으로 형성할 수 있다. 질화막으로는 실리콘질화막(Si3N4)을 사용할 수 있다.Next, the etch stop film 33 and the first insulating film 34 are sequentially formed on the conductive film 32. In this case, the etch stop film 33 serves to protect the conductive film 32 between subsequent dual damascene processes, and may be formed of a nitride film. As the nitride film, a silicon nitride film (Si 3 N 4 ) may be used.

제1절연막(34)은 듀얼 다마신 패턴 특히, 비아홀을 형성하기 위한 것으로, 산화막으로 형성할 수 있다. 산화막으로는 막내 불순물이 함유된 도프드 산화막(doped oxide) 또는 막내 불순물이 함유되지 않은 언도프드 산화막(unoped oxide)을 사용할 수 있다. 구체적으로, 도프드 산화막으로는 BPSG(Boron Phosphorus Silicate Glass), PSG(Phosphorus Silicate Glass), BSG(Boron Silicate Glass)등을 사용할 수 있으며, 언도프드 산화막으로는 실리콘산화막(SiO2), TEOS(Tetra Ethyle Ortho Silicate), USG(Un-doped Silicate Glass), 고밀도플라즈마산화막(High Density Plasma, HDP), SOD(Spin On Dielectric), SOG(Spin On Glass)등을 사용할 수 있다. The first insulating layer 34 is formed to form a dual damascene pattern, in particular, a via hole, and may be formed of an oxide layer. As the oxide film, a doped oxide film containing impurities in the film or an undoped oxide film containing no impurities in the film can be used. Specifically, as the doped oxide film, boron phosphorus silicate glass (BPSG), phosphorus silicate glass (PSG), boron silicalicate glass (BSG), etc. may be used, and as an undoped oxide film, a silicon oxide film (SiO 2 ) or a teos (teso Ethyle Ortho Silicate (USG), Un-doped Silicate Glass (USG), High Density Plasma (HDP), Spin On Dielectric (SOD), Spin On Glass (SOG) and the like can be used.

또한, 제1절연막(34)은 3000Å ~ 7000Å 범위의 두께를 갖도록 형성할 수 있다. In addition, the first insulating layer 34 may be formed to have a thickness in the range of 3000 kV to 7000 kV.

다음으로, 제1절연막(34) 상에 제1하드마스크패턴(35)을 형성한다. 제1하드마스크패턴(35)은 포토레지스트(Photh Resist, PR) 또는 비정질탄소막(Amorphous Carbon Layer, ACL)으로 형성할 수 있다.Next, a first hard mask pattern 35 is formed on the first insulating film 34. The first hard mask pattern 35 may be formed of a photo resist (Photh Resist, PR) or an amorphous carbon layer (ACL).

다음으로, 제1하드마스크패턴(35)을 식각장벽(etch barrier)으로 제1절연막(34)을 식각하여 도전막(32) 상부의 식각정지막(33)을 노출시키는 비아홀(36)을 형성한다. 이때, 비아홀(36)을 형성하기 위한 식각공정은 건식식각법(dry etch)을 사용하여 실시할 수 있으며, 식각가스로는 불소(F)를 함유하는 가스를 사용할 수 있다. 또한, 불소를 함유하는 가스에 비활성가스(예컨대, 아르곤가스(Ar)) 및 산소가스(O2)를 첨가하여 식각공정을 진행할 수도 있다. 여기서, 불소를 함유하는 가스로는 불화탄소가스(CxFy, x,y는 0을 제외한 자연수), 불화메탄가스(CxHyFz, x,y,z은 0을 제외한 자연수)등을 사용할 수 있다. 예컨대, 비아홀(36)을 형성하기 위한 식각공정은 CF4/Ar/O2 혼합가스를 사용하여 실시할 수 있다. Next, the via hole 36 exposing the etch stop layer 33 on the conductive layer 32 is formed by etching the first insulating layer 34 using the first hard mask pattern 35 as an etch barrier. do. In this case, an etching process for forming the via hole 36 may be performed using a dry etching method, and a gas containing fluorine (F) may be used as an etching gas. In addition, an etching process may be performed by adding an inert gas (eg, argon gas (Ar)) and oxygen gas (O 2 ) to the gas containing fluorine. Here, the fluorine-containing gas includes carbon fluoride gas (C x F y , where x and y are natural numbers except 0), and methane fluoride gas (C x H y F z , x, y and z are natural numbers except 0). Can be used. For example, an etching process for forming the via hole 36 may be performed using a CF 4 / Ar / O 2 mixed gas.

한편, 비아홀(36)을 형성하는 과정에서 노출된 식각정지막(33)이 일부 손실 될 수 있다.Meanwhile, the etch stop layer 33 exposed in the process of forming the via hole 36 may be partially lost.

도 2b에 도시된 바와 같이, 제1하드마스크패턴(38)을 제거한 후에 비아홀(36)을 매립하고, 제1절연막(34)의 상부면을 덮는 제2절연막(37)을 형성한다.As shown in FIG. 2B, after removing the first hard mask pattern 38, the via hole 36 is buried, and a second insulating layer 37 covering the upper surface of the first insulating layer 34 is formed.

제2절연막(37)은 듀얼 다마신 패턴 특히, 트렌치를 형성하기 위한 것으로, 산화막으로 형성할 수 있다. 이때, 제2절연막(37)은 막내 불순물이 함유된 도프드 산화막으로 형성하는 것이 바람직하다. 도프드 산화막으로는 BPSG(Boron Phosphorus Silicate Glass), PSG(Phosphorus Silicate Glass), BSG(Boron Silicate Glass)등을 사용할 수 있다. The second insulating layer 37 is formed to form a dual damascene pattern, especially a trench, and may be formed of an oxide film. At this time, the second insulating film 37 is preferably formed of a doped oxide film containing impurities in the film. As the doped oxide film, boron phosphorus silicate glass (BPSG), phosphorus silicate glass (PSG), boron silicalicate glass (BSG), or the like may be used.

여기서, 제1절연막(34) 및 제2절연막(37)을 모두 도프드 산화막으로 형성한 경우에는 제1절연막(34)보다 제2절연막(37)에 함유된 불순물의 농도가 더 큰 것이 바람직하다. 이는 후속 세정공정시 세정용액에 대한 제1절연막(34)과 제2절연막(37) 사이의 식각속도(또는 식각선택비)를 조절하기 위함이다. 참고로, 세정용액에 대한 식각속도는 언도프드 산화막 보다 도프드 산화막이 더 빠르다. 그리고, 도프드 산화막에서는 막내 불순물의 농도가 클수록 세정용액에 대한 식각속도가 빠르다. Here, when both the first insulating film 34 and the second insulating film 37 are formed of a doped oxide film, it is preferable that the concentration of impurities contained in the second insulating film 37 is greater than that of the first insulating film 34. . This is to control the etching rate (or etching selectivity) between the first insulating film 34 and the second insulating film 37 with respect to the cleaning solution in the subsequent cleaning process. For reference, the etching rate for the cleaning solution is faster than the undoped oxide film. In the doped oxide film, the higher the concentration of impurities in the film, the faster the etching rate with respect to the cleaning solution.

또한, 제2절연막(37)은 4000Å ~ 8000Å 범위의 두께를 갖도록 형성할 수 있다. In addition, the second insulating layer 37 may be formed to have a thickness in the range of 4000 kV to 8000 kV.

다음으로, 제2절연막(37) 상에 제1하드마스크패턴(35)보다 작은 개구부 선폭(W2)을 갖는 제2하드마스크패턴(38)을 형성한다. 즉, 제2하드마스크패턴(38) 개구부의 선폭(W2)이 비아홀(36)의 선폭(W1)보다 작게 형성한다.Next, a second hard mask pattern 38 having an opening line width W2 smaller than the first hard mask pattern 35 is formed on the second insulating layer 37. That is, the line width W2 of the opening of the second hard mask pattern 38 is smaller than the line width W1 of the via hole 36.

다음으로, 제2하드마스크패턴(38)을 식각장벽으로 제2절연막(37)을 식각하여 제1절연막(34)의 상부면 및 비아홀(36)의 측벽에 제2절연막(37)을 잔류시킨다. 이때, 비아홀(36)의 측벽에 잔류하는 제2절연막(37)은 후속 세정공정을 통해 비아홀(36) 측벽 프로파일을 조절하기 위한 희생막으로 작용한다. 따라서, 비아홀(36) 측벽에 잔류하는 제2절연막(37)의 두께는 후속 트렌치 형성공정시 비아홀(36) 외측벽 방향으로 과도손실되는 식각정지막(33)의 두께를 고려하여 조절하는 것이 바람직하다. Next, the second insulating layer 37 is etched using the second hard mask pattern 38 as an etch barrier, and the second insulating layer 37 is left on the top surface of the first insulating layer 34 and the sidewall of the via hole 36. . In this case, the second insulating layer 37 remaining on the sidewall of the via hole 36 serves as a sacrificial layer for adjusting the sidewall profile of the via hole 36 through a subsequent cleaning process. Therefore, the thickness of the second insulating layer 37 remaining on the sidewalls of the via holes 36 may be adjusted in consideration of the thickness of the etch stop layer 33 that is excessively lost in the outer wall direction of the via holes 36 during the subsequent trench formation process. .

제2하드마스크패턴(38)을 식각장벽으로 제2절연막(37)을 식각하는 식각공정은 비아홀(36)을 형성하기 위한 식각공정과 동일한 방법을 사용하여 실시할 수 있다. 즉, 식각공정은 건식식각법을 사용하여 실시할 수 있으며, 식각가스로는 불소가 함유된 가스 또는 불소가 함유된 가스, 비활성가스 및 산소가스가 혼합된 혼합가스를 사용할 수 있다.The etching process of etching the second insulating layer 37 using the second hard mask pattern 38 as an etch barrier may be performed using the same method as the etching process for forming the via hole 36. That is, the etching process may be performed by using a dry etching method, and the etching gas may be a gas containing fluorine or a mixed gas containing fluorine-containing gas, inert gas, and oxygen gas.

한편, 제2절연막(37)을 식각하는 과정에서 노출된 식각정지막(33) 일부가 손실될 수 있다. Meanwhile, a portion of the etch stop layer 33 exposed during the etching of the second insulating layer 37 may be lost.

도 2c에 도시된 바와 같이, 제2하드마스크패턴(38)을 제거한 후에 제2절연막(37) 상에 개구부의 선폭(W3)이 제1 및 제2하드마스크패턴(35, 38)보다 큰 제3하드마스크패턴(40)을 형성한다. 즉, 제2절연막(37) 상에 개구부의 선폭(W3)이 비아홀(36)의 선폭(W1)보다 큰 제3하드마스크패턴(40)을 형성한다. As shown in FIG. 2C, after the second hard mask pattern 38 is removed, the line width W3 of the opening on the second insulating layer 37 is greater than that of the first and second hard mask patterns 35 and 38. 3 hard mask pattern 40 is formed. That is, the third hard mask pattern 40 having the line width W3 of the opening portion larger than the line width W1 of the via hole 36 is formed on the second insulating layer 37.

다음으로, 제3하드마스크패턴(40)을 식각장벽으로 제2절연막(37)을 식각하여 비아홀(36)과 연결된 트렌치(41)를 형성함과 동시에 식각정지막(33)을 식각하여 도 전막(32)의 상부면을 노출시킨다. 이때, 제2절연막(37)의 일부가 비아홀(36) 측벽에 스페이서 형태로 잔류하게 된다. 이하, 식각된 제2절연막(37)의 도면부호를 '37A'로, 식각된 식각정지막(33)의 도면부호를 '33A'로 변경하여 표기한다. Next, the second insulating layer 37 is etched using the third hard mask pattern 40 as an etch barrier to form a trench 41 connected to the via hole 36, and the etching stop layer 33 is etched to etch the conductive layer. Expose the top surface of (32). In this case, a part of the second insulating layer 37 is left on the sidewall of the via hole 36 in the form of a spacer. Hereinafter, the reference numeral of the etched second insulating layer 37 is changed to '37A', and the reference numeral of the etched etch stop layer 33 is changed to '33A'.

트렌치(41)를 형성하기 위한 식각공정은 비아홀(36)을 형성하기 위한 식각공정과 동일한 방법을 사용하여 실시할 수 있다. 따라서, 트렌치(41)를 형성하기 위한 식각공정은 건식식각법을 사용하여 실시할 수 있으며, 식각가스로 불소를 함유하는 식각가스 또는 불소를 함유하는 식각가스, 비활성가스 및 산소가스가 혼합된 혼합가스를 사용할 수 있다. The etching process for forming the trench 41 may be performed using the same method as the etching process for forming the via hole 36. Accordingly, the etching process for forming the trench 41 may be performed by using a dry etching method, and the etching gas containing fluorine or the etching gas containing fluorine, an inert gas, and an oxygen gas are mixed. Gas can be used.

여기서, 트렌치(41)를 형성하기 위한 식각공정시 불소가 함유된 가스를 사용하기 때문에 식각정지막(33)과 제1 및 제2절연막(34, 37A) 사이의 식각선택비 부족으로 인해 비아홀(36) 외측벽 방향으로 식각정지막(33A)의 과도손실이 발생한다. 이로 인해, 측벽에 잔류하는 제2절연막(37A) 및 식각정지막(33A)을 포함하는 비아홀(36)의 측벽 프로파일이 음의 기울기를 갖게 된다. 이처럼, 비아홀(36)의 측벽 프로파일이 음의 기울기를 가질 경우, 후속 장벽금속막 형성공정시 장벽금속막이 비정상적으로 증착되거나, 또는 금속배선내 보이드와 같은 매립불량이 발생하는 문제점이 발생한다. Here, since the fluorine-containing gas is used in the etching process for forming the trench 41, the via hole (eg, due to the lack of etching selectivity between the etch stop layer 33 and the first and second insulating layers 34 and 37A) is formed. 36) The excessive loss of the etch stop film 33A occurs in the outer wall direction. As a result, the sidewall profile of the via hole 36 including the second insulating layer 37A and the etch stop layer 33A remaining on the sidewall has a negative slope. As such, when the sidewall profile of the via hole 36 has a negative slope, a problem arises in that a barrier metal film is abnormally deposited or a buried defect such as a void in a metal wiring occurs in a subsequent barrier metal film forming process.

상술한 비아홀(36)의 측벽 프로파일에 따른 문제점을 해결하기 위해 도 2d에 도시된 바와 같이, 세정공정을 실시하여 비아홀(36) 측벽에 잔류하는 제2절연막(37A)을 제거한다.이때, 비아홀(36)의 측벽에 잔류하는 제2절연막(37A)의 두께는 트렌치(41)를 형성하는 과정에서 과도손실되는 식각정지막(33A)의 두께를 고려하여 조절하기 때문에 비아홀(36) 측벽에 잔류하는 제2절연막(37A)를 제거함으로써, 식각정지막(33A)을 포함하는 비아홀(36) 측벽 프로파일을 수직 또는 양의 기울기를 갖도록 형성할 수 있다. In order to solve the problem caused by the sidewall profile of the via hole 36 described above, as shown in FIG. 2D, a second insulating film 37A remaining on the sidewall of the via hole 36 is removed by performing a cleaning process. Since the thickness of the second insulating layer 37A remaining on the sidewalls of the 36 is adjusted in consideration of the thickness of the etch stop layer 33A that is excessively lost during the formation of the trench 41, the thickness of the second insulating layer 37A remaining on the sidewalls of the via hole 36 remains. By removing the second insulating layer 37A, the sidewall profile of the via hole 36 including the etch stop layer 33A may be formed to have a vertical or positive slope.

비아홀(36) 측벽에 잔류하는 제2절연막(37A)을 제거하기 위한 세정공정은 RON 세정공정으로 실시할 수 있다. 여기서, RON 세정공정은 R 세정공정, O 세정공정 및 N 세정공정을 순차적으로 진행하는 것을 의미한다. 구체적으로, R 세정공정은 황산(H2SO4)을 포함하는 세정용액을 사용한 세정공정을 의미하며, 비정질탄소막으로 이루어진 제3하드마스크패턴(40) 및 유기질 부산물(by product)을 제거하는 역할을 수행한다. O 세정공정은 불산(HF)을 포함하는 세정용액을 사용한 세정공정을 의미하며, 비아홀(36) 측벽에 형성된 제2절연막(37A)을 제거하는 역할을 수행한다. 이때, 제1 및 제2절연막(34, 37A)을 세정용액 즉, 불산을 포함하는 세정용액에 대하여 식각속도(또는 식각선택비)가 서로 다른 산화막으로 형성하기 때문에 제1절연막(34)의 손실을 최소화한 상태에서 비아홀(36) 측벽에 잔류하는 제2절연막(37A)을 제거할 수 있다. 또한, 트렌치(41)의 측벽을 제2절연막(37A)이 제공하기 때문에 O 세정공정간 트렌치(41)의 선폭이 증가할 수 있다. N 세정공정은 수산화암모늄(NH4OH)을 포함하는 세정용액을 사용한 세정공정을 의미하며, 유기질 부산물 및 금속성 잔류물을 제거하는 역할을 수행한다. The cleaning process for removing the second insulating film 37A remaining on the sidewalls of the via hole 36 may be performed by the RON cleaning process. Here, the RON washing step means that the R washing step, the O washing step, and the N washing step are sequentially performed. Specifically, the R cleaning process means a cleaning process using a cleaning solution containing sulfuric acid (H 2 SO 4 ), and serves to remove the third hard mask pattern 40 and organic by-products made of an amorphous carbon film. Do this. The O cleaning process means a cleaning process using a cleaning solution containing hydrofluoric acid (HF), and removes the second insulating layer 37A formed on the sidewalls of the via holes 36. At this time, since the first and second insulating layers 34 and 37A are formed of oxide films having different etching rates (or etching selectivity) with respect to the cleaning solution, that is, the cleaning solution containing hydrofluoric acid, loss of the first insulating film 34 is achieved. The second insulating layer 37A remaining on the sidewalls of the via hole 36 can be removed in a state of minimizing. In addition, since the second insulating layer 37A provides the sidewall of the trench 41, the line width of the trench 41 may increase during the O cleaning process. N cleaning process means a cleaning process using a cleaning solution containing ammonium hydroxide (NH 4 OH), and serves to remove organic by-products and metallic residues.

상술한 공정과정을 통해 측벽 프로파일이 수직 또는 양의 기울기를 갖는 비아홀(36)과 트렌치(41)로 이루어진 듀얼 다마신 패턴(42)을 형성할 수 있다.Through the above-described process, the dual damascene pattern 42 including the via hole 36 and the trench 41 having the vertical or positive inclination of the sidewall profile may be formed.

도 2e에 도시된 바와 같이, 듀얼 다마신 패턴(42)을 포함하는 구조물 표면을 따라 장벽금속막(43)을 형성한다. 이때, 비아홀(36)의 측벽 프로파일이 수직 또는 양의 기울기를 갖기 때문에 듀얼 다마신 패턴(42) 내부에 장벽금속막(43)이 비정상적으로 증착되는 것을 방지할 수 있다. As shown in FIG. 2E, the barrier metal film 43 is formed along the surface of the structure including the dual damascene pattern 42. In this case, since the sidewall profile of the via hole 36 has a vertical or positive slope, the barrier metal film 43 may be prevented from being deposited abnormally inside the dual damascene pattern 42.

다음으로, 장벽금속막(43) 상에 듀얼 다마신 패턴(42)을 매립하도록 금속물질을 증착한다. 이때, 금속물질은 구리(Cu)일 수 있다. Next, a metal material is deposited to fill the dual damascene pattern 42 on the barrier metal film 43. In this case, the metal material may be copper (Cu).

다음으로, 제2절연막(37)의 상부면이 노출될때까지 평탄화공정을 실시하여 듀얼 다마신 패턴(42)에 매립된 금속배선(44)을 형성한다. 이때, 평탄화공정은 화학적기계적연마법(CMP) 또는 에치백을 사용하여 실시할 수 있다. Next, the planarization process is performed until the upper surface of the second insulating layer 37 is exposed to form the metal wiring 44 embedded in the dual damascene pattern 42. In this case, the planarization process may be performed using chemical mechanical polishing (CMP) or etch back.

이와 같이, 본 발명은 비아홀(36) 및 트렌치(41)로 이루어진 듀얼 다마신 패턴(42)을 형성함에 있어서, 비아홀(36) 측벽에 제2절연막(37A)을 잔류시켜 트렌치(41)를 형성공정시 식각정지막(33A)의 과도손실이 발생하더라도 세정공정을 통해 비아홀(36) 측벽에 잔류하는 제2절연막(37A)을 제거함으로써, 측벽 프로파일이 수직 또는 양의 기울기를 갖는 비아홀(36)을 형성할 수 있는 효과가 있다. 이를 통해, 듀얼 다마신 패턴(42) 내부에 장벽금속막(43)이 비정상적으로 증착되거나, 또는 듀얼 다마신 패턴(42) 내 매립되는 금속배선(44) 내부에 보이드와 같은 매립불량이 발생하는 것을 방지하여 금속배선(44)과 도전막(32) 사이에서 콘택저항이 증가 방지 및 금속배선(44)의 저항 및 RC지연을 감소시킬 수 있는 효과가 있다. As described above, when the dual damascene pattern 42 including the via hole 36 and the trench 41 is formed, the trench 41 is formed by leaving the second insulating layer 37A on the sidewall of the via hole 36. Even if excessive loss of the etch stop layer 33A occurs during the process, the second insulating layer 37A remaining on the sidewalls of the via holes 36 is removed through the cleaning process, so that the sidewall profiles have vertical or positive slopes. There is an effect that can form. As a result, the barrier metal film 43 is abnormally deposited inside the dual damascene pattern 42, or a buried defect such as a void occurs in the metal wiring 44 embedded in the dual damascene pattern 42. It is possible to prevent the increase in contact resistance between the metal wiring 44 and the conductive film 32 and to reduce the resistance and RC delay of the metal wiring 44 by preventing the increase.

결국, 본 발명은 듀얼 다마신 공정을 사용하여 형성된 금속배선(44)을 구비하는 반도체 장치의 특성 및 신뢰성을 향상시킬 수 있는 효과가 있다.As a result, the present invention has the effect of improving the characteristics and reliability of the semiconductor device having the metal wiring 44 formed using the dual damascene process.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기 실시예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술분야의 통상의 전문가라면 본 발명의 기술사상의 범위내의 다양한 실시예가 가능함을 이해할 수 있을 것이다.Although the technical spirit of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will appreciate that various embodiments within the scope of the technical idea of the present invention are possible.

도 1a 및 도 1c는 종래기술에 따른 듀얼 다마신 공정을 이용한 구리배선 제조방법을 도시한 공정단면도. 1A and 1C are cross-sectional views illustrating a method for manufacturing copper wiring using a dual damascene process according to the related art.

도 2a 내지 도 2e는 본 발명의 일실시예에 따른 반도체 장치 제조방법을 도시한 공정단면도. 2A through 2E are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

*도면 주요 부분에 대한 부호 설명** Description of symbols on the main parts of the drawings *

31 : 기판 32 : 도전막31 substrate 32 conductive film

33, 33A : 식각정지막 34 : 제1절연막33, 33A: etching stop film 34: first insulating film

35 : 제1하드마스크패턴 36 : 비아홀35: first hard mask pattern 36: via hole

37, 37A : 제2절연막 38 : 제2하드마스크패턴37, 37A: Second insulating film 38: Second hard mask pattern

40 : 제3하드마스크패턴 41 : 트렌치40: third hard mask pattern 41: trench

42 : 듀얼 다마신 패턴 43 : 장벽금속막42: dual damascene pattern 43: barrier metal film

44 : 금속배선 44: metal wiring

Claims (14)

도전막 상에 식각정지막 및 제1절연막을 순차적으로 형성하는 단계;Sequentially forming an etch stop film and a first insulating film on the conductive film; 상기 제1절연막을 선택적으로 식각하여 상기 도전막 상부 상기 식각정지막을 노출시키는 비아홀을 형성하는 단계;Selectively etching the first insulating layer to form a via hole exposing the etch stop layer on the conductive layer; 상기 비아홀을 매립하고 상기 제1절연막의 상부면을 덮는 제2절연막을 형성하는 단계;Forming a second insulating layer filling the via hole and covering an upper surface of the first insulating layer; 개구부의 선폭이 상기 비아홀의 선폭보다 작은 하드마스크패턴을 식각장벽으로 상기 제2절연막을 식각하여 상기 제1절연막의 상부면 및 상기 비아홀 측벽에 상기 제2절연막을 잔류시키는 단계;Etching the second insulating layer by using a hard mask pattern having a line width of an opening smaller than the line width of the via hole as an etch barrier, and leaving the second insulating layer on an upper surface of the first insulating layer and sidewalls of the via hole; 개구부의 선폭이 상기 비아홀의 선폭보다 큰 하드마스크패턴을 식각장벽으로 상기 제2절연막을 식각하여 상기 비아홀과 연결된 트렌치를 형성함과 동시에 상기 식각정지막을 식각하여 상기 도전막의 상부면을 노출시키는 단계; 및Etching the second insulating layer by using a hard mask pattern having a line width larger than that of the via hole as an etch barrier to form a trench connected to the via hole, and etching the etch stop layer to expose an upper surface of the conductive layer; And 세정공정을 실시하여 상기 비아홀 측벽에 잔류하는 제2절연막을 제거하는 단계Performing a cleaning process to remove the second insulating layer remaining on the sidewalls of the via holes; 를 포함하는 반도체 장치 제조방법. Semiconductor device manufacturing method comprising a. 제1항에 있어서, The method of claim 1, 상기 비아홀 및 상기 트렌치 표면에 장벽금속막을 형성하는 단계; 및Forming a barrier metal film on the via hole and the trench surface; And 상기 장벽금속막 상에 상기 비아홀 및 상기 트렌치를 매립하는 금속배선을 형성하는 단계Forming a metal wiring on the barrier metal layer to fill the via hole and the trench 를 더 포함하는 반도체 장치 제조방법. A semiconductor device manufacturing method further comprising. 제2항에 있어서, The method of claim 2, 상기 금속배선은 구리(Cu)를 포함하는 반도체 장치 제조방법. The metal wiring comprises a copper (Cu) manufacturing method. 제1항에 있어서, The method of claim 1, 상기 제1 및 제2절연막은 산화막을 포함하고, 상기 식각정지막은 질화막을 포함하는 반도체 장치 제조방법. The first and second insulating layers may include an oxide layer and the etch stop layer may include a nitride layer. 제4항에 있어서, The method of claim 4, wherein 상기 비아홀을 형성하는 단계 및 상기 트렌치를 형성하는 단계는, Forming the via hole and forming the trench, 불소(F)가 함유된 가스를 사용하여 실시하는 반도체 장치 제조방법. A method for manufacturing a semiconductor device using a gas containing fluorine (F). 제1항에 있어서, The method of claim 1, 상기 제1절연막은 막내 불순물이 함유된 도프드 산화막 또는 막내 불순물이 함유되지 않은 언도프드 산화막으로 형성하고, 상기 제2절연막은 도프드 산화막으로 형성하는 반도체 장치 제조방법. And the first insulating film is formed of a doped oxide film containing impurities in the film or an undoped oxide film containing no impurities in the film, and the second insulating film is formed of a doped oxide film. 제6항에 있어서, The method of claim 6, 상기 제1 및 제2절연막은 도프드 산화막으로 형성한 경우, When the first and second insulating film is formed of a doped oxide film, 상기 제2절연막에 함유된 불순물의 농도가 상기 제2절연막에 함유된 불순물 농도보다 큰 반도체 장치 제조방법. And a concentration of impurities contained in the second insulating film is greater than that of impurities contained in the second insulating film. 제6항에 있어서, The method of claim 6, 상기 언도프드 산화막은 실리콘산화막(SiO2), TEOS(Tetra Ethyle Ortho Silicate), USG(Un-doped Silicate Glass), 고밀도플라즈마산화막(High Density Plasma, HDP), SOD(Spin On Dielectric), SOG(Spin On Glass)를 포함하는 반도체 장치 제조방법. The undoped oxide film is a silicon oxide film (SiO 2 ), TEOS (Tetra Ethyle Ortho Silicate), USG (Un-doped Silicate Glass), High Density Plasma (HDP), SOD (Spin On Dielectric), SOG (Spin) On Glass) method of manufacturing a semiconductor device. 제6항에 있어서, The method of claim 6, 상기 도프드 산화막은 BPSG(Boron Phosphorus Silicate Glass), PSG(Phosphorus Silicate Glass), BSG(Boron Silicate Glass)를 포함하는 반도체 장치 제조방법.The doped oxide layer may include boron phosphorus silicate glass (BPSG), phosphorus silicate glass (PSG), and boron silicate glass (BSG). 제6항에 있어서, The method of claim 6, 상기 세정공정은 R 세정공정, O 세정공정 및 N 세정공정을 순차적으로 실시하는 반도체 장치 제조방법. The said cleaning process is a semiconductor device manufacturing method which performs R cleaning process, O cleaning process, and N cleaning process sequentially. 제10항에 있어서, The method of claim 10, 상기 R 세정공정은 황산(H2SO4)을 포함하는 세정용액을 사용하여 실시하는 반도체 장치 제조방법. The R cleaning step is performed using a cleaning solution containing sulfuric acid (H 2 SO 4 ). 제10항에 있어서, The method of claim 10, 상기 O 세정공정은 불산(HF)을 포함하는 세정용액을 사용하여 실시하는 반도체 장치 제조방법. The O cleaning step is performed using a cleaning solution containing hydrofluoric acid (HF). 제10항에 있어서, The method of claim 10, 상기 N 세정공정은 수산화암모늄(NH4OH)을 포함하는 세정용액을 사용하여 실시하는 반도체 장치 제조방법. The N cleaning process is performed using a cleaning solution containing ammonium hydroxide (NH 4 OH). 제1항에 있어서, The method of claim 1, 상기 도전막은 워드라인, 비트라인, 금속배선 및 불순물영역을 포함하는 반도체 장치 제조방법. And the conductive film includes a word line, a bit line, a metal wiring, and an impurity region.
KR1020080134510A 2008-12-26 2008-12-26 Method for forming semiconductor device KR20100076457A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080134510A KR20100076457A (en) 2008-12-26 2008-12-26 Method for forming semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080134510A KR20100076457A (en) 2008-12-26 2008-12-26 Method for forming semiconductor device

Publications (1)

Publication Number Publication Date
KR20100076457A true KR20100076457A (en) 2010-07-06

Family

ID=42638182

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080134510A KR20100076457A (en) 2008-12-26 2008-12-26 Method for forming semiconductor device

Country Status (1)

Country Link
KR (1) KR20100076457A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9613862B2 (en) 2015-09-02 2017-04-04 International Business Machines Corporation Chamferless via structures
CN109148281A (en) * 2018-07-11 2019-01-04 上海华虹宏力半导体制造有限公司 hard mask structure

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9613862B2 (en) 2015-09-02 2017-04-04 International Business Machines Corporation Chamferless via structures
US10032668B2 (en) 2015-09-02 2018-07-24 International Business Machines Corporation Chamferless via structures
US10388565B2 (en) 2015-09-02 2019-08-20 International Business Machines Corporation Chamferless via structures
US10903118B2 (en) 2015-09-02 2021-01-26 International Business Machines Corporation Chamferless via structures
US10937694B2 (en) 2015-09-02 2021-03-02 International Business Machines Corporation Chamferless via structures
US10957588B2 (en) 2015-09-02 2021-03-23 International Business Machines Corporation Chamferless via structures
CN109148281A (en) * 2018-07-11 2019-01-04 上海华虹宏力半导体制造有限公司 hard mask structure

Similar Documents

Publication Publication Date Title
US7125792B2 (en) Dual damascene structure and method
US7754601B2 (en) Semiconductor interconnect air gap formation process
US8138082B2 (en) Method for forming metal interconnects in a dielectric material
US7351635B2 (en) Method of fabricating microelectronic device using super critical fluid
US7611986B2 (en) Dual damascene patterning method
US6940150B2 (en) Semiconductor wafer device having separated conductive patterns in peripheral area and its manufacture method
US7790601B1 (en) Forming interconnects with air gaps
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
JP2010016083A (en) Method for manufacturing semiconductor device
US7569481B2 (en) Method for forming via-hole in semiconductor device
US7091612B2 (en) Dual damascene structure and method
JP2008047582A (en) Semiconductor device and method of manufacturing the same
JP4523351B2 (en) Manufacturing method of semiconductor device
US20060019489A1 (en) Method for forming storage node contact of semiconductor device
KR100780680B1 (en) Method for forming metal wiring of semiconductor device
JP2006216964A (en) Method for manufacturing dual damascene wiring of semiconductor element using via capping protection film
KR20100076457A (en) Method for forming semiconductor device
KR100607323B1 (en) A method for forming a metal line in semiconductor device
US8216932B2 (en) Method of manufacturing semiconductor devices having metal lines
US7135406B2 (en) Method for damascene formation using plug materials having varied etching rates
KR100688758B1 (en) Method for forming gap fill of metal line for semiconductor
KR100587602B1 (en) Method for forming MIM capacitor of semiconductor device
KR100399906B1 (en) Method for forming contact hole of semiconductor device
KR100737701B1 (en) Method of manufacturing wire in a semiconductor device
KR100640965B1 (en) Method for Forming Semiconductor Device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid