KR20100073025A - Method for dechucking a substrate in plasma processing apparatus - Google Patents

Method for dechucking a substrate in plasma processing apparatus Download PDF

Info

Publication number
KR20100073025A
KR20100073025A KR1020080131603A KR20080131603A KR20100073025A KR 20100073025 A KR20100073025 A KR 20100073025A KR 1020080131603 A KR1020080131603 A KR 1020080131603A KR 20080131603 A KR20080131603 A KR 20080131603A KR 20100073025 A KR20100073025 A KR 20100073025A
Authority
KR
South Korea
Prior art keywords
substrate
electrostatic chuck
voltage
dechucking
lift pin
Prior art date
Application number
KR1020080131603A
Other languages
Korean (ko)
Other versions
KR101087141B1 (en
Inventor
우종창
김용구
김상기
박종문
박건식
구진근
강진영
Original Assignee
한국전자통신연구원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국전자통신연구원 filed Critical 한국전자통신연구원
Priority to KR1020080131603A priority Critical patent/KR101087141B1/en
Publication of KR20100073025A publication Critical patent/KR20100073025A/en
Application granted granted Critical
Publication of KR101087141B1 publication Critical patent/KR101087141B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

PURPOSE: A substrate de-chucking method in a plasma processing device is provided to improve de-chucking capability and prevent sticking by effectively removing a remaining charge on a substrate surface according to the control of a de-chucking voltage. CONSTITUTION: A plasma generation is interrupted after completing a plasma processing about a substrate which is maintained on an electro-static chuck with the chucking voltage of electro-static voltage. A first reverse voltage is applied to the electrostatic chuck. A middle turn-off step which stops the first reverse voltage apply in the electrostatic chuck is executed. After the middle turn-off step, a second reverse voltage which is smaller than the first reverse voltage is applied to the electrostatic chuck. The second reverse voltage apply is interrupted in the electrostatic chuck and the substrate is grounded.

Description

플라즈마 처리 장치에서의 기판 디척킹 방법{METHOD FOR DECHUCKING A SUBSTRATE IN PLASMA PROCESSING APPARATUS}METHODE FOR DECHUCKING A SUBSTRATE IN PLASMA PROCESSING APPARATUS}

본 발명은 반도체 장치의 제조를 위한 플라즈마 처리 장치(예컨대, 플라즈마 식각 장치, 플라즈마 증착 장치 등)에 있어서 기판의 디척킹 방법에 관한 것으로, 특히 리프트 핀에 인가되는 디척킹 전압을 제어함으로써 디척킹시 기판과 정전척 간의 남아있는 정전력을 효율적으로 제거하여 디척킹 신뢰성을 높이고 디척킹 불량의 발생을 방지할 수 있는 디척킹 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of dechucking a substrate in a plasma processing apparatus (eg, a plasma etching apparatus, a plasma deposition apparatus, etc.) for the manufacture of a semiconductor device, in particular during dechucking by controlling a dechucking voltage applied to a lift pin. The present invention relates to a dechucking method capable of efficiently removing remaining static power between a substrate and an electrostatic chuck, thereby improving dechucking reliability and preventing occurrence of dechucking defects.

반도체 소자를 제조하기 위해서는, 웨이퍼 상에 물질막을 증착하는 공정, 증착된 물질막을 필요한 형태로 패터닝하는 공정, 웨이퍼 상의 불필요한 잔류물을 제거하는 세정공정, 미세 패턴을 형성하기 위하여 웨이퍼 상에 증착된 박막을 식각하는 식각 공정 등 수많은 단위 공정들을 거친다. 이중에서 식각 공정은 가장 필수적으로 요구되는 공정들 중 하나이다. In order to manufacture a semiconductor device, a process of depositing a material film on a wafer, a process of patterning the deposited material film into a required form, a cleaning process of removing unnecessary residues on the wafer, and a thin film deposited on the wafer to form a fine pattern It goes through a number of unit processes such as the etching process to etch. Of these, the etching process is one of the most essential processes.

반도체 웨이퍼에 대한 식각 공정은, 박막이 증착된 웨이퍼(기판)에 포토레지스트 또는 하드 마스크를 형성한 후 포토레지스트나 하드 마스크 패턴을 따라서 웨이퍼 상에 형성된 박막을 제거함으로써 수행된다. 이러한 식각 공정은, 최근에 반도체 소자의 집적도 증가에 따라 단차 또는 종횡비(AR: aspect ratio)가 높고 선폭의 미세 조절이 우수하며 습식 식각에 비하여 환경 친화적이고 비등방성 식각이 가능한 플라즈마를 이용한 건식 식각 장치에 의해 주로 이루어지고 있다. 플라즈마를 이용한 식각 공정(플라즈마 식각 공정)은, 진공 챔버 내에 고주파 전원을 인가하여 챔버 내에 공급된 식각 가스를 플라즈마 상태로 유동시키고 이 때 형성된 고에너지 전자 또는 라디칼에 의해 박막을 식각, 제거하는 공정을 말한다. 이러한 플라즈마 식각 공정을 성공적으로 수행하기 위해서는, 챔버 내부에서 반도체 기판을 척킹 및 디척킹하는 과정이 중요한 공정으로서 필수적으로 요구된다. 플라즈마 식각 공정 뿐만 아니라 플라즈마를 이용한 증착 공정에서도 안정적인 기판 척킹/디척킹 과정이 요구된다. The etching process for the semiconductor wafer is performed by forming a photoresist or hard mask on the wafer (substrate) on which the thin film is deposited, and then removing the thin film formed on the wafer along the photoresist or hard mask pattern. Recently, the etching process is a dry etching apparatus using plasma, which has high step ratio or aspect ratio (AR), fine control of line width, and environmentally friendly and anisotropic etching compared to wet etching according to the increase in the degree of integration of semiconductor devices. Mainly made by. Plasma etching process (plasma etching process) is a process of applying a high-frequency power in the vacuum chamber to flow the etching gas supplied in the chamber in a plasma state and etching and removing the thin film by the high-energy electrons or radicals formed at this time. Say. In order to successfully perform such a plasma etching process, the process of chucking and dechucking a semiconductor substrate in a chamber is essential as an important process. A stable substrate chucking / dechucking process is required in a plasma deposition process as well as a plasma deposition process.

일반적으로 반도체 소자 제조를 위한 공정 챔버 내에서의 기판의 홀딩은 기계적 클램프(mechanical clamp) 방식, 진공척을 이용하는 방식 및 정전척을 이용하는 방식등이 있으나, 최근에는 파티클(particle)과 공정의 균일성(uniformity)이 우수한 정전척(electrostatic chuck: ESC)의 사용이 급증하고 있다. 특히 고밀도 플라즈마 식각 및 플라즈마 증착을 위한 장비로서 정전척의 사용이 일반화되고 있다. 그러나 이러한 정전척 사용할 경우 플라즈마 처리 후 정전척으로부터 기판을 분리하는 과정에서 스티킹(sticking) 등의 문제가 발생하여 반응 챔버 내에서 기판이 깨지거나 챔버로부터 기판의 언로딩시 기판 홀딩 로봇의 블레이드 상에 기판이 잘못 배치될 수 있다. 이는 건식 식각 공정 등의 공정 완료후 기판 표면에 잔류하는 전하로 인한 흡착력(정전력)을 완전히 제거하지 못한 상태에서 디척킹 및 기판 분리를 수행하기 때문인 것으로서, 최근에는 기판 디척킹시 기판에 잔류하는 표면전하를 제거하기 위한 방법이 많이 연구되고 있다. Generally, the holding of a substrate in a process chamber for manufacturing a semiconductor device includes a mechanical clamp method, a vacuum chuck method, and an electrostatic chuck method, but recently, uniformity of particles and processes is achieved. The use of electrostatic chucks (ESCs) with excellent uniformity is increasing rapidly. In particular, the use of an electrostatic chuck as equipment for high-density plasma etching and plasma deposition has become common. However, in the case of using the electrostatic chuck, a problem such as sticking occurs during the separation of the substrate from the electrostatic chuck after the plasma treatment, so that the substrate is broken in the reaction chamber or when the substrate is unloaded from the chamber. The substrate may be misplaced. This is because dechucking and substrate separation are performed in a state where the adsorption force (electrostatic force) due to the charge remaining on the surface of the substrate is not completely removed after completion of a dry etching process. Many methods for removing surface charge have been studied.

도 1은 종래의 플라즈마 건식 식각 장치의 개략적인 구성을 도시한 도면이다. 도 1을 참조하면, 플라즈마 식각 장치(100)는 내부에 식각 공간부(101)가 형성된 챔버(10)와, 식각 공간부(101) 내에서 웨이퍼가 안착 및 고정되는 정전척(91)과, 정전척에 결합된 캐소드(21)와, 챔버(10)의 상면에 배치되며 플라즈마를 형성하기 위한 코일부재(30)를 구비한다. 코일부재(30)의 일단은 접지되고 타단은 고주파 전원을 인가하는 고주파 전원 공급부(50)에 연결된다. 또한 캐소드(21)도 고주파 전원 공급부(51)와 연결된다. 고주파 전원 공급부(50)와 코일부재(30) 사이 및 고주파 전원 공급부(51)과 캐소드(21) 사이에는 각각 고주파 전원을 임피던스 매칭하여 코일부재(30) 및 캐소드(21)에 전달하기 위한 매칭 네트워크(60, 61)가 전기적으로 연결되어 있다. 정전척(91) 내부에는 기판(18)을 승강시키기 위한 리프트 핀(90)이 있다. 또한 정전척(91) 하부에 연결되어 챔버(10)의 외부에서 정전척에 정전압 또는 역전압을 공급하는 정전척 전원 공급부(70)가 있다. 정전척(91)에 위치한 기판(80)은 정전척(91)에 인가되는 정전압에 의해 기판(80) 하면에 반대극성 의 전하가 유도된다. 유도된 전하에 의해 유도 전자기력 또는 정전력이 발생되어 기판(80)이 정전척(91)에 고정된다. 정전척(91)의 상면에는 유전체 세라믹 코팅층(20)이 코팅되어 있다.1 is a view showing a schematic configuration of a conventional plasma dry etching apparatus. Referring to FIG. 1, the plasma etching apparatus 100 includes a chamber 10 in which an etching space portion 101 is formed, an electrostatic chuck 91 in which a wafer is seated and fixed in the etching space portion 101, and A cathode 21 coupled to the electrostatic chuck and a coil member 30 disposed on an upper surface of the chamber 10 to form a plasma are provided. One end of the coil member 30 is grounded and the other end is connected to a high frequency power supply unit 50 for applying high frequency power. In addition, the cathode 21 is also connected to the high frequency power supply 51. Matching network for impedance matching and transmitting the high frequency power to the coil member 30 and the cathode 21 between the high frequency power supply 50 and the coil member 30 and between the high frequency power supply 51 and the cathode 21, respectively. 60 and 61 are electrically connected. Inside the electrostatic chuck 91 is a lift pin 90 for elevating the substrate 18. In addition, there is an electrostatic chuck power supply unit 70 connected to the lower portion of the electrostatic chuck 91 to supply a constant voltage or a reverse voltage to the electrostatic chuck from the outside of the chamber 10. In the substrate 80 positioned on the electrostatic chuck 91, the opposite polarity charge is induced on the lower surface of the substrate 80 by a constant voltage applied to the electrostatic chuck 91. Induced electromagnetic force or electrostatic force is generated by the induced charges to fix the substrate 80 to the electrostatic chuck 91. The dielectric ceramic coating layer 20 is coated on the top surface of the electrostatic chuck 91.

플라즈마 식각 처리가 완료되면, 정전척(91)에 공급되는 정전압의 반대 극성을 갖는 역전압을 인가하여 기판(80)에 작용하는 유도 전자기력을 상쇄시킨다. 이 때 기판(80)에 유도된 표면 전하를 완전히 제거시키지 않고 정전척(91)에 리프트 핀(90)이 기판(80)을 들어올릴 경우, 기판(80) 표면에 잔류하는 정전 전하로 인해 기판(80)은 정전척(91)으로부터 제대로 분리되지 않고 튀어 오르는 스티킹(sticking) 현상이 유발된다. 이러한 현상은 기판(80)의 정렬 상태를 변동시킬 수 있고 기판(80)을 파손시킬 수 있기 때문에, 디척킹시 역전압을 정전척에 인가한 후에도 리프트 핀(90)을 접지시킨 상태에서 리프트 핀(90)을 상승시켜 기판(80)을 정전척(91)으로부터 분리시킨다.When the plasma etching process is completed, a reverse voltage having a polarity opposite to the constant voltage supplied to the electrostatic chuck 91 is applied to cancel the induced electromagnetic force acting on the substrate 80. At this time, if the lift pin 90 lifts the substrate 80 on the electrostatic chuck 91 without completely removing the surface charge induced on the substrate 80, the substrate may be caused by the electrostatic charge remaining on the surface of the substrate 80. The 80 is not properly separated from the electrostatic chuck 91, and a sticking phenomenon occurs. This phenomenon can change the alignment state of the substrate 80 and damage the substrate 80, so that the lift pin 90 is grounded even after the reverse voltage is applied to the electrostatic chuck during dechucking. The 90 is raised to separate the substrate 80 from the electrostatic chuck 91.

그러나, 이와 같은 플라즈마 식각 장치의 기판 척킹/디척킹 장치 및 그 방법은 일련의 디척킹 절차를 거친다고 하더라도 정전척(91)의 사용량이 증가함에 따라 정전척(91)의 정전용량이 증가하고, 계속적인 정전용량의 증가에 따라 기판의 표면전하량이 증가한다. 이에 따라, 일정한 역전압을 인가하거나 단순 접지만으로 디척킹이 이루어지기 때문에 디척킹의 신뢰성이 떨어져 디척킹 불량이 증가하며, 기판의 손상이나 기판 이송 불량이 발생하게 된다. However, even if the substrate chucking / dechucking apparatus and method thereof of the plasma etching apparatus are subjected to a series of dechucking procedures, the capacitance of the electrostatic chuck 91 increases as the usage amount of the electrostatic chuck 91 increases, As the capacitance increases continuously, the surface charge of the substrate increases. Accordingly, since the dechucking is performed by applying a constant reverse voltage or by simply grounding, the dechucking reliability is lowered and the dechucking defect is increased, resulting in damage of the substrate or poor substrate transfer.

본 발명은 상술한 문제점을 해결하기 위하여 안출된 것으로서, 디척킹 전압의 제어에 의해 디척킹시 기판 표면의 잔류 전하를 효과적으로 제거하여 디척킹 능력을 증강시키고 디척킹 불량의 발생을 방지할 수 있는 플라즈마 처리 장치에서의 기판 디척킹 방법을 제공하는 데 그 목적이 있다.The present invention has been made to solve the above-described problems, the plasma that can effectively remove the residual charge on the surface of the substrate during dechucking by the control of the dechucking voltage to enhance the dechucking ability and prevent the occurrence of dechucking failure It is an object of the present invention to provide a substrate dechucking method in a processing apparatus.

본 발명에 따른 플라즈마 처리 장치에서의 기판 디척킹 방법은, The substrate dechucking method in the plasma processing apparatus according to the present invention,

정전압의 척킹 전압에 의해 정전척 상에 유지된 기판에 대한 플라즈마 처리 완료후, 플라즈마 발생을 중단시키고, 상기 정전척에 제1 역전압을 인가하는 단계;Stopping plasma generation after completion of plasma processing on the substrate held on the electrostatic chuck by the chucking voltage of the constant voltage, and applying a first reverse voltage to the electrostatic chuck;

상기 정전척에의 상기 제1 역전압 인가를 중단시키는 중간 턴오프 단계;An intermediate turn off step of stopping application of the first reverse voltage to the electrostatic chuck;

상기 중간 턴오프 단계 후, 상기 정전척에 상기 제1 역전압보다 작은 크기의 제2 역전압을 인가하는 단계; 및Applying a second reverse voltage of a magnitude less than the first reverse voltage to the electrostatic chuck after the intermediate turn off step; And

상기 정전척에의 상기 제2 역전압 인가를 중단시키고 상기 기판을 접지시키는 접지 단계;를 포함한다. And a grounding step of stopping application of the second reverse voltage to the electrostatic chuck and grounding the substrate.

본 발명의 실시형태에 따르면, 상기 제1 및 제2 역전압은, 상기 정전척 내부에 삽입된 리프트 핀에 인가될 수 있다. 상기 접지 단계에서, 상기 기판은, 접지단에 접지된 상태에서 상기 기판에 접촉하는 리프트 핀을 통해 접지될 수 있다. 상기 기판에 접촉하는 리프트 핀은 상기 기판과 접촉되는 접점부위를 전기전도도가 높은 Cu, Ag, Pt 또는 Al 등으로 형성하여 상기 기판과 접촉될 수 있다.According to an embodiment of the present invention, the first and second reverse voltages may be applied to a lift pin inserted into the electrostatic chuck. In the grounding step, the substrate may be grounded through a lift pin contacting the substrate while grounded to a ground terminal. The lift pins contacting the substrate may be in contact with the substrate by forming a contact portion in contact with the substrate with Cu, Ag, Pt or Al having high electrical conductivity.

본 발명의 실시형태에 따르면, 상기 제1 및 제2 역전압은, 상기 정전척 내부에 삽입된 하나 이상의 제1 리프트 핀에 인가될 수 있다. 또한, 상기 접지 단계에서, 상기 기판은, 접지단에 접지된 상태에서 상기 기판과 접촉하고 상기 제1 리프트 핀과 다른 접지 전용의 제2 리프트 핀을 통해 접지될 수 있다. 또한, 상기 접지 단계에서, 상기 기판은 상기 제2 리프트 핀 및 제1 리프트 핀을 통해서 접지될 수 있다. 이 경우, 상기 제1 및 제2 리프트 핀은 서로 병렬로 연결될 수 있다. According to an embodiment of the present invention, the first and second reverse voltages may be applied to one or more first lift pins inserted into the electrostatic chuck. In addition, in the grounding step, the substrate may be in contact with the substrate in a state of being grounded to the ground terminal and grounded through a second lift pin dedicated to ground different from the first lift pin. Also, in the grounding step, the substrate may be grounded through the second lift pin and the first lift pin. In this case, the first and second lift pins may be connected in parallel with each other.

본 발명에 따르면, 디척킹 전압의 제어에 의해 디척킹시 기판 표면의 잔류 전하를 확실히 제거하여 디척킹 능력을 증강시키고 스티킹 등에 의한 디척킹 불량의 발생을 효과적으로 방지할 수 있다. According to the present invention, by controlling the dechucking voltage, it is possible to reliably remove residual charges on the surface of the substrate during dechucking to enhance the dechucking ability and effectively prevent dechucking defects caused by sticking or the like.

이하, 첨부된 도면을 참조하여 본 발명의 실시형태를 설명한다. 그러나, 본 발명의 실시형태는 여러 가지의 다른 형태로 변형될 수 있으며, 본 발명의 범위가 이하 설명하는 실시형태로만 한정되는 것은 아니다. 도면에서의 요소들의 형상 및 크기 등은 보다 명확한 설명을 위해 과장될 수 있으며, 도면상의 동일한 부호로 표 시되는 요소는 동일한 요소이다. 본 실시형태에 따른 디척킹 방법을 설명하기 전에 먼저 도 2의 타이밍도를 참조하여 플라즈마 처리를 위한 기판 척킹을 간단히 설명한다.Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings. However, the embodiments of the present invention may be modified into various other forms, and the scope of the present invention is not limited to the embodiments described below. Shapes and sizes of the elements in the drawings may be exaggerated for clearer explanation, elements represented by the same reference numerals in the drawings are the same elements. Before describing the dechucking method according to the present embodiment, a substrate chucking for plasma processing is briefly described with reference to the timing diagram of FIG. 2.

도 2는 플라즈마 처리를 위해 기판을 정전척에 고정시킬 때, 정전척에 인가되는 척킹 전압의 예를 나타낸 타이밍도이다. 이러한 척킹 전압는 도 1에 도시된 바와 같은 플라즈마 처리 장치의 정전척(91)과 정전척 전압 공급부(70)를 이용하여 기판(80)에 인가될 수 있다. 기판(80)을 정전척(91) 상에 배치한 후 정전척(91)에 정전압을 인가함으로써 기판(80)과 정전척(91) 사이의 정전기적 인력에 의해 기판이 정전척에 고정된다. 도 2에 도시된 바와 같이, 척킹 전압은 초기(ti)직전의 오프 상태에서 일정 전압까지 계단형(step-wise)으로 증가시킬 수 있다. 일정 정전압이 도달되면 플라즈마 처리(플라즈마 식각 또는 플라즈마 증착 등) 동안 계속 그 정전압이 인가되어 기판(80)을 정전척에 단단히 유지시킨다. 플라즈마 처리가 완료되면, 척킹 전압을 계단형으로 감소시켜 척킹 종료시(tf)에 턴 오프 상태로 만들 수 있다. 이러한 척킹 전압은 정전척(91) 내의 리프트 핀에 인가될 수 있다. 2 is a timing diagram showing an example of a chucking voltage applied to an electrostatic chuck when the substrate is fixed to the electrostatic chuck for plasma processing. The chucking voltage may be applied to the substrate 80 using the electrostatic chuck 91 and the electrostatic chuck voltage supply unit 70 of the plasma processing apparatus as shown in FIG. 1. By disposing the substrate 80 on the electrostatic chuck 91 and then applying a constant voltage to the electrostatic chuck 91, the substrate is fixed to the electrostatic chuck by the electrostatic attraction between the substrate 80 and the electrostatic chuck 91. As shown in FIG. 2, the chucking voltage may be stepped up to a constant voltage in the off state just before the initial ti. When a constant constant voltage is reached, the constant voltage is continuously applied during plasma processing (plasma etching or plasma deposition) to keep the substrate 80 firmly on the electrostatic chuck. When the plasma processing is completed, the chucking voltage can be reduced stepwise to be turned off at the end of chucking (tf). This chucking voltage may be applied to the lift pins in the electrostatic chuck 91.

도 3의 (a) 및 (b)는 종래 기술에 따른 디척킹 전압 인가(도 3(a)) 및 본 발명의 실시형태에 따른 디척킹 전압 인가(도 3(b))를 설명하기 위한 타이밍도이다. 플라즈마 처리 완료 후, 정전척에 인가되는 정전압을 턴오프하고 기판(80)과 정전척(91) 사이의 유도 전자기력(정전기적 인력)을 상쇄하도록 정전압의 반대 극성을 갖는 역전압을 정전척(91)에 인가한다. 3A and 3B are timings for explaining the dechucking voltage application according to the prior art (Fig. 3 (a)) and the dechucking voltage application according to the embodiment of the present invention (Fig. 3 (b)). It is also. After completion of the plasma treatment, the electrostatic chuck 91 has a reverse voltage having the opposite polarity of the constant voltage to turn off the constant voltage applied to the electrostatic chuck and cancel the induced electromagnetic force (electrostatic attraction) between the substrate 80 and the electrostatic chuck 91. ) Is applied.

도 3(a)를 참조하면, 종래에는 시간 t1~t2 동안 역전압의 디척킹 전압을 인가하고, 그 후 역전압의 디척킹 전압을 턴오프한다. 디척킹 전압이 턴오프되면 접지단에 연결된 리프트 핀(90)을 통해 기판(80)을 접지시켜서 역전압 인가후에도 기판(80) 표면에 잔류하는 전하를 접지단으로 빼낸다. 그러나, 기판(80) 표면에 잔류하는 전하가 접지단으로 확실히 빠져나갈 때까지 상당한 시간이 걸리며, 척킹/디척킹 작업의 반복에 따라 정전척(91)의 표면이 열화되고 정전척(91)의 정전용량이 증가하여 기판(80) 표면의 잔류 전하량이 많아지게 된다. 따라서, 기판(80) 표면의 잔류 전하가 아직 상당히 남아있는 상태에서 리프트 핀(90)을 상승하게 되면 기판(80) 스티킹으로 인해 기판 손상, 기판의 잘못 배치(misplacement) 등의 디척킹 불량이 발생하게 된다.Referring to FIG. 3A, a dechucking voltage of a reverse voltage is applied for a time t1 to t2, and then the dechucking voltage of a reverse voltage is turned off. When the dechucking voltage is turned off, the substrate 80 is grounded through the lift pin 90 connected to the ground terminal, and the charge remaining on the surface of the substrate 80 is removed to the ground terminal even after the reverse voltage is applied. However, it takes a considerable time for the charge remaining on the surface of the substrate 80 to reliably escape to the ground terminal, and the surface of the electrostatic chuck 91 deteriorates as the chucking / dechucking operation is repeated. As the capacitance increases, the amount of residual charge on the surface of the substrate 80 increases. Therefore, if the lift pin 90 is raised while the residual charge on the surface of the substrate 80 remains large, dechucking defects such as substrate damage and misplacement of the substrate due to the sticking of the substrate 80 may be caused. Will occur.

이에 반하여, 본 실시형태에서는, 도 3(b)에 도시된 바와 같이 2가지 레벨의 역전압을 인가하되, 그 2가지 레벨의 역전압 사이에 중간 턴오프 시간(t2~t3)을 둔 디척킹 전압을 이용한다. In contrast, in the present embodiment, two levels of reverse voltage are applied as shown in FIG. 3 (b), but dechucking with an intermediate turn-off time (t2 to t3) between the two levels of reverse voltage. Use voltage.

구체적으로 말해서, 플라즈마 식각이나 플라즈마 증착 등의 플라즈마 처리가 완료되면, 플라즈마 발생을 중단시킨다. 그리고, 정전척(91)에 인가되는 정전압을 턴오프하고 기판(80)과 정전척(91) 사이의 유도 전자기력을 상쇄하도록 시간 t1~t2 동안에 제1 역전압의 디척킹 전압을 인가한다(제1 역전압 인가 단계). 그리고 나서, 시간 t2~t3 동안 제1 역전압의 디척킹 전압을 잠시 턴오프(중간 턴오프 단계) 한 후, 다시 역전압의 디척킹 전압을 인가하되 이번에는 제1 역전압보다 작은 크기의 제2 역전압을 인가한다(제2 역전압 인가 단계). 제2 역전압을 제1 역전압보다 작은 크기로 설정함으로써, 정전척(91)이나 챔버 내의 다른 내부 요소에 무리가 가지 않도록 하며 특히 기판 이송용 로봇암에서의 스파크 발생등을 방지한다. 상술한 제1 및 제2 역전압의 디척킹 전압은 정전척(91) 내부에 삽입된 리프트 핀에 인가될 수 있다. 이 후, 정전척(91)에의 제2 역전압 인가를 중단시키고 기판(80)을 접지시킨다. 기판(80)은, 접지단에 연결되고 기판(80)에 접촉하는 리프트 핀(90)을 통해 접지될 수 있다. Specifically, the plasma generation is stopped when plasma processing such as plasma etching or plasma deposition is completed. Then, the dechucking voltage of the first reverse voltage is applied during the time t1 to t2 so as to turn off the constant voltage applied to the electrostatic chuck 91 and cancel the induced electromagnetic force between the substrate 80 and the electrostatic chuck 91. 1 reverse voltage application step). Then, after temporarily turning off the dechucking voltage of the first reverse voltage (intermediate turn-off step) for a time t2 to t3, the dechucking voltage of the reverse voltage is applied again, but this time, 2 Reverse voltage is applied (second reverse voltage application step). By setting the second reverse voltage to a size smaller than the first reverse voltage, the electrostatic chuck 91 and other internal elements in the chamber are prevented from being overwhelmed, and in particular, spark generation in the substrate transfer robot arm is prevented. The above-described dechucking voltages of the first and second reverse voltages may be applied to the lift pins inserted into the electrostatic chuck 91. Thereafter, the application of the second reverse voltage to the electrostatic chuck 91 is stopped and the substrate 80 is grounded. The substrate 80 may be grounded through a lift pin 90 connected to the ground end and in contact with the substrate 80.

상술한 일련의 디척킹 전압 인가 과정(도 3(b))을 통해 기판 표면 전하의 짧은 역플럭스를 유발하고 기판과 정전척 간의 정전기적 인력과 기판에 잔류하는 표면 전하를 더 확실히 제거할 수 있게 된다. 특히, 중간 턴오프 단계(t2~t3)와 제2 역전압 인가 단계(t3~t4)를 추가로 구비함으로써, 정전기적 인력을 제거하는 디척킹 능력이 증강됨에도 접지 단계에서의 시간소요가 줄어들어 디척킹시 전체 시간 상의 낭비요소는 적다. 이에 따라, 디척킹 신뢰도가 높아지고 스티킹 등에 의한 디척킹 불량을 효과적으로 방지할 수 있게 된다. The above-described series of dechucking voltage application processes (FIG. 3 (b)) result in a short reverse flux of the substrate surface charge and more reliably remove the electrostatic attraction between the substrate and the electrostatic chuck and the surface charge remaining on the substrate. do. In particular, by additionally providing an intermediate turn-off step (t2 to t3) and a second reverse voltage applying step (t3 to t4), the time required in the grounding step is reduced even though the dechucking ability to remove the electrostatic attraction is enhanced. When chucking, there is little waste in overall time. As a result, the dechucking reliability is increased and the dechucking failure due to sticking or the like can be effectively prevented.

도 3(b)를 참조하여 상술한 디척킹 전압은 정전척(91) 내에 배치된 리프트핀 에 인가될 수 있다. 통상적으로, 리프트 핀은 웨이퍼 기판의 반송을 위해 웨이퍼를 상승(lift-up)시키는 역할을 할뿐만 아니라 디척킹시 접지단에 연결된 상태로 기판 배면에 접촉하여 기판을 접지시키는 역할을 한다. 리프트 핀을 통한 기판 접지시 접지 성능을 높이기 위해서, 전기전도도가 뛰어난 Cu 로 리프트 핀의 접점 부위(기판과 접촉되는 리프트 핀 상단의 접점부위)를 구성하여 리프트 핀을 기판에 접촉시킬 수 있다. 리프트 핀의 접점 부위는 Cu 이외에도, 전기전도도가 높은 Ag, Pt 또는 Al 등으로 형성할 수 있다.The dechucking voltage described above with reference to FIG. 3B may be applied to a lift pin disposed in the electrostatic chuck 91. Typically, the lift pin serves to lift up the wafer for conveyance of the wafer substrate, as well as to ground the substrate by contacting the substrate back side while being connected to the ground terminal during dechucking. In order to increase the grounding performance when grounding the board through the lift pin, the contact pin of the lift pin (the contact point on the top of the lift pin in contact with the board) may be made of Cu, which has excellent electrical conductivity, so that the lift pin may contact the board. The contact portion of the lift pin can be formed of Ag, Pt or Al having high electrical conductivity in addition to Cu.

리프트 핀(90)의 개수를 늘리는 것은 디척킹에 도움이 될 수 있으나, 정전척을 구성하는 장치의 특성상 전극 부분이 가장 복잡하여 리프트 핀(90)을 포함한 정전척(91) 전체의 구성에 난해성이 있다. 또한, 정전척(91)에 결합된 캐소드(21)에 대해 높은 전류의 RF를 사용하므로, 리프트 핀(90)은 RF 전력 손실의 소스로 작용할 수 있다. 리프트 핀(90) 개수의 단순 증가는 RF 전력 손실의 소스 증가로 이어질 수 있어 디척킹 능력 향상을 위한 최선의 방법은 아니다. 이러한 문제를 해결하고 접지 능력을 높이기 위해, 접지전압 전용의 짧은 길이를 갖는 리프트 핀을 사용할 수 있다. Increasing the number of lift pins 90 may help dechucking, but due to the nature of the device constituting the electrostatic chuck, the electrode part is the most complex, making it difficult to construct the entire electrostatic chuck 91 including the lift pin 90. There is this. In addition, since high current RF is used for the cathode 21 coupled to the electrostatic chuck 91, the lift pin 90 can serve as a source of RF power loss. A simple increase in the number of lift pins 90 can lead to an increase in the source of RF power loss and thus is not the best way to improve dechucking capability. To solve this problem and increase grounding capability, shorter lift pins dedicated to ground voltage can be used.

도 4는 본 발명의 실시형태에 따른 디척킹 방법에 이용될 수 있는 리프트 핀 구성을 개략적으로 나타낸 도면이다. 도 4에 도시된 바와 같이, 전체 리프트 핀들은 그 역할에 의해 구분되어, 도 3(b)에 도시된 바와 같은 디척킹 전압이 인가되는 리프트 핀(90a)과, 단순히 기판 접지를 위한 리프트 핀(90b)으로 분류된다. 접지 단계(도 3(b)에서 시간 t4 이후)에서, 디척킹 전압용 리프트 핀(90a)과 접지전압 전용의 리프트 핀(90b)은 병렬로 연결되어 접지단에 접지될 수 있다. 이 경우, 리프트 핀들(90a, 90b)의 병렬 회로 구성은 낮은 임피던스를 가지므로 기판 접지에 더 효과적이다. 접지전압 전용의 리프트 핀(90b)은 기판 승강시 접점만을 제공하므로 화학적으로 큰 이슈사항을 유발하지 않는다. 접지전압 전용의 리프트 핀(90b)은 디척킹 전압용 리프트 핀(90a)에 비하여 그 길이가 짧아서, 기판 분리시 일정 높이에서 리프트 핀(90b)은 상승을 멈추고, 그 이상의 높이는 다른 리프트 핀(90a)에 의해 기판(80)이 지지, 상승될 수 있다. 4 is a diagram schematically illustrating a lift pin configuration that may be used in a dechucking method according to an embodiment of the present invention. As shown in FIG. 4, the total lift pins are divided by their role, so that the lift pin 90a to which the dechucking voltage as shown in FIG. 3 (b) is applied, and the lift pin for simply grounding the substrate ( 90b). In the ground phase (after time t4 in FIG. 3B), the lift pin 90a for the dechucking voltage and the lift pin 90b for the ground voltage may be connected in parallel and grounded to the ground terminal. In this case, the parallel circuit configuration of the lift pins 90a and 90b has a low impedance, which is more effective for substrate grounding. The lift pin 90b dedicated to the ground voltage provides only a contact point when the board is raised and thus does not cause a large chemical issue. The lift pin 90b dedicated to the ground voltage is shorter than the lift pin 90a for the dechucking voltage, so that the lift pin 90b stops rising at a predetermined height when the substrate is separated, and the other lift pin 90a is higher than the lift pin 90a. The substrate 80 may be supported and lifted up by the.

도 5는 본 발명의 실시형태에 따른 디척킹 방법에 적용될 수 있는 리프트 핀들의 기판 접촉 위치를 개략적으로 나타낸 평면도이다. 도 5(a) 및 (b)에 도시된 바와 같이, 기판(80)과 관련하여 리프트 핀(90a, 90b)은 여러가지 방식으로 배치될 수 있다. 예를 들어, 도 5(a)에 도시된 바와 같이, 접지전용의 리프트 핀(90b)이 외곽의 삼각형 꼭지점에 배치되고, 디척킹 전압용의 리프트 핀(90a)이 내부의 삼각형 꼭지점에 배치될 수 있다. 또한 도 5(b)에 도시된 바와 같이, 디척킹 전압용 리프트 핀(90a)이 사각형의 꼭지점에 배치되고, 그 사각형 내부에 접지전압 전용의 리프트 핀(90b)이 배치될 수도 있다. 기판(80)과 리프트 핀(90a, 90b) 간의 접촉이 이루어지는 리프트 핀(90a, 90b)의 상단은 Cu, Ag, Pt 또는 Al등의 높은 전기전도도 물질로 구성하여 접점에서의 접지 성능을 높일 수 있다.5 is a plan view schematically showing a substrate contact position of lift pins that may be applied to a dechucking method according to an embodiment of the present invention. As shown in FIGS. 5A and 5B, the lift pins 90a and 90b may be disposed in various ways with respect to the substrate 80. For example, as shown in Fig. 5A, a grounding lift pin 90b is disposed at an outer triangle vertex, and a lift pin 90a for dechucking voltage is disposed at an inner triangle vertex. Can be. In addition, as shown in FIG. 5B, the depinking voltage lift pin 90a may be disposed at a vertex of the quadrangle, and a lift pin 90b dedicated to ground voltage may be disposed inside the quadrangle. The upper ends of the lift pins 90a and 90b, which make contact between the substrate 80 and the lift pins 90a and 90b, may be made of a high conductivity material such as Cu, Ag, Pt, or Al to increase the grounding performance at the contacts. have.

본 발명은 상술한 실시형태 및 첨부된 도면에 의해 한정되지 아니한다. 첨부된 청구범위에 의해 권리범위를 한정하고자 하며, 청구범위에 기재된 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 다양한 형태의 치환, 변형 및 변경이 가능하다는 것은 당 기술분야의 통상의 지식을 가진 자에게 자명할 것이다.The present invention is not limited by the above-described embodiment and the accompanying drawings. It will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the invention as defined by the appended claims, .

도 1은 기판 척킹/디척킹 장치를 구비한 종래의 플라즈마 식각 장치의 개략적인 구성을 도시한 도면이다.1 is a view showing a schematic configuration of a conventional plasma etching apparatus having a substrate chucking / dechucking apparatus.

도 2는 척킹 전압의 인가를 설명하기 위한 타이밍도이다.2 is a timing diagram for explaining the application of the chucking voltage.

도 3은 종래 기술에 따른 디척킹 전압 인가(도 3(a)) 및 본 발명의 실시형태에 따른 디척킹 전압 인가(도 3(b))를 설명하기 위한 타이밍도이다.3 is a timing diagram for explaining the dechucking voltage application (Fig. 3 (a)) according to the prior art and the dechucking voltage application (Fig. 3 (b)) according to the embodiment of the present invention.

도 4는 본 발명의 실시형태에 따른 디척킹 방법에 이용될 수 있는 리프트 핀 구성을 개략적으로 나타낸 도면이다.4 is a diagram schematically illustrating a lift pin configuration that may be used in a dechucking method according to an embodiment of the present invention.

도 5는 본 발명의 실시형태에 따른 디척킹 방법에 적용될 수 있는 리프트 핀들의 기판 접촉 위치를 개략적으로 나타낸 평면도이다.5 is a plan view schematically showing a substrate contact position of lift pins that may be applied to a dechucking method according to an embodiment of the present invention.

<도면의 주요부분에 대한 부호의 설명><Description of the symbols for the main parts of the drawings>

10: 챔버 20: 유전체 세라믹 코팅층10 chamber 20 dielectric ceramic coating layer

21: 캐소드 30: 코일 부재21: cathode 30: coil member

40: 세라믹 윈도우 50, 51: 고주파 전원 공급부40: ceramic window 50, 51: high frequency power supply

60, 61: 매칭 네트워크 70: 정전척 전원 공급부60, 61: matching network 70: electrostatic chuck power supply

80: 기판 90: 리프트 핀80: substrate 90: lift pin

90a: 제1 리프트 핀 90b: 접지 전용의 제2 리프트 핀90a: first lift pin 90b: second lift pin dedicated to grounding

91: 정전척 100: 플라즈마 식각 장치91: electrostatic chuck 100: plasma etching apparatus

101: 식각 공간부101: etching space

Claims (8)

정전압의 척킹 전압에 의해 정전척 상에 유지된 기판에 대한 플라즈마 처리 완료후, 플라즈마 발생을 중단시키고, 상기 정전척에 제1 역전압을 인가하는 단계;Stopping plasma generation after completion of plasma processing on the substrate held on the electrostatic chuck by the chucking voltage of the constant voltage, and applying a first reverse voltage to the electrostatic chuck; 상기 정전척에의 상기 제1 역전압 인가를 중단시키는 중간 턴오프 단계;An intermediate turn off step of stopping application of the first reverse voltage to the electrostatic chuck; 상기 중간 턴오프 단계 후, 상기 정전척에 상기 제1 역전압보다 작은 크기의 제2 역전압을 인가하는 단계; 및Applying a second reverse voltage of a magnitude less than the first reverse voltage to the electrostatic chuck after the intermediate turn off step; And 상기 정전척에의 상기 제2 역전압 인가를 중단시키고 상기 기판을 접지시키는 접지 단계;를 포함하는 플라즈마 처리 장치에서의 기판 디척킹 방법.And a grounding step of stopping application of the second reverse voltage to the electrostatic chuck and grounding the substrate. 제1항에 있어서,The method of claim 1, 상기 제1 및 제2 역전압은, 상기 정전척 내부에 삽입된 리프트 핀에 인가되는 것을 특징으로 하는 플라즈마 처리 장치에서의 기판 디척킹 방법.And the first and second reverse voltages are applied to a lift pin inserted into the electrostatic chuck. 제1항에 있어서,The method of claim 1, 상기 접지 단계에서, 상기 기판은, 접지단에 접지된 상태에서 상기 기판에 접촉하는 리프트 핀을 통해 접지되는 것을 특징으로 하는 플라즈마 처리 장치에서의 기판 디척킹 방법.In the grounding step, the substrate, the substrate dechucking method in the plasma processing apparatus, characterized in that the grounded through the lift pin in contact with the substrate in a grounded ground. 제1항에 있어서,The method of claim 1, 상기 기판에 접촉하는 리프트 핀은 상기 기판과 접촉되는 접점부위를 Cu, Ag, Pt 또는 Al으로 형성하여 상기 기판과 접촉되는 것을 특징으로 하는 플라즈마 처리 장치에서의 기판 디척킹 방법.And a lift pin in contact with the substrate is in contact with the substrate by forming a contact portion in contact with the substrate with Cu, Ag, Pt or Al. 제1항에 있어서,The method of claim 1, 상기 제1 및 제2 역전압은, 상기 정전척 내부에 삽입된 하나 이상의 제1 리프트 핀에 인가되는 것을 특징으로 하는 플라즈마 처리 장치에서의 기판 디척킹 방법.And the first and second reverse voltages are applied to one or more first lift pins inserted into the electrostatic chuck. 제5항에 있어서,The method of claim 5, 상기 접지 단계에서, 상기 기판은, 접지단에 접지된 상태에서 상기 기판과 접촉하고 상기 제1 리프트 핀과 다른 접지 전용의 제2 리프트 핀을 통해 상기 기판이 접지되는 것을 특징으로 하는 플라즈마 처리 장치에서의 기판 디척킹 방법.In the grounding step, in the plasma processing apparatus, the substrate is in contact with the substrate in a grounded state at the ground terminal and the substrate is grounded through a second lift pin dedicated to ground different from the first lift pin. Substrate dechucking method. 제6항에 있어서,The method of claim 6, 상기 접지 단계에서, 상기 기판은, 상기 제2 리프트 핀 및 제1 리프트 핀을 통해서 접지되는 것을 특징으로 하는 플라즈마 처리 장치에서의 기판 디척킹 방법.And in the grounding step, the substrate is grounded through the second lift pin and the first lift pin. 제7항에 있어서,The method of claim 7, wherein 상기 접지 단계에서, 상기 제1 및 제2 리프트 핀은 서로 병렬로 연결되는 것을 특징으로 하는 플라즈마 처리 장치에서의 기판 디척킹 방법. And in said grounding step, said first and second lift pins are connected in parallel to each other.
KR1020080131603A 2008-12-22 2008-12-22 Method for dechucking a substrate in plasma processing apparatus KR101087141B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080131603A KR101087141B1 (en) 2008-12-22 2008-12-22 Method for dechucking a substrate in plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080131603A KR101087141B1 (en) 2008-12-22 2008-12-22 Method for dechucking a substrate in plasma processing apparatus

Publications (2)

Publication Number Publication Date
KR20100073025A true KR20100073025A (en) 2010-07-01
KR101087141B1 KR101087141B1 (en) 2011-11-25

Family

ID=42636078

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080131603A KR101087141B1 (en) 2008-12-22 2008-12-22 Method for dechucking a substrate in plasma processing apparatus

Country Status (1)

Country Link
KR (1) KR101087141B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107768299A (en) * 2016-08-16 2018-03-06 北京北方华创微电子装备有限公司 Bogey and semiconductor processing equipment
WO2020072482A1 (en) * 2018-10-05 2020-04-09 Applied Materials, Inc. Method, apparatus and system for wafer dechucking using dynamic voltage sweeping

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107768299A (en) * 2016-08-16 2018-03-06 北京北方华创微电子装备有限公司 Bogey and semiconductor processing equipment
WO2020072482A1 (en) * 2018-10-05 2020-04-09 Applied Materials, Inc. Method, apparatus and system for wafer dechucking using dynamic voltage sweeping

Also Published As

Publication number Publication date
KR101087141B1 (en) 2011-11-25

Similar Documents

Publication Publication Date Title
KR101415551B1 (en) Electrostatic chuck, method of manufacturing the same and apparatus for processing a substrate including the same
JP5323317B2 (en) Electrostatic chuck method
US8277673B2 (en) Plasma processing method and apparatus
US20150010381A1 (en) Wafer processing chamber and method for transferring wafer in the same
KR980012817A (en) Apparatus and method for withdrawing a product from an electrostatic chuck
JP2004047511A (en) Method for releasing, method for processing, electrostatic attracting device, and treatment apparatus
JP4847909B2 (en) Plasma processing method and apparatus
KR19980024679A (en) Electrostatic chuck and sample processing method and apparatus using the same
KR20130126449A (en) Electrostatic chuck and method for removing remaining charges thereof
JP2012524417A (en) Charge removal between substrate and electrostatic clamp
JP4642809B2 (en) Plasma processing method and plasma processing apparatus
JP3847363B2 (en) Semiconductor wafer processing apparatus and semiconductor wafer processing method
JP2004014868A (en) Electrostatic chuck and processing apparatus
KR101087141B1 (en) Method for dechucking a substrate in plasma processing apparatus
KR101087140B1 (en) Chucking/Dechucking Apparatus and Chucking/Dechucking Method in Plasma Processing Apparatus
JPH1027780A (en) Plasma treating method
JPH07201818A (en) Dry etching equipment
JP4588595B2 (en) Plasma processing apparatus and processing method
JP2004047513A (en) Electrostatic attracting structure, method for electrostatic attraction, apparatus and method for plasma processing
JP5335421B2 (en) Vacuum processing equipment
JP4026702B2 (en) Plasma etching apparatus and plasma ashing apparatus
JP2000332088A (en) Electrostatic chuck with destaticizing function and destaticization method of the electrostatic chuck
JP2001118914A (en) Electrostatic chuck provided with a wafer contact electrode and wafer chucking method
KR20100001609A (en) Wafer chucking and dechucking apparatus
JP2004031487A (en) Electrostatic attracting device and vacuum treating device using the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141027

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150902

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee