KR20100014612A - 부식 가능성이 있는 샘플의 금속부에 대한 피코초 초음파를 이용한 특성화 - Google Patents

부식 가능성이 있는 샘플의 금속부에 대한 피코초 초음파를 이용한 특성화 Download PDF

Info

Publication number
KR20100014612A
KR20100014612A KR1020097020148A KR20097020148A KR20100014612A KR 20100014612 A KR20100014612 A KR 20100014612A KR 1020097020148 A KR1020097020148 A KR 1020097020148A KR 20097020148 A KR20097020148 A KR 20097020148A KR 20100014612 A KR20100014612 A KR 20100014612A
Authority
KR
South Korea
Prior art keywords
sample
manufacturing process
probe pulse
thickness
generating
Prior art date
Application number
KR1020097020148A
Other languages
English (en)
Other versions
KR101455777B1 (ko
Inventor
구라이 타스
션 피. 리어리
다리오 알리아타
야나 클레리코
프리야 무쿤단
쫑닝 따이
Original Assignee
루돌프 테크놀로지스 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 루돌프 테크놀로지스 인코퍼레이티드 filed Critical 루돌프 테크놀로지스 인코퍼레이티드
Publication of KR20100014612A publication Critical patent/KR20100014612A/ko
Application granted granted Critical
Publication of KR101455777B1 publication Critical patent/KR101455777B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/1717Systems in which incident light is modified in accordance with the properties of the material investigated with a modulation of one or more physical properties of the sample during the optical investigation, e.g. electro-reflectance
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B17/00Measuring arrangements characterised by the use of infrasonic, sonic or ultrasonic vibrations
    • G01B17/02Measuring arrangements characterised by the use of infrasonic, sonic or ultrasonic vibrations for measuring thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95684Patterns showing highly reflecting parts, e.g. metallic elements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N29/00Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object
    • G01N29/14Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object using acoustic emission techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N29/00Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object
    • G01N29/22Details, e.g. general constructional or apparatus details
    • G01N29/24Probes
    • G01N29/2418Probes using optoacoustic interaction with the material, e.g. laser radiation, photoacoustics
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N29/00Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object
    • G01N29/44Processing the detected response signal, e.g. electronic circuits specially adapted therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/1717Systems in which incident light is modified in accordance with the properties of the material investigated with a modulation of one or more physical properties of the sample during the optical investigation, e.g. electro-reflectance
    • G01N2021/1725Modulation of properties by light, e.g. photoreflectance
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N2021/8411Application to online plant, process monitoring
    • G01N2021/8416Application to online plant, process monitoring and process controlling, not otherwise provided for
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95638Inspecting patterns on the surface of objects for PCB's

Abstract

제조 프로세스를 평가하는 방법이 개시되어 있다. 상기 방법은 광학 펌프 빔 펄스를 생성하여 샘플의 표면을 향하게 하는 단계를 포함한다. 프로브 펄스는 생성되어 샘플의 표면을 향하게 된다. 프로브 펄스 응답 신호가 검파된다. 음향 신호에 따라 변화하는 프로브 펄스의 변화는 프로브 펄스 응답 신호를 형성한다. 샘플을 생성하는데 이용된 하나 이상의 제조 프로세스 단계에 대한 평가는 프로브 펄스 응답 신호에 기초하여 수행된다. 또한, 상기 방법은 CMP 프로세스의 프로세스 제어에 이용될 수도 있다. 장치도 개시되어 있다.
Figure P1020097020148
CMP, 평탄화, 펄스, 검파, 응답 신호, 음향 신호

Description

부식 가능성이 있는 샘플의 금속부에 대한 피코초 초음파를 이용한 특성화{CHARACTERIZATION WITH PICOSECOND ULTRASONICS OF METAL PORTIONS OF SAMPLES POTENTIALLY SUBJECT TO EROSION}
본 발명은 일반적으로 반도체 재료를 측정하는 것에 관한 것이며, 보다 상세하게는 금속 선 배열 및 층을 측정하는 것에 관한 것이다.
화학 기계 평탄화(CMP)는, 집적 회로(IC) 상에서 예를 들어 구리 상호연결과 같은 고성능 및 고수율의 상호연결 구조를 생성하는데 있어서 중요한 프로세스이다.
CMP 연마율은 전기 도금 프로파일, 슬러리 화학적 성질, 패드 마모, 중간 유전체 재료 및 패턴 밀도를 포함하는 다양한 변수에 의해 좌우된다. 이들 영향은, CMP 디싱(dishing) 및 부식이 상호연결 구조의 저항에 대해 상당한 영향력을 가질 수도 있는 개선된 기술 노드에서 보다 현저해진다.
선 구조가 미연마된 경우, 잔여 구리 또는 배리어(barrier)가 회로를 쇼트(short)시켜 불량 다이(defective dies)가 발생된다. 한편, 과연마는 칩의 속도와 성능 모두에 부정적인 영향을 미치는 선 저항을 증가시킨다.
상기 상호연결 저항을 유지하기 위하여, 본드 패드와 선 배열 구조 모두에서의 구 리 두께를 모니터링하는 것이 중요하다. 이들 두께는 웨이퍼에 걸쳐, 그리고 웨이퍼로부터 웨이퍼로 상당히 변화할 수도 있으므로, 고속 처리의 인라인 계측 기술(inline metrology technique)이 바람직하다.
따라서, 고수율을 유지하기 위하여, 바람직한 두께로 구리 선을 유지하는 것이 중요하다. 이는 엄격한 프로세스 제어를 요구한다.
주사 전자 현미경(SEM), 유전체 연마를 측정하는 광학 기술 및 층간(interlayer) 유전체 패드, 구리 패드 및 선 배열과 같은 구조 사이에서 상대적인 높이 차이를 측정할 수 있는 고해상도 프로파일로미터(profilometer)를 포함하는 일부 계측 기술이 CMP 프로세스를 모니터링하는데 이용되고 있다.
그럼에도 불구하고, 이들 계측 기술은 구리와 같은 금속으로 이루어진 층과 선 배열을 측정할 때 개선될 수 있었다.
본 발명에 따른 예시적인 실시형태는 제조 프로세스를 평가하는 방법이다. 상기 방법은 광학 펌프 빔 펄스를 생성하여 샘플의 표면을 향하게 하는 단계를 포함한다. 프로브 펄스는 생성되어 샘플의 표면을 향하게 된다. 프로브 펄스 응답 신호가 검파된다. 음향 신호에 따라 변하는 프로브 펄스의 변화가 프로브 펄스 응답 신호를 형성한다. 샘플을 형성하는데 이용된 하나 이상의 제조 프로세스 단계에 대한 평가가 프로브 펄스 응답 신호에 기초하여 이루어진다.
본 발명에 따른 또 다른 예시적인 실시형태는 제조 프로세스를 평가하는 장치이다. 상기 장치는 광학 펌프 빔 펄스를 생성하여 샘플의 표면을 향하게 함으로써 음향 신호를 생성하며, 프로브 펄스를 생성하여 샘플의 표면을 향하게 하도록 구성된 광원을 포함한다. 프로브 펄스 응답 신호를 검파하는 검파기가 포함된다. 프로브 펄스 응답 신호는 음향 신호에 따라 변하는 프로브 펄스의 변화에 기초하여 형성된다. 샘플을 형성하는데 이용된 적어도 하나의 제조 프로세스 단계에 대한 평가를 프로브 펄스 응답 신호에 기초하여 생성할 수 있는 처리 유닛도 포함된다.
본 발명에 따른 또 다른 예시적인 실시형태는 제조 프로세스를 평가하는 장치이다. 상기 장치는 광학 펌프 빔 펄스를 생성하여 샘플의 표면을 향하게 하는 제 1 수단을 포함한다. 프로브 펄스를 생성하여 샘플의 표면을 향하게 하는 제 2 수단이 포함된다. 상기 장치는 프로브 펄스 응답 신호를 검파하는 수단을 포함한다. 음향 신호에 따라 변하는 프로브 펄스의 변화가 프로브 펄스 응답 신호를 형성한다. 샘플을 형성하는데 이용된 적어도 하나의 제조 프로세스 단계에 대한 평가를 프로브 펄스 응답 신호에 기초하여 생성하는 평가 수단이 포함된다.
본 발명의 실시형태의 상술한 양태 및 그 밖의 양태에 대해서는, 이하 첨부된 도면과 관련하여 예시적인 실시형태의 상세한 설명으로부터 보다 명확해질 것이다.
도 1은 구리 CMP 프로세스의 예이다.
도 2는 디싱 및 부식의 예이다.
도 3은 본 발명의 예시적인 실시형태에 이용된 피코초 초음파 시스템의 간략도이다.
도 4는 PSD 검파기 구성도로서, 예시적인 실시형태에서 PD1 및 PD2는 도 3의 시스템에 이용된 2 개의 광검파기이다.
도 5는 2400Å 구리 필름으로부터 측정된 신호의 예에 대응하는 그래프로서, 필름 두께는 에코 위치를 이용하여 결정되며, 필름을 통과하는 제 1 회 왕복에 100㎰(피코초) 및 제 2 회 왕복에 200㎰임이 수치로 확인된다.
도 6은 도 6a 내지 6d를 포함하며, 도 6a 및 도 6b는 각각 Cu 선 배열과 Cu 풀 시트에 대한 피코초 초음파 신호의 결과를 나타내고, 도 6c 및 도 6d는 각각 SEM에 의한 도 6a 및 도 6b에 대한 대응 단면도를 나타낸다.
도 7은 패드 및 Cu 선에 대한 SEM 및 PULSE 두께 사이의 상관관계의 그래프를 나타낸다.
도 8은 Cu 풀 시트 및 선 배열 박스에 대한 X축을 따른 두께 프로파일의 그래프를 나타낸다.
도 9는 정적 또는 동적 모드에서 선을 통과하는 최대 편차의 그래프를 나타내며, 백분율은 피코초 초음파 및 고해상도 프로파일로미터에 의해 7 개의 다이에 걸쳐 측정된 바와 같이 스캔한다.
도 10은 SEM에 의한 적층 구조를 나타낸다: Cu 패드 내측(좌측), Cu 패드 외측(우측).
도 11은 풀 시트 및 선 배열에 대한 Cu 두께의 그래프를 나타내며, CMP 압력은 웨이퍼 1로부터 웨이퍼 25로 의도적으로 증가되었다.
도 12a 및 도 12b는 ECP(전기도금) 및 부분 연마된 구조(선폭 100㎛, 밀도 50%)의 개략도를 나타내며, X는 측정 포인트를 나타낸다.
도 12c 및 도 12d는 각각 도 12a 및 도 12b에 대응하는 두께 프로파일을 나타낸다.
도 13a 및 도 13b는 포스트(post)-ECP 및 부분 연마된 선 구조(선폭 0.25㎛, 밀도 50%)의 개략도를 나타내며, X는 측정 포인트를 나타낸다.
도 13c 및 도 13d는 각각 도 13a 및 도 13b에 대응하는, 포스트-ECP 및 부분 연마된 Cu 두께 프로파일을 나타낸다.
도 14a는 프리(pre)-CMP 선 배열 측정으로부터의 미가공 데이터를 나타내며, 에코(1)는 오버버든(overburden) 두께에 대응하고, 에코(2)는 총 두께(선 배열 + 오버버든)에 대응한다.
도 14b는 도 14a에 대응하며, 오버버든 및 총 두께를 도시하는 전기도금 후의 초미세 선 구조의 단면의 개략도를 나타낸다.
도 15a는 CMP 이전에 피코초 초음파 대 SEM 두께의 상관관계를 나타내는 그래프로서, 총 두께(선 배열 + 오버버든)가 도시되고, 상관관계는 R2=0.99로 우수하다.
도 15b는 포스트-CMP 선 배열 두께를 나타내는 그래프로서, 2 가지 기술 사이의 우수한 상관관계도 나타낸다.
도 16은 상이한 다이 레이아웃(die layout)을 가지는 제품 A 및 B에 대한 패턴-의존성 CMP의 효과를 도시하는 그래프로서, 제품 B의 선 배열(LB)은 시간(T1) 동안 연마 후 상당히 오버버든되었음을 나타내어, 오버버든된 두께를 감소시키기 위해 추가적인 연마가 요구되며, 본드 패드는 동일한 연마 시간에 대하여 선 배열보다 훨씬 빠르게 연마된다.
도 17a는 구리 본드 패드에 걸친 고해상도(5㎛ 단계) 선 스캔 측정이 구조에 걸친 디싱을 나타내는 것을 도시하는 그래프로서, 피쳐의 중앙에서의 구리 두께는 ~900Å이다.
도 17b는 초미세 선 배열에 걸친 고해상도 선 스캔 측정을 도시하는 그래프로서, 평균 구리 두께는 ~1800Å이다.
도 18a는 본드 패드의 웨이퍼 내 균일성 프로파일이며, 웨이퍼의 평균 두께는 980Å이다.
도 18b는 선 배열의 웨이퍼 내 균일성 프로파일이며, 웨이퍼의 평균 두께는 1800Å이다.
도 19는 도 19a 및 도 19b를 포함하며, 피코초 초음파 두께 대 웨이퍼 상에서 측정된 60개의 다이로부터의 전기적 시험 데이터가 우수한 상관관계를 나타냄을 도시하고, 도 19a는 본드 패드의 상관관계(R2)가 0.90임을 나타내며, 도 19b는 선 배열의 상관관계가 0.95임을 나타낸다.
도 20은 선 배열에 있어서 TEM 측정과 우수한 상관관계를 나타내는 피코초 초음파 측정을 도시하는 그래프이다.
도 21a는 과연마된 웨이퍼의 웨이퍼 내 균일성 프로파일을 나타내며, 웨이퍼 중앙(1400Å)과 비교하여 가장자리 다이 두께는 대략 800Å이다.
도 21b는 연마 압력을 최적화한 후의 웨이퍼 내 균일성 프로파일을 나타내며, 중앙(1400Å)과 가장자리 다이(1100Å) 사이의 편차가 개선되었다.
도 22는 M6 제품 웨이퍼 전체에 걸친 Y 직경에 대한 그래프를 나타내며, 가장자리를 제외한 직경은 7㎜이다.
도 23은 제조 프로세스를 평가하는 방법의 간략화된 흐름도를 나타낸다.
상술한 바와 같이, 화학 기계 평탄화(CMP)는, 예를 들어 구리 상호연결을 가지는 고성능 및 고수율의 집적 회로(IC)를 제조하는데 있어서 중요한 프로세스 단계이다. 유전체 연마를 측정하는 광학 기술 및 층간 유전체 패드, 구리 패드 및 선 배열과 같은 구조 사이에서 상대적인 높이 차이를 측정할 수 있는 고해상도 프로파일로미터(profilometer)를 포함하는 일부 계측 기술이 CMP 프로세스를 모니터링하는데 이용되고 있다.
한편, 피코초 초음파법(picosecond ultrasonic method)은 구리 두께를 측정하여, CMP 프로세스 모니터링에 관련된 관심있는 파라미터(예를 들어, 특성)를 직접 측정한다. 피코초 초음파 기술은 패드와 같은 고체 구리 구조를 측정하기 위해 확립되었다. 그러나, 현재 및 차세대 장치에 요구되는 조밀한 정밀 선 배열에 있어서, 측정 스폿 크기는 그 직경이 대략 10㎛여도 수백 개의 구리 선/유전체 쌍을 커버할 수도 있다. 따라서, 예시적인 실시형태에서 유전체 신호에 반응하지 않는 새로운 검파 장치 및 방법이 개발되었다. 구리 패드와 0.6㎛의 선 배열 모두를 측정하도록 피코초 초음파의 성능을 시험하였다. 그 결과를 주사 전자 현미경(SEM) 과 비교하였다. 샘플은 상이한 제품 및 6 개의 상이한 금속층을 포함하였다. 본 명세서에서는 피코초 초음파 시스템 및 방법에 대해 설명하며, 다양한 샘플에 대한 상세한 결과가 나타나 있다.
피코초 초음파 계측 기술은 90㎚ 기술 노드에서의 CMP 모니터링을 위해 실행되었으며, 65㎚ 프로세스에 대해 검정 받고 있다. 피코초 초음파는 비접촉식이며, 예를 들어 초고속 레이저 광 펄스를 이용하여 시험 영역 상의 상층 필름에서 음파를 생성하는 비파괴 기술이다. 상기 음파는 계면을 만날 때까지 구조 내로 이동한다. 계면에서 에코가 생성된다. 그런 다음, 에코는 표면으로 되돌아가서 검파된다. 따라서, 필름의 특성(예를 들어, 두께)은 필름을 통과하는 에코의 편도 시간과 재료 내 음속을 곱함으로써 결정될 수 있다. 본 기술은 CMP 시험 구조와 같이 이용된 초미세 선 배열 구조를 포함하는 다양한 금속 필름을 특성화하는데 광범위하게 이용되었다. 본 명세서에서는 피코초 초음파 기술 및 예를 들어 CMP 프로세스의 특성화를 위한 그 적용에 대해 설명한다.
참조를 위해, 단락을 나누어 설명한다.
I. 서론
반도체 소자의 피쳐 크기가 소형화됨에 따라, 트랜지스터 스위칭 속도는 상당히 개선되었다. 한편, 고밀도 집적화에 대한 요구로, 상호연결 치수는 감소되고, 금속층의 개수는 증가되어, 기생 용량은 물론 상호연결 저항도 증가하였다. 예를 들어, L. He, A. B. Kahng, K. H. Tam, 및 J. Xiong의 "Variability-Driven Considerations in the Design of Integrated-Circuit Global Interconnects", 21th VMIC, 214-221(2004년 9월) 참조. 상호연결 저항을 감소시키기 위하여, Cu 상호연결이 실행되었다. 예를 들어, R. H. Havemann 및 J. A. Hutchby의 "High Performance Interconnects: An Integration Overview", Proceedings of the IEEE, Vol.89, No.5(2001년 5월) 참조.
Cu 상호연결은 일반적으로 이중 다마신 접근법(dual damascene approach)을 이용하여 제조된다. 알루미늄이 중간 유전체(ILD) 상에 증착되고, 패터닝되며, 에칭되는 전형적인 알루미늄의 금속화와는 달리, 구리는 에칭하기가 보다 어렵기 때문에, 구리 금속화는 다마신 프로세스를 필요로 한다. 다마신 프로세스에 있어서, 우선 ILD가 증착되고, 금속선이 놓이는 트렌치를 한정하도록 패터닝된다. 그런 다음, 금속이 증착되어, 패터닝된 산화물 트렌치가 충전되고, 원하는 선 외측의 여분의 금속을 제거하기 위하여 CMP를 이용하여 연마된다. CMP를 올바르게 사용하는 것이 제조 라인의 바람직한 결과를 위한 중요한 인자 중 하나이다.
최적화된 구리 제거 프로세스는 벌크 제거(bulk removal) 및 클리어링(clearing) 단계 사이의 적절한 균형을 필요로 한다. 과연마된 웨이퍼 또는 조각난 웨이퍼로 인한 최종 불량을 제거하여, 프로세스를 보다 확실하게 제어하여 수율 감소를 제한하는 것을 목표로 한다.
구리 다마신 구조 연마는 일반적으로 3 개의 상이한 플래튼(platen)에서 수행되는 3 개의 단계에 의해 달성된다(도 1 참조): 단계 1은 벌크 구리 제거 단계이고, 단계 2는 '소프트 랜딩(soft landing)'으로 언급되기도 하는 소자 클리어링 단계이며, 단계 3은 경계(barrier) 제거 및 산화물 완충 단계이다. 양호한 소자 기 능성을 획득하기 위하여, 임의의 구조가 과연마(over-polished)되거나 미연마(under-polished)되는 것을 방지해야 한다.
Cu CMP 작용 내에서, 상호연결을 위해 어떠한 설계를 하든지 구리 잔여물이 남지 않는 것이 바람직하기 때문에, 소자 클리어링(도 1에 도시한 바와 같은 단계 2)은 챌린지(challenging) 단계이지만, 최소의 토포그래피(topography)가 형성되어야 한다. 이상적으로는, 연마된 구리가 완전히 평탄해야 한다. 그러나, 구리선은 CMP로 인해 디싱 및 부식된다; 도 2 참조.
디싱은 인접한 산화물에 비해 오목한 구리선의 높이로 정의된다. 부식은 최초 산화물 높이와 연마 후 산화물 높이 사이의 차이로 정의된다. Cu 두께 손실은 필드 산화물 손실(field oxide loss), 국부적 산화물 부식 및 구리 디싱의 합계이다.
중요한 인자는 CMP 후, 구리와 산화물 두께의 정밀 제어를 유지하는 것이다.
널리 이용되고 있는 CMP 계측 기술은 프로세스의 엔드 포인팅(end pointing)에는 유용하지만, 최종 칩 성능에 상당한 영향을 미칠 수 있는 나노토포그래피(nanotopography) 편차의 특성화를 제공할 수 없다. 예를 들어, T. Park 외 "Overview of Methods for Characterization of Pattern Dependencies in Copper CMP", CMPMIC, 196-205쪽(2000년 3월) 참조. 표면 형상 측정법(surface profilometry)은 다음 프로세스 단계를 위한 토포그래피 및 평탄성(planarity)을 결정할 수 있으나, 직접 구리 선 두께를 측정할 수 없어, 초기 트렌치 깊이 또는 필드 영역의 부식이 예상한 바와 다른 경우 부정확한 결과를 제공할 수도 있다. 전기적 시험은 최종 장치 성능에 있어서 우수한 상관관계를 제공하지만, 상대적으로 느리며, 일반적으로 오프라인으로 이용된다. 이들 기술은, 50% 금속 밀도의 구리선 배열의 부식을 특성화하기 위하여, 예를 들어 금속 레벨(ML) 1 내지 6으로부터 65㎚의 장치에 피코초 초음파가 이용될 수 있음을 증명한다.
고속의 소자 스케일링은, 고속 및 저소비 전력으로 집적 회로(IC)를 제조하는 반도체 산업의 성장을 좌우하는 가장 중요한 인자였다. 저항-전기용량 지연(RC delay)을 최소화하기 위하여, 장치 제조자들은 알루미늄 상호연결을 이용하는 것을 구리 상호연결을 이용하는 것으로 변경하고, 산화물을 저(low) 유전상수 재료로 변경하였다. IC 제조에 있어서 이러한 진보로 인해, 장치 밀도가 최대 10만 트랜지스터/㎠으로 증가하였고, 따라서 회로 기능성의 증가에 따라 필요한 장치 통신을 용이하게 하기 위하여 다층 금속 상호연결이 요구되었다. 이들 복합 상호연결 레벨을 절연하기 위해 이용되는 유전체 층 및 금속을 효과적으로 유효하게 평탄화하는 능력은 이들 장치의 성능을 실현하는데 있어서 매우 중요하다.
화학 기계 평탄화(CMP)는 구리 다마신 구조의 평탄화를 달성하는데 가장 널리 이용되는 방법으로 알려져 왔다. CMP는 고성능, 고수율 장치를 제조하기 위한 중요한 프로세스 단계 중 하나가 되었다.
구리 CMP에 있어서 중요한 메트릭들(metrics)은 디싱과 부식 토포그래피, 연마후 결함성, 집적화 성능 및 비용 효율성이다. 예를 들어, C.L. Borst, S.M. Smith 및 M. Eissa의 "Challenges and Rewards of Low-abrasive copper CMP: Evaluation and Integration for Single Damascene Cu/Low-k Interconnects for the 90㎚ Node", MRS Symposium Proceedings, 3-14쪽(2004년 4월) 참조. 실질적인 구리 디싱/부식으로 인해 선에서 구리 손실이 유발되어, 시트 저항 및 원치 않는 토포그래피가 증가하게 된다. 디싱에 의한 금속 두께 감소는 금속 선 폭과 강한 상관관계를 나타낸다. 예를 들어, 본드 패드 및 넓은 선은 초미세 선 배열 구조보다 더 디싱되는 것으로 나타난다. 그 결과, 다이 레이아웃에 따라, 웨이퍼에 걸친 구리 두께 분포는 광범위하게 변화할 수 있다.
또한, 디싱으로 인한 구리 손실은 이후 포토리소그래피 단계의 포커스 마진(focus margin)의 깊이에 직접적인 영향을 가진다. 임계 치수가 감소함에 따라, 포토리소그래피 툴(tool)은 포커스의 깊이를 계속해서 감소시킨다. 장치는 주어진 상호연결 형성 및 그에 따른 포커스에 대한 개선된 토포그래피 마진을 위해 5 내지 15 개의 후속하는 리소 단계를 이용할 수도 있으며, 그에 따라 패터닝이 더욱 중요해져, Cu CMP 프로세스에 있어서 엄격한 조건을 부여한다. C. L. Borst 외 참조.
전기도금 및 CMP 프로세스 모두 매우 패턴 의존적이기 때문에, 웨이퍼 제조에 있어서 평탄성을 달성하는 것은 챌린지이다. 구리 도금 속도는 선폭, 선간 간격 및 5 내지 10㎛ 이내의 다른 구조와의 상호작용에 의해 영향을 받는다. 예를 들어, T. Park, T. Tubgawa 및 D. Boning의 "Pattern Dependent Modeling of Electroplated Copper Profiles", International Interconnect Technology Conference, 274-276쪽(2001년 6월) 참조.
따라서, 구리 오버버든(overburden)은 전극 배치 및 전해질 분포에 의한 보다 큰 스케일의 비균일성은 물론 비균일 토포그래피를 나타낼 수도 있다. 다음으 로, CMP 프로세스는 비평탄 표면으로 시작하여, 유효 패턴 밀도에 반비례하는 속도로 연마를 진행한다. 예를 들어, D. Boning, B. Lee, T. Tubawa 및 T. Park의 "Models for Pattern Dependencies: Capturing Effects in Oxide, STI, and Copper CMP", Semicon/West Technical Symposium: CMP Technology for ULSI Manufacturing(2001년 7월 17일) 참조.
배리어가 클리어링되면, 패턴 밀도가 50 내지 200㎛에 걸쳐 변화할 때, 연마율에 있어서 뚜렷한 차이가 관찰될 수 있으며, 짧은 범위의 의존성은 각각의 선 또는 공간에 대한 연마율에 영향을 줄 수 있다. 예를 들어, T. Park 외 "Overview of Methods for Characterization of Pattern Dependencies in Copper CMP", CMP-MIC, 196-205쪽(2000년 3월) 참조. 패턴 의존 효과 이외에, 연마율은 패드 마모, 연마 헤드 압력 및 슬러리 변화를 포함하는 인자에 의해 영향을 받는다.
일부 경우, 자동화된 프로세스 제어(APC)를 이용하여, 반입되는 웨이퍼에 대한 편차를 보상하는 피드 포워드(feed forward) 모델과 CMP 편차를 보상하는 피드 백워드(feed backward) 모델을 결합할 수 있다. APC의 예는 미국 특허 제7,083,495호 "Advanced Process Control Approach for Copper Interconnect Wiring Sheet Resistance Control"에 나타나 있다. 구리 두께 타겟은 제 1 웨이퍼에 대해 확립될 수 있으며, 상기 웨이퍼로부터의 포스트-CMP 측정은 후속하는 웨이퍼의 연마율을 변경하는데 이용될 수 있다.
이러한 챌린지에도 불구하고, 기본적인 전기도금 및 CMP 프로세스는 적어도 32㎚ 기술 노드에 대해 이용되는 것으로 기대된다. 예를 들어, M. Hsieh, J. Yeh M. Tasi, K. Wang, J. Tan, S. Leary의 "In-line monitoring of advanced copper chemical mechanical planarization processes with picosecond ultrasonic metrology", SPIE Vol 6152, 61522C, Metrology, Inspection and Process Control for Microlithography(2006년 3월) 및 J. Dukovic의 "Copper electroplating, CMP challenges grow more complex at 65-㎚ node and below", MICRO, Volume 23, Number 6(2005년 10월/11월) 참조.
그러나, 65㎚ 기술 노드로의 변화에는 일부 추가적인 복합성이 추가되었다. 측방향 선 치수가 유도 전자의 평균 자유 경로(mean free path)의 범위 내에 있기 때문에, 선폭이 감소함에 따라 구리의 저항이 증가하기 시작한다. 예를 들어, W. Steinhogl, G. Schindler, G. Steinlesberger 및 M. Engelhardt의 "Size-dependent resistivity of metallic wires in the mesoscopic range", Physical Review B, 66, 075414(2002) 참조. 이러한 효과는, 디싱 및 부식을 최소화함으로써 달성될 수 있는 선 높이의 증가(예를 들어, W. Zhang 외 "Impact of line height on copper resistivity and interconnect RC delays: a geometry approach to reduce the size effect", Advanced Metallization Conference(2005년 9월) 참조)에 의해 완화될 수 있다. 이러한 우려를 처리하기 위하여 디싱 방지 및 부식 방지 슬러리가 개발되었다.
제 2의 주요 챌린지는, SiO2, FSG 또는 90㎚ 노드에서 널리 이용되는 1세대 SiCOH 재료에 비해서도 상대적으로 기계적으로 약한 저-k(low-k) 및 초저-k(ultra low-k) 유전체 재료의 사용의 증가이다. 이들 재료는 상이한 연마율을 가지며, 층 분리, 박리 및 균열을 포함하는 다양한 집적화 문제를 나타냈다. 예를 들어, G. Grover의 "Copper CMP Faces it Share of Challenges for the Future", Semiconductor Magazine(2001년 5월) 참조.
미래의 기술 노드에서, 45㎚ 이하의 프로세스 사양은 보다 엄격해지고 있으며, 웨이퍼 내에서 선 폭과 선 높이의 편차를 최소화할 필요성도 증가하고 있다. 따라서, 최종 칩 성능에 있어서, 다이-레벨(die-level) 및 웨이퍼-레벨(wafer-level) 모두에서 구리 두께를 모니터링할 필요성이 중요해지고 있다.
표면 형상 측정법과 같은 기술은 이후의 프로세스 단계를 위한 표면 토포그래피 및 평탄성을 결정하는데 유용하지만, 구리 선 두께를 직접 측정하지 않기 때문에 불충분하다. 또한, 표면 형상 측정 기술은 개방 필드 영역의 부식 또는 초기 트렌치 깊이가 예상 외로 변화할 경우, 부정확한 결과를 제공할 수도 있다. 전기적 시험은 최종 장치 성능에 대한 우수한 상관관계를 제공하지만, 느리며, 일반적으로 오프라인으로 이용된다.
광학 임계 치수(OCD) 측정이 65㎚ 이하의 기술 노드에 대한 트렌치 깊이와 폭을 측정하는데 있어서 활성화되었다. 그러나, 45㎚ 노드에 대한 이러한 기술의 확장성은 중요한 챌린지를 나타낸다. 보다 낮은 프로세스 노드에서, 임계 치수는 현재 최소 측정 파장보다 훨씬 낮아지며, 동시에 선 두께도 낮아져, 본 방법의 유용성을 제한할 수도 있을 만큼 낮아지는 것으로 예상된다. 예를 들어, F. L. Terry Jr.의 "Accuracy Limitations in Specular-Mode Optical Topography Extraction," Metrology, Inspection 및 Process Control for Microlithography XVII, Proceedings of SPIE, Vol 5038(2003) 547-558 참조.
계측법에 기초한 피코초 초음파는, 130㎚로부터 65㎚로의 기술 노드에서 구리 프로세스 모니터링에 성공적으로 적용되었다. 피코초 초음파는, 예를 들어 C. Morath 외 "Ultrasonic multi-layer metal film metrology", Solid State Technology(1997년 6월)에 기재되어 있다. 45㎚ 노드에 대한 본 기술의 확장성도 증명되었다. 본 명세서에서는, 편위(excursion) 검파는 물론 프로세스 모니터링(다이 레벨 및 웨이퍼 레벨 모두에서의 균일성)의 예를 제공함으로써, Cu 전기도금 및 CMP 영역에서 본 기술을 이용하는 것에 대해 논의한다. 상기 증명된 기술은, 웨이퍼 내에서 허용하는 고속의 계측 가능한 측정 및 제품 가치가 있는 처리량에 대한 웨이퍼-투-웨이퍼(wafer-to-wafer)의 측정을 제공한다.
II. 예시적인 재료 및 방법
A. 샘플
샘플은 특정 제조 조건에 따라 처리된 8 인치의 웨이퍼를 포함한다. 조사를 위한 타겟 영역은 이하와 같다: Cu 풀 시트(full sheet)(100×70㎛2); 폭 0.6㎛의 구리 선 배열(line array), 50%의 금속 밀도(100×70㎛2); 및 폭 10㎛의 구리 선 배열, 66%의 금속 밀도.
B. 피코초 초음파 검파
피코초 초음파 레이저 소나(picosecond ultrasonic laser sonar; PULSE, 상 표명, Rudolph Technologies사 제조) 계측법은 비접촉식이며, 레이저 광을 이용하여 불투명 필름의 두께 및 그 밖의 특성을 측정하는 비파괴 기술이다. 피코초 초음파는 비접촉식이며, 레이저 광을 이용하여 금속 박막의 두께 및 그 밖의 물리적 특성을 측정하는 비파괴 기술이다. 피코초 초음파는, 예를 들어 C. Thomsen, H.T. Grahn, H. J. Maris 및 J. Tauc 의 "Surface generation and detection of phonon by picosecond light pulse", Physical Review B, Vol 34, No. 6, 4129-4138쪽(1986)에 기재되어 있다.
본 발명의 예시적인 실시형태에 이용된 도 3의 피코초 초음파 시스템(300)의 간략도를 참조한다. 피코초 초음파 기술은 0.1 피코초(picosecond) 레이저 플래시를 이용하여 샘플 표면 상의 작은 영역(5×7㎛, 미크론, 면적)의 온도(일반적으로 5 내지 10도, C)를 상승시킨다. 예를 들어, C. Thomsen 외 "Surface generation and detection of phonon by picosecond light pulse", Physical Review B, Vol. 34, No. 6, 4129-4138쪽(1986) 참조. 불투명한 표면층은 펌프 펄스(330)로부터 에너지를 흡수하며, 음속으로 다층 스택을 통과하여 아래로 이동하는 길이방향 스트레인 펄스(strain pulse)(예를 들어, 음파)를 내보낸다. 충돌하는 임의의 계면에 의해 반사된 스트레인은 국부적 변화, 예를 들어 굴절률의 변화를 유발한다.
이러한 변화는 펌프 펄스(330)로부터 빔 스플리터(320; beam splitter)에 의해 전환된 프로브 펄스(335)(비제한적인 본 예에서, 펄스화 레이저(310)에 의해 생성되며, 제 2 레이저를 이용하여 프로브 펄스를 생성하는 것도 가능함)에 의해 검파된다. 지연 단계, 예를 들어 서보 지연(315)은 펌프 펄스(330)와 프로브 펄 스(335) 사이의 시간을 변화시켜, 검파되는 시간 기간에 걸쳐 일어나는 변화를 허용한다. 펌프 펄스(330)와 프로브 펄스(335)는 웨이퍼(340)에서 렌즈(325)를 통해 송신될 수도 있다. 프로브 펄스(335)는 필름과 상호작용하여, 검파기(350), 예를 들어 포토셀에서 검파되는 프로브 펄스 응답 신호(337)가 된다.
그런 다음, 웨이퍼(340) 상의 필름의 특성, 예를 들어, 두께가, 예를 들어 필름을 통과하는 왕복 전송 시간에 재료 내 음속을 곱하여 결정된다. 프로세서(360)는 검파기(350)로부터의 정보를 이용하여 필름의 특성을 결정하도록 구성된다. 예시적인 실시형태에서, 프로세서(360)는 또한 CMP 프로세스 및 CMP 프로세스를 제어하기 위하여 프로세서(360)로부터의 정보를 이용하는 제어 시스템(380)에 결합될 수도 있다.
초미세 범위로 방법을 확장하기 위하여, 제 2 검파 방법이 도입되었다. 에코 순환은 시험 영역의 표면에서 약간의 변위를 유발한다. 다음으로, 이러한 변위는 프로브 펄스 빔(335)을 편향시키고, 프로브 펄스 응답 신호(337)는 검파기에서 수신된다(도 4). 본 방법의 주요 장점은 구리 선을 둘러싸는 ILD로부터의 신호에 대해 상대적으로 영향을 받지 않는다는 것이다.
초미세 범위에서 구리 선을 측정하기 위하여, 위치 감지 검파기(PSD)를 구비한 MetaPULSE-II 시스템(Rudolph Technologies사 제조)에 의해 측정이 수행될 수도 있다. 비제한적인 예로서, 도 4는 2 개의 분리된 센서를 도시하며, 다른 실시형태에서는 단일(예를 들어, 위치 감지) 센서가 이용될 수도 있다.
도 5는 2400Å Cu 필름으로부터의 전형적인 반사 신호(예를 들어, 도 3의 시 스템(300)의 프로세서(360)에 의해 결정된)를 도시한다. 에코 위치는 도 5에서 확인된다. 제 1 에코는 ~100㎰에서 표면으로 되돌아가며, 제 2 에코는 ~200㎰에서 도달한다. 필름의 두께는 에코 위치를 이용하여 이하와 같이 결정된다:
두께 = 재료의 음속 × 1/2(왕복 시간); 및
두께 = 48Å/㎰ × 1/2(100㎰) = 2400Å.
상기한 바와 같이, 측정은 30㎛ × 30㎛ 크기의 본드 패드 또는 선 배열 시험 영역 상의 5㎛ × 7㎛ 레이저 스폿 크기 이내에서 수행될 수 있다. 전형적인 측정 시간은 약 2-3초이다. 피코초 초음파의 작은 시험 영역에서의 이러한 측정 능력, 고속 처리 및 비파괴 특성에 의해 본 기술은 웨이퍼 제조에 있어서 두께 계측법으로서 검증받았다. 그 결과, MetaPULSE 계측 툴에 기초한 피코초 초음파는 구리 프로세스를 모니터링하는데 널리 이용되었다.
0.5㎛의 선폭/0.5㎛의 공간의 초미세 선 배열을 측정할 때, 레이저 스폿은 다수의 구리 선 및 중간 유전체(ILD) 공간을 커버한다. 그로 인한 응답은 2D(측방향 및 수직방향) 진동 모드의 중첩이며, 또한 금속과 ILD로부터의 신호의 컨볼루션(convolution)으로 인해 더욱 복잡해진다. 측정을 간략화하기 위하여, 위치 감지 검파 시스템(PSD)이 개발되었다. 금속 선 배열 측정에 PDS 기술을 이용하는 측정에 대해서는 다른 문헌에 상세하게 논의되어 있다. 예를 들어, 상기 M. Hsieh외 인용 문헌 참조.
III. 예시적인 결과
A. 피초코 초음파법의 검증
피코초 초음파법은 총 6 개의 구리 금속화 레벨을 통해 몇 개의 제품을 특성화함으로써 검증되었다. 이러한 특성화의 예를 도 6에 도시한다.
본 명세서에서, M6 웨이퍼로부터의 피코초 초음파 신호는 SEM에 의해 획득된 바와 같은 대응하는 단면 분석과 비교된다. 도 6a는 0.6㎛ Cu 선 배열 상에 기록된 바와 같은 PSD(위치 감지 검파기) 신호를 나타내며, 도 6b는 Cu 풀 시트 박스 상의 대응하는 신호를 나타낸다. 두 경우 모두, 구리층 표면의 두께를 계산하는데 적절하게 정의된 피크가 이용된다. 도 6c는 도 6a에 대응하는 0.6㎛ 구리 선 배열에 대한 SEM 결과를 나타내며, 도 6d는 도 6b에 대응하는 Cu 풀 시트 박스에 대한 SEM 결과를 나타낸다.
양 기술에 의한 결과를 표 1(여기서, "PULSE"는 도 3 및 도 4의 시스템이 Cu 두께를 결정하는데 이용되었음을 의미함)에 정리하였다.
표 1 M6 레벨: Cu 두께.
Figure 112009059136186-PCT00001
조사된 두께의 전체 범위를 통한 SEM과 PULSE 두께의 상관관계는 도 7에 도시되어 있다. 이러한 PULSE 기술의 정확성은, 그 결정 배향이 바람직하게는 (1, 1, 1)인 CMP 후 Cu 재료에 대해 예상되는 전형적인 음속인 48Å/㎰로 구리에 대한 속도를 가정함으로써 획득되었다. 예를 들어, C. Detavernier, D. Deduytsche, R. L. Van Meirhaeghe, J. De Baerdemaeker, C. Dauwe의 "Room-temperature grain growth in sputter-deposited Cu films", Applied Physics Letters, v 82(12), 1863-5(2003년 3월 24일) 참조.
피코초 초음파 두께 결과에 대한 측정 반복성은, 매번 일련의 15회의 정적 반복 측정을 수행함으로써 모든 금속 레벨에 대하여 시험되었다. 또한, 각 웨이퍼는 10회 하중 및 무하중이 되었으며, 동일한 다이에서 재측정되었다. 그 결과를 표 2에 나타낸다.
Figure 112009059136186-PCT00002
정적 또는 동적 모드에서, 결과의 백분율 표준 편차는 0.8% 이내였으며, 이는 본 기술이 확고한 제어 방법임을 증명한다.
부식 및 디싱 적용
Cu 패드에 대한 디싱 효과 및 선 배열에 대한 부식은 다음 금속 레벨에 영향을 미칠 수도 있다. 계측 제어는 일반적으로 엘립소미터와 고해상도 프로파일로미터(HRP)를 통합하여 처리되지만, PULSE 기술도 계측 제어(예를 들어 CMP 제어)가 가능한 것으로 증명되었다. 상기 C. Detavernier 외 인용 문헌 참조. 실제로, 엘립소미터는 Cu 두께가 아닌 ILD 두께만 제공할 수 있으며, HRP는 웨이퍼에 자동 기록계(stylus)를 직접 물리적으로 접촉시킬 필요가 있다. 이는 웨이퍼에 물리적 손상은 물론 오염을 유발할 수도 있어, 본 기술의 신뢰성과 이용 가능성을 손상시킨 다. 본 PULSE 기술은 Cu 구조를 통해 직접 두께 프로파일을 특성화할 수 있다. 이러한 목적으로, 일련의 동일하게 분리된 영역들이 양 Cu 구조에 걸쳐 X축 방향으로 측정되었다. 전형적인 예는 도 8에 나타낸다.
예상하는 바와 같이, ILD로 둘러싸인 Cu 패드는 가장자리보다 제거율이 가장 낮은 중앙이 더 얇게 연마된다. 동일한 효과가 선 배열에서도 나타나지만, Cu 선이 ILD 매트릭스에 매립되어 있기 때문에, 두께 변화는 패드에서보다 낮게 나타난다.
PULSE와 HRP 결과를 비교하기 위하여, MetaPULSE-II에 의한 디싱 프로파일이 일부 다이 상의 패드 구조에 걸쳐 반복되었다. 상당히 중요한 편차는 도 9에 도시된 바와 같이 보고되었으며 초음파 결과는 HRP에 의해 보고된 경향을 완벽하게 따른다. 피코초 초음파가 명백하게 과소 평가되었음은 2 가지 기술에 의해 스캐닝된 상이한 영역으로 설명 가능하다. 실제로, HRP 프로파일은 풀 시트 Cu 박스의 외측(ILD)으로부터 내측으로 측정함으로써 수행되며, MetaPULSE-II는 ILD부와 비교하여 보다 많은 재료가 제거되는 Cu 박스 내에서만 측정된다.
CMP 플로우의 결과와 같이, PULSE 기술이 ILD 재료(예를 들어, L. Chapelon 외 "Using ultrasonics to measure the strength of porous ULK dielectrics", Solid State Technology, 33-36(2006년 11월) 참조.)를 측정할 수 있는 것으로 증명되었음에도 불구하고, Cu 층의 하한 한계는 ILD 스택과 공통된 기준선이 없다. 정의된 바와 같이, 부식 및 디싱 파라미터에 대한 평가는, 구리층 아래에 침전된 산화물에 대한 측정을 필요로 하였다. 도 10은 SEM에 의해 나타낸 실제 스택 구조 를 도시한다.
그러나, 패드와 Cu 선에 대한 절대 두께를 측정하는 것은, CMP 프로세스를 완전히 제어하는 것으로 충분하다. 그 연구로서 본 명세서에서는, CMP 압력을 증가시켜 처리된 일련의 M6 웨이퍼를 통해 Cu 선에 대한 부식 및 Cu 패드에 걸친 디싱이 조사되었다. 풀 시트 및 선 배열(선폭 0.6㎛)의 두께는 9개의 다이/웨이퍼 상에서 MetaPULSE-II 시스템에 의해 측정되었다. 25 개의 처리된 웨이퍼 모두에 대한 Cu 선의 평균 두께 및 대응하는 시트 저항이 도 11에 도시되어 있다. 풀 시트 및 선 배열에 대한 두께 결과는 일반적으로 동일한 경향을 따른다. 예상된 바와 같이, 구리 선은 대응하는 풀 시트보다 두꺼웠다. 이는 일반적으로 근접한 ILD가 가장 낮은 제거율을 나타내며, Cu는 가장 높은 제거율을 나타내기 때문이다. 따라서, 선 배열에 비해 풀 시트로부터 보다 많은 Cu가 제거된다. 또한, CMP 프로세스의 압력 증가를 고려하였을 때, 풀 시트 및 선 배열로부터 보다 많은 Cu 재료가 제거된다. 마지막으로, CMP 프로세스에 의해 인가된 압력이 커짐에 따라, 풀 시트와 선 사이의 두께 차이는 감소한다.
현저하게는, 선 배열의 두께가 시트 저항에 정확하게 반비례한다. 이러한 결과는 PULSE 기술에 의해 제공된 두께 파라미터가 최종 전기 성능과 직접적으로 서로 관련될 수 있음을 증명한다.
IV. 다양한 선 구조 상에서 전기 도금된 구리의 특성화
피코초 초음파는 부분 연마된 웨이퍼상에서는 물론, CMP 전에 전기 도금된 구리를 측정할 수 있다. 도 12a는 전기 도금 후 넓은 선 배열(폭 ~100㎛, 밀도 50%)의 개략도를 도시하고, 부분 CMP 후의 동일한 구조가 도 12b에 도시된다. 선 스캔 측정은 양 웨이퍼 상의 트렌치 및 개방 필드를 커버하는 구조에 걸쳐 수행되었다. 그 결과를 도 12c 및 도 12d에 각각 도시한다.
도 12c에서, 전기 도금 후, 개방 필드 및 트렌치 구조 모두에서의 ECP(전기 도금된) Cu 두께는 약 1.6㎛로 측정된다. 부분 CMP 후, 개방 필드 영역에서의 구리 두께는 약 4500Å이고, 트렌치에서는 약 1.1㎛이다. 패턴 의존성 전기 도금 효과를 용이하게 알 수 있다. 넓은 트렌치를 충진하는데 필요한 시간이 개방 필드 영역에서 구리 성장을 유발하여, 구리 두께는 개방 필드 및 트렌치 모두와 비교가능하다. 그러나, 초미세 선 구조에서, 선 배열 상부 상의 구리 성장은 선의 "슈퍼충진(superfilling)"을 유발한다. 그 결과, 트렌치 내 구리는 개방 필드보다 두꺼워진다.
도 13a 및 도 13b는 포스트-ECP 및 부분 연마된 선 구조(선폭 0.25㎛, 밀도 50%)의 개략도를 나타내며, 여기서 X는 측정을 나타낸다. 도 13c 및 도 13d는 각각 프리-CMP 웨이퍼 및 부분 연마된 웨이퍼 상의 초미세 선 영역과 개방 필드 사이에서 측정된 Cu 두께를 비교한다. 도 13c로부터 알 수 있는 바와 같이, 트렌치의 슈퍼충전으로 인해, 트렌치 두께(1.9㎛)는 개방 필드 두께(1.5㎛)보다 높아진다. 부분 CMP 후, 트렌치와 오버버든 두께의 합은 개방 필드 두께 3800Å에 비해 약 9000Å이다.
도 14a서, 프리-CMP 선 배열 구조(도 14b 참조)로부터의 미가공 데이터의 예를 나타낸다. "1"로 표시한 제 1 에코는 450㎰에서 나타나고, 구리 오버버든 두께 에 대응하며, "2"로 표시한 제 2 에코는 680㎰에서 나타나고, 선 배열 및 오버버든 두께의 합에 대응한다. 선 배열 두께는 2 개의 에코 사이의 시간 차이로부터 획득된다. 상술한 방법 및 장치를 이용하는 프리-CMP 선 구조로부터의 피코초 초음파 측정은 포스트-CMP 두께 측정과 우수한 상관관계를 나타내었으며, 주사 전자 현미경(SEM)을 이용함으로써 확인되었다.
동일한 트렌치 깊이를 갖지만 상이한 타겟 두께로 도금된 웨이퍼를 피코초 초음파를 이용하여 측정하였다. 프리-CMP 측정은 도금된 웨이퍼에 대해 Cu 오버버든 두께가 7500Å 및 9700Å 사이임을 나타내었지만, 트렌치 깊이(배열 두께)는 약 4100Å이다. 피코초 초음파로부터의 총 두께(구리 오버버든 + 선 배열) 측정은, 도 15a에 도시된 바와 같이 SEM 측정에 의해 제공된 총 두께 개수와 우수한 상관관계를 나타내었다. 동일한 웨이퍼 세트를 연마하여, 프리-CMP 측정과 포스트-CMP 측정을 비교하였다. 선 배열의 포스트-CMP 측정은 SEM 두께(도 15b)는 물론, 프리-CMP 배열 측정과 우수한 일치성을 나타내었다. CMP 후 선 배열 두께는 약 4000Å이었다. 0.14㎛, 밀도 50%의 선으로부터의 데이터를 하기 표 1에 요약하였다.
Figure 112009059136186-PCT00003
이와 같은 프리-CMP 측정은 가능한 프로세스 편위를 확인하고, CMP 단계 이전에 수정하는 기회를 제공한다.
패턴-의존성 CMP 특성화
패턴-의존성 CMP의 효과는 2 가지 상이한 제품을 상이한 시간 동안 연마하고 피코초 초음파를 이용하여 남은 구리 두께를 측정함으로써 연구되었다. 도 16은 상이한 다이 레이아웃을 가지며 A 및 B로 식별된 2 개의 제품에 대한 구리 두께 대 CMP 연마 시간(T1 < T2 < T3)을 도시한다.
측정을 위해 선 배열(동일한 폭 및 밀도) 및 인접한 본드 패드가 선택되었다. 시간(T1)에서, 제품 B(LB) 상의 선 배열은 제품 A(LA)에 비해 상당한 오버버든 두께를 나타낸다. 제품 B에 대한 프로세스 윈도우(process window) 이내로 선 두께를 감소시키기 위하여 추가적인 연마(시간 T2 및 T3)가 요구된다. 시간(T3)에서, 2 개의 제품으로부터 선 배열 두께(~2500Å)가 비교 가능하며, 제품 각각에 대한 최적 연마 시간이 확립되었다.
본드 패드로부터의 측정도 비교를 위해 도시되어 있다. 예상된 바와 같이, 본드 패드는 선 배열에 비해 훨씬 고속으로 연마된다. 제품 A에 대하여, 시간(T1)에서, 패드는 선 배열보다 800Å 더 얇다. 제품 A 상의 본드 패드(패드A)도 B(패드B)보다 고속으로 연마된다. 이는 또한 본드 패드 측정에만 의존하여 프로세스를 모니터링하는 대신에 선 구조상에서 직접 측정할 필요가 있음을 증명한다. 피코초 초음파 측정에 의해 제공된 피드백을 이용함으로써, CMP 프로세스 및 연마 시간은 추가적인 웨이퍼 처리에 대해 최적화되었다.
디싱 및 부식 측정
도 16에 도시된 바와 같이, 본드 패드는 보다 용이하게 연마되며, 또한 선 배열에 비해 넓은 디싱을 나타낸다. 도 17a 및 도 17b는 100㎛ × 100㎛ 본드 패드에 걸친 고해상도 선 스캔(5㎛ 단계) 및 중앙 다이의 초미세 선 구조에 걸친 유사한 스캔을 도시한다. 본드 패드는 선 배열(~1800Å)에 비해 거의 50% 얇다(~900Å). 프로세스 모니터링 전략이 본드 패드 측정에만 의존하는 경우, 선의 구리 두께가 프로세스 오차 범위 내에 있더라도, 불필요하게 조각난 웨이퍼를 유발할 수 있다.
본드 패드 및 선 배열 각각에 대한 CMP 프로파일을 획득하기 위한 웨이퍼 내 균일성 맵이 도 18a 및 도 18b에 도시되어 있다. 단일 지점 측정은 패드와 선 배열의 중앙에서 이루어졌다. 본드 패드 및 선 배열은 상이한 CMP 프로파일을 도시한다. 본드 패드는 웨이퍼의 중앙 및 우측 가장자리에서 보다 두껍다. 선 배열 프로파일은 상부 가장자리 다이와 중간 다이가 중앙 및 그 밖의 가장자리보다 용이하게 연마됨을 나타낸다.
웨이퍼 맵 측정은 웨이퍼 상에서 측정된 60 개의 다이로부터의 전기적 시험과 비교되었다. 도 19a 및 도 19b는 각각 본드 패드 및 Cu 선 두께에 대한 전기적 시험 데이터의 상관관계를 나타낸다. 패드 및 선 배열 모두에 대한 2 가지 기술 사이에서 우수한 상관관계(R2 > 0.9)가 획득된다. 전기적 시험 데이터와의 이러한 상관관계는 선 배열상, 특히 65㎚ 이하의 기술 노드에서 프로세스 제어 측정을 수행하기 위한 조건이다. 고성능 장치 동작을 위하여, 선은 바람직한 저항으로 유지되어, 고속 및 저전력 조건을 실현하여야 한다. 인-라인 프로세스 제어로서 전기 측정을 이용하는 것이 실용화되지 않았기 때문에, 피코초 초음파는 확실하게 채용될 수 있다.
선 배열 상의 피코초 초음파 측정의 정확성도 TEM 두께와의 상관관계에 의해 입증되었다. 900-1800Å의 두께 범위를 커버하는 총 10 개의 웨이퍼가 피코초 초음파를 이용하여 측정되었고, 동일한 다이가 단면화되어, TEM 이미지가 획득되었다. 도 20은 R2가 0.99인 TEM과 피코초 초음파 사이의 우수한 상관관계를 도시한다.
편위 검파
피코초 초음파 측정을 이용하는 선 배열 모니터링 전략은, 프로세스 오차를 따르는 웨이퍼의 초기 DOE(실험 계획법) 세트로 설정된다. 방법의 개발 및 최적화는 이들 웨이퍼 및 개선된 모델링(EASyTM)을 이용하여 수행된다. 웨이퍼에 대한 다이 샘플링은 프로세스 완성도 레벨에 좌우된다. 초기 개발 및 프로세스 조절 단계 동안, 웨이퍼 전체에 걸쳐 측정이 이루어진다.
과연마된 웨이퍼, 미연마된 웨이퍼 또는 잔여 층의 존재와 같은 임의의 처리 오류가 확인되며, 웨이퍼를 다음 프로세스 단계로 이송하기 전에 정지된다. 작은 측정 크기로 인해, 피코초 초음파는 웨이퍼의 최가장자리(가장자리로부터 1㎜)를 측정할 수 있어, 매우 정확한 웨이퍼 내 균일성을 제공한다. 도 21a에는, 과연마된 가장자리 다이를 갖는 웨이퍼의 웨이퍼 내 균일성 프로파일이 도시되어 있다. 고압 연마하는 동안, 상기 웨이퍼 상의 대부분의 가장자리 다이는 웨이퍼 중 앙(1400Å)에 비해 과연마되었다(800Å). 상당히 개선된 프로세스로부터의 데이터도 비교를 위해 도 21b에 도시되어 있다. 웨이퍼 중앙에서의 구리 두께는 ~1400Å이고, 가장자리 다이에서 ~1100Å이다.
가장자리 프로파일 적용
본 적용에서는, 웨이퍼 가장자리의 근처의 CMP 프로세스의 효과에 중점을 둔다. 2 개의 선 사이에 5㎛의 ILD를 갖는 폭 10㎛의 선 구조가 X 및 Y 직경(예를 들어, 축)을 통해 특성화되었으며, 여기서 Y축은 웨이퍼 노치를 통과한다. 제품 설계로 인해, 상기 구조는 웨이퍼 가장자리에 매우 근접하게 반복되어, 예를 들어 CMP 프로세스의 효과는 가장자리로부터의 거리 함수로서 단일 Cu 선에 대하여 모니터링될 수도 있다. 상기 선 구조상에서, 측정은 X 방향에서 가장자리로부터, 노치의 존재에 의해 제한되는 Y 방향에서 7㎜로 확장될 수도 있다. 상이한 영역으로부터 2 개의 웨이퍼가 측정되었다.
X 직경에 걸쳐, 웨이퍼는 모두 Cu 재료가 크게 감소되고, 웨이퍼의 가장자리에 접근한 것으로 나타났다(데이터 미도시). 이러한 현상은 Y 방향(도 22)에서와 상이하다. 이 경우, 2차 측정된 웨이퍼는 1차 측정된 웨이퍼보다 확실하게 평탄하다. 두께의 감소는 중앙으로부터 가장자리로 오직 약 1000Å이고, 이전의 경우, 감소는 3000Å보다 많았다.
전망
피코초 초음파 기술은 이중 다마신 구조에 의해 요구되는 CMP 처리를 최적화하는 계측법으로서 검증되었다. 특히, 초미세 스케일에서 풀 시트 및 선 배열에 대한 Cu 두께의 측정 가능성은 차례로 부식에 대한 우수한 제어와 디싱 효과를 제공한다. 기재된 방법론은 몇 가지 상이한 제품에 대하여 제조 플로우에 의해 요구되는 6 개의 금속 레벨 모두를 통해 광범위하게 시험되었다.
전체적으로, 피코초 초음파 조사는 CMP 단계 이전에 하나의 단일 측정을 이용하여, 트렌치 내부 및 위의 Cu 재료의 두께를 측정함으로써, 개시하는 Cu-전기 도금의 증착 오류에 대한 평가로 확장될 수 있다.
최저 45㎚ 노드 웨이퍼에 대한 이들 기술의 확장성이 증명되었다. 선 배열 측정(두께 < 1000Å)에 대한 반복 성능(1 시그마 표준 편차)은 0.5%보다 작고, 프로세스 제어 조건 이내에 있다.
피코초 초음파는 선 배열 및 고체 패드 모두에 대한 전기 도금된 구리 및 CMP 프로세스를 모니터링하는데 이용되는 것으로 기재되었다. 상기 기술은 피드백, 전기 도금 및 CMP 프로세스에 대한 피드 포워드 정보를 제공하는 자동화 프로세스 제어에 채용될 수 있다. 본 방법의 정확성은 SEM 및 TEM 모두와 우수한 상관관계를 나타내었다. 프로세스 제어에 중요한 전기적 시험 데이터와의 우수한 상관관계도 증명되었다. 본 기술은 하부층에 영향을 받지 않기 때문에, 측정은 모든 금속화 레벨(M1-M8)에 대해 구조상에서 직접 수행될 수 있다. 측정은 미래 기술 노드에서 조건이 되는 다이-레벨 및 웨이퍼-레벨 모두에서, 프로세스를 모니터링하는 전략을 고속으로 제공할 수 있다. 45㎚ 노드에 대한 본 기술의 확장성도 신뢰성 있는 결과로 증명되었다.
추가적으로, 기재된 본 기술은 자동화 결함 분류에 이용될 수도 있다. 샘플 IC의 특성화가 결정될 수도 있다. 이들 특징은, 제조 프로세스가 불량 IC를 유발하였는지의 여부를 결정하기 위하여 평가될 수도 있다. 또한, 개별 IC는, 예를 들어 추가적인 프로세스 단계를 통해 재사용될 수도 있는지 또는 IC가 폐기되어야만 하는지의 여부를 결정하기 위하여 평가될 수도 있다.
도 23은 제조 프로세스를 평가하는 본 발명에 따른 예시적인 방법의 간략화된 흐름도를 도시한다. 블록(2310)에서, 광학 펌프 빔 펄스가 생성되어, 샘플의 표면을 향하게 된다. 블록(2320)에서, 프로브 펄스가 생성되어 샘플의 표면을 향하게 된다. 블록(2330)에서, 프로브 펄스 응답 신호가 검파된다. 음향 신호에 따라 변화하는 프로브 펄스의 변화는 프로브 펄스 응답 신호를 형성한다. 블록(2340)에서, 샘플을 생성하는데 이용된 적어도 하나의 제조 프로세스 단계에 대한 평가가 프로브 펄스 응답 신호에 기초하여 수행된다.
본 발명에 따른 예시적인 실시형태는 제조 프로세스를 평가하는 방법이다. 상기 방법은 광학 펌프 빔 펄스를 생성하여 샘플의 표면을 향하게 하는 단계를 포함한다. 프로브 펄스는 생성되어 샘플의 표면을 향하게 된다. 프로브 펄스 응답 신호가 검파된다. 음향 신호에 따라 변화하는 프로브 펄스의 변화는 프로브 펄스 응답 신호를 형성한다. 샘플을 생성하는데 이용된 하나 이상의 제조 프로세스 단계에 대한 평가가 프로브 펄스 응답 신호에 기초하여 수행된다.
상기 방법의 다른 예시적인 실시형태에서, 평가를 생성하는 단계는 프로브 펄스 응답 신호와 샘플의 하나 이상의 특성을 관련짓는 단계를 포함하며, 하나 이상의 제조 프로세스 단계에 대한 평가를 생성하는 단계는 샘플의 하나 이상의 특성 에 기초한다. 하나 이상의 특성은 유전체 패드의 두께, 구리 패드의 두께, 또는 선 배열의 두께일 수도 있다. 추가적으로, 평가는 하나 이상의 제조 프로세스 단계가 허용 오차 내에서 샘플의 하나 이상의 특성을 생성하는지의 여부를 결정하는 단계를 포함할 수도 있다.
상기 임의의 방법의 또 다른 예시적인 실시형태에서, 제조 프로세스는 화학 기계 평탄화 프로세스이다.
상기 임의의 방법의 다른 예시적인 실시형태에서, 상기 방법은 제 1 제조 프로세스를 이용하여 샘플을 생성하는 단계를 포함한다. 상기 방법은 하나 이상의 제조 프로세스 단계에 대한 평가에 기초하여 제 1 제조 프로세스를 자동으로 조절하여, 제 2 제조 프로세스를 생성하는 단계도 포함할 수도 있다. 조절하는 단계는 제 1 제조 프로세스에 하나 이상의 교정 프로세스 단계를 추가하는 단계 및/또는 제 1 제조 프로세스에서 하나 이상의 제조 단계에 대한 일반적인 프로세스 파라미터를 변경하는 단계를 포함할 수도 있다.
상기 임의의 방법의 또 다른 예시적인 실시형태에서, 상기 방법은 하나 이상의 제조 프로세스에 대한 평가에 기초하여 하나 이상의 다른 샘플의 제조를 중지하는 단계를 포함한다.
상기 임의의 방법의 다른 예시적인 실시형태에서, 하나 이상의 제조 프로세스 단계에 대한 평가는, 하나 이상의 제조 프로세스 단계가 하나 이상의 바람직한 기판 특성을 만족하는 샘플을 생성하는지의 여부를 결정하는 단계를 포함한다.
상기 임의의 방법의 또 다른 예시적인 실시형태에서, 프로브 펄스의 변화를 검파하는 단계는, 프로브 펄스의 편향을 검파하는 단계 및/또는 샘플의 반사율의 변화를 검파하는 단계를 포함한다.
상기 임의의 방법의 다른 예시적인 실시형태에서, 상기 방법은 컴퓨터 판독 가능한 기억 매체에 저장된 컴퓨터 프로그램 명령의 실행의 결과로서 수행된다.
본 발명에 따른 또 다른 예시적인 실시형태는 제조 프로세스를 평가하는 장치이다. 상기 장치는 광학 펌프 빔 펄스를 생성하여 샘플의 표면을 향하게 함으로써, 음향 신호를 생성하며, 프로브 펄스를 생성하여 샘플의 표면을 향하게 하도록 구성된 광원을 포함한다. 프로브 펄스 응답 신호를 검파하는 검파기가 포함된다. 음향 신호에 따라 변화하는 프로브 펄스의 변화에 기초하여 프로브 펄스 응답 신호가 형성된다. 샘플을 생성하는데 이용된 하나 이상의 제 1 제조 프로세스 단계에 대한 평가를 프로브 펄스 응답 신호에 기초하여 생성할 수 있는 처리 유닛도 포함된다.
상기 장치의 다른 예시적인 실시형태에서, 처리 유닛은 프로브 펄스 응답 신호와 샘플의 하나 이상의 특성을 관련짓고; 샘플의 하나 이상의 특성에 기초하여 평가를 생성할 수도 있다. 하나 이상의 특성은 유전체 패드의 두께, 구리 패드의 두께, 또는 선 배열의 두께일 수도 있다.
상기 임의의 장치의 또 다른 예시적인 실시형태에서, 처리 유닛은 적어도 하나의 제조 프로세스 단계에 대한 평가에 기초하여 제 1 제조 프로세스를 자동으로 조절하여, 제 2 제조 프로세스를 생성할 수도 있다.
상기 임의의 장치의 다른 예시적인 실시형태에서, 검파기는 프로브 펄스의 편향 및/또는 샘플의 반사율의 변화를 검파할 수 있다.
본 발명에 따른 또 다른 예시적인 실시형태는 제조 프로세스를 평가하는 장치이다. 상기 장치는 광학 펌프 빔 펄스를 생성하여 샘플의 표면을 향하게 하는 제 1 수단을 포함한다. 프로브 펄스를 생성하여 샘플의 표면을 향하게 하는 제 2 수단이 포함된다. 상기 장치는 프로브 펄스 응답 신호를 검파하는 수단을 포함한다. 음향 신호에 따라 변화하는 프로브 펄스의 변화는 프로브 펄스 응답 신호를 형성한다. 샘플을 생성하는데 이용된 적어도 하나의 제조 프로세스 단계에 대한 평가를 프로브 펄스 응답 신호에 기초하여 생성하는 평가 수단이 포함된다.
상기 장치의 다른 예시적인 실시형태에서, 제 1 발생 수단은 펄스화 레이저이고, 제 2 발생 수단은 펄스화 레이저이고, 검파 수단은 검파기이며, 평가 수단은 처리 유닛이다.
상술한 설명은 예로서 제공된 것이며, 본 발명의 실시형태를 수행하기 위해 발명자들에 의해 제시된 최상의 기술에 대한 모든 설명을 비제한적으로 예시하는 것이다. 그러나, 첨부된 도면 및 청구범위를 참고하였을 때, 다양한 변형 및 변경이 가능함은 본 발명이 속한 기술 분야에서 통상의 지식을 가진 자들에게 자명할 것이다. 본 발명의 교지에 대한 이러한 모든 유사한 변형들은 본 발명의 범위 내에 포함될 것이다.
또한, 본 발명의 예시적인 실시형태의 일부 특징은 대응하는 다른 특징 없이 유리하게 이용될 수 있다. 이와 같이, 상술한 설명은 단지 본 발명의 실시형태의 원리를 설명하고자 하는 것이며, 이에 한정되지 않음이 고려되어야 한다.
본 발명은 집적 회로(IC) 상에서 예를 들어 구리 상호연결과 같은 고성능 및 고수율의 상호연결 구조를 생성하는 데 중요한 프로세스인 화학 기계 평탄화(CMP)에서 반도체 재료를 측정하는 것에 널리 적용될 수 있다.

Claims (20)

  1. 광학 펌프 빔 펄스를 생성하고 상기 광학 펌프 빔 펄스를 샘플의 표면으로 향하게 함으로써 음향 신호를 생성하는 단계;
    프로브 펄스를 생성하고 상기 프로브 펄스를 상기 샘플의 표면으로 향하게 하는 단계;
    상기 음향 신호에 따라 변하는 상기 프로브 펄스의 변화에 의해 형성되는 프로브 펄스 응답 신호를 검파하는 단계; 및
    상기 프로브 펄스 응답 신호에 기초하여 상기 샘플을 형성하는데 이용된 적어도 하나의 제조 프로세스 단계에 대한 평가를 생성하는 단계를 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 평가를 생성하는 단계는,
    상기 프로브 펄스 응답 신호와 샘플의 적어도 하나의 특성을 관련짓는 단계; 및
    상기 샘플의 적어도 하나의 특성에 기초하여 적어도 하나의 제조 프로세스 단계에 대한 평가를 생성하는 단계를 포함하는 방법.
  3. 제 2 항에 있어서,
    상기 적어도 하나의 특성은 유전체 패드의 두께, 구리 패드의 두께, 및 선 배열의 두께 중 적어도 하나인 방법.
  4. 제 2 항에 있어서,
    상기 평가는, 상기 적어도 하나의 제조 프로세스 단계가 허용 오차 내에서 상기 샘플의 적어도 하나의 특성을 생성하는지의 여부에 대한 판단을 포함하는 방법.
  5. 제 1 항에 있어서,
    상기 제조 프로세스는 화학 기계 평탄화(CMP) 프로세스인 방법.
  6. 제 1 항에 있어서,
    제 1 제조 프로세스를 이용하여 상기 샘플을 생성하는 단계를 더 포함하는 방법.
  7. 제 6 항에 있어서,
    적어도 하나의 제조 프로세스 단계에 대한 평가에 기초하여 제 1 제조 프로세스를 자동으로 조절함으로써 제 2 제조 프로세스를 생성하는 단계를 더 포함하는 방법.
  8. 제 7 항에 있어서,
    상기 조절하는 단계는, 상기 제 1 제조 프로세스에 적어도 하나의 교정 프로세스 단계를 추가하는 단계를 포함하는 방법.
  9. 제 7 항에 있어서,
    상기 조절하는 단계는, 상기 제 1 제조 프로세스의 일부를 포함하는 적어도 하나의 제조 단계의 일반적인 프로세스 파라미터를 변경하는 단계를 포함하는 방법.
  10. 제 1 항에 있어서,
    상기 적어도 하나의 제조 프로세스에 대한 평가에 기초하여 적어도 하나의 다른 샘플의 제조를 중단하는 단계를 더 포함하는 방법.
  11. 제 1 항에 있어서,
    상기 적어도 하나의 제조 프로세스 단계에 대한 평가는, 상기 적어도 하나의 제조 프로세스 단계가 적어도 하나의 원하는 기판 특성을 만족하는 샘플을 생성하였는지의 여부를 판단하는 단계를 포함하는 방법.
  12. 제 1 항에 있어서,
    프로브 펄스의 변화를 검파하는 단계는, 상기 샘플의 반사율의 변화를 검파하는 단계 및 상기 프로브 펄스의 편향을 검파하는 단계 중 적어도 하나를 포함하 는 방법.
  13. 제 1 항에 있어서,
    상기 방법은 컴퓨터 판독 가능한 기억 매체에 저장된 컴퓨터 프로그램 명령들의 실행의 결과로서 수행되는 방법.
  14. 광학 펌프 빔 펄스를 생성하여, 샘플의 표면을 향하게 함으로써, 음향 신호를 생성하며, 프로브 펄스를 생성하여, 상기 샘플의 표면을 향하게 하도록 구성된 광원;
    상기 음향 신호에 따라 변하는 상기 프로브 펄스의 변화에 기초하여 형성되는 프로브 펄스 응답 신호를 검파하도록 구성된 검파기; 및
    상기 샘플을 형성하는데 이용된 제 1 제조 프로세스의 적어도 하나의 제조 프로세스 단계에 대한 평가를 상기 프로브 펄스 응답 신호에 기초하여 생성하도록 구성된 처리 유닛을 포함하는 장치.
  15. 제 14 항에 있어서,
    상기 처리 유닛은,
    상기 프로브 펄스 응답 신호와 상기 샘플의 적어도 하나의 특성을 관련지으며,
    상기 샘플의 적어도 하나의 특성에 기초하는 평가를 생성하도록 더 구성된 장치.
  16. 제 15 항에 있어서,
    상기 적어도 하나의 특성은 유전체 패드의 두께, 구리 패드의 두께, 및 선 배열의 두께 중 적어도 하나인 장치.
  17. 제 14 항에 있어서,
    상기 처리 유닛은, 적어도 하나의 제조 프로세스 단계에 대한 평가에 기초하여 상기 제 1 제조 프로세스를 자동으로 조절함으로써 제 2 제조 프로세스를 생성하도록 더 구성된 장치.
  18. 제 14 항에 있어서,
    상기 검파기는, 상기 샘플의 반사율 변화 및 상기 프로브 펄스의 편향 중 적어도 하나를 검파하도록 구성된 장치.
  19. 광학 펌프 빔 펄스를 생성하고, 상기 광학 펌프 빔 펄스를 샘플의 표면으로 향하게 함으로써, 음향 신호를 생성하는 제 1 수단;
    프로브 펄스를 생성하고, 상기 프로브 펄스를 상기 샘플의 표면으로 향하게 하는 제 2 수단;
    상기 음향 신호에 따라 변하는 상기 프로브 펄스의 변화에 의해 형성되는 프 로브 펄스 응답 신호를 검파하는 수단; 및
    상기 프로브 펄스 응답 신호에 기초하여 상기 샘플을 형성하는데 이용된 적어도 하나의 제조 프로세스 단계에 대한 평가를 생성하기 위한 평가 수단을 포함하는 장치.
  20. 제 19 항에 있어서,
    상기 제 1 생성 수단은 펄스화 레이저이고, 상기 제 2 생성 수단은 펄스화 레이저이고, 상기 검파 수단은 검파기이며, 상기 평가 수단은 처리 유닛인 장치.
KR1020097020148A 2007-02-28 2008-02-28 부식 가능성이 있는 샘플의 금속부에 대한 피코초 초음파를 이용한 특성화 KR101455777B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US90411907P 2007-02-28 2007-02-28
US90412007P 2007-02-28 2007-02-28
US60/904,119 2007-02-28
US60/904,120 2007-02-28
PCT/US2008/002649 WO2008106199A1 (en) 2007-02-28 2008-02-28 Characterization with picosecond ultrasonics of metal portions of samples potentially subject to erosion

Publications (2)

Publication Number Publication Date
KR20100014612A true KR20100014612A (ko) 2010-02-10
KR101455777B1 KR101455777B1 (ko) 2014-10-28

Family

ID=39721554

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097020148A KR101455777B1 (ko) 2007-02-28 2008-02-28 부식 가능성이 있는 샘플의 금속부에 대한 피코초 초음파를 이용한 특성화

Country Status (5)

Country Link
US (1) US8312772B2 (ko)
JP (1) JP5055385B2 (ko)
KR (1) KR101455777B1 (ko)
TW (1) TWI449894B (ko)
WO (1) WO2008106199A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5736719B2 (ja) * 2010-10-19 2015-06-17 富士通株式会社 超音波検査方法及び超音波検査装置
US9252060B2 (en) * 2012-04-01 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of OCD measurement noise by way of metal via slots
US9772297B2 (en) 2014-02-12 2017-09-26 Kla-Tencor Corporation Apparatus and methods for combined brightfield, darkfield, and photothermal inspection
US10152654B2 (en) * 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US9885671B2 (en) 2014-06-09 2018-02-06 Kla-Tencor Corporation Miniaturized imaging apparatus for wafer edge
US9645097B2 (en) 2014-06-20 2017-05-09 Kla-Tencor Corporation In-line wafer edge inspection, wafer pre-alignment, and wafer cleaning
US20160365253A1 (en) * 2015-06-09 2016-12-15 Macronix International Co., Ltd. System and method for chemical mechanical planarization process prediction and optimization
US10126273B2 (en) * 2016-02-29 2018-11-13 The Boeing Company Inspection of structures
JP2019105616A (ja) * 2017-12-15 2019-06-27 株式会社日立製作所 レーザ超音波装置
KR20200081046A (ko) 2018-12-27 2020-07-07 삼성전자주식회사 하부막 두께의 비파괴적 측정 방법
US11519720B2 (en) 2020-10-12 2022-12-06 Applied Materials Israel Ltd. Depth profiling of semiconductor structures using picosecond ultrasonics
US20240151636A1 (en) * 2021-03-23 2024-05-09 Mitsubishi Electric Corporation Biological component measurement device and biological component measurement method

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5748318A (en) * 1996-01-23 1998-05-05 Brown University Research Foundation Optical stress generator and detector
US5844684A (en) * 1997-02-28 1998-12-01 Brown University Research Foundation Optical method for determining the mechanical properties of a material
US6108087A (en) 1998-02-24 2000-08-22 Kla-Tencor Corporation Non-contact system for measuring film thickness
US6552803B1 (en) * 1998-02-24 2003-04-22 Kla-Tencor Corporation Detection of film thickness through induced acoustic pulse-echos
US6256100B1 (en) 1998-04-27 2001-07-03 Active Impulse Systems, Inc. Method and device for measuring the thickness of thin films near a sample's edge and in a damascene-type structure
US7230708B2 (en) * 2000-12-28 2007-06-12 Dmitri Olegovich Lapotko Method and device for photothermal examination of microinhomogeneities
US7463364B2 (en) * 2003-07-31 2008-12-09 Ler Technologies, Inc. Electro-optic sensor
JP3811150B2 (ja) * 2003-09-05 2006-08-16 株式会社東芝 膜厚測定方法、膜厚測定システム、半導体装置の製造方法及び膜厚測定システム制御プログラム
JP2005141380A (ja) * 2003-11-05 2005-06-02 Matsushita Electric Ind Co Ltd テンプレートコンパイル方法
US7397596B2 (en) * 2004-07-28 2008-07-08 Ler Technologies, Inc. Surface and subsurface detection sensor
US20060256916A1 (en) * 2005-05-13 2006-11-16 Rudolph Technologies, Inc. Combined ultra-fast x-ray and optical system for thin film measurements
US7624640B2 (en) * 2005-06-03 2009-12-01 Brown University Opto-acoustic methods and apparatus for performing high resolution acoustic imaging and other sample probing and modification operations

Also Published As

Publication number Publication date
US20100281981A1 (en) 2010-11-11
WO2008106199A1 (en) 2008-09-04
JP5055385B2 (ja) 2012-10-24
TW200900678A (en) 2009-01-01
TWI449894B (zh) 2014-08-21
US8312772B2 (en) 2012-11-20
WO2008106199A9 (en) 2010-11-11
KR101455777B1 (ko) 2014-10-28
JP2010520616A (ja) 2010-06-10

Similar Documents

Publication Publication Date Title
KR101455777B1 (ko) 부식 가능성이 있는 샘플의 금속부에 대한 피코초 초음파를 이용한 특성화
US20150017880A1 (en) Film-thickness measuring apparatus, film-thickness measuring method, and polishing apparatus having the film-thickness measuring apparatus
US20100277850A1 (en) Multi-Zone Electrostatic Chuck and Chucking Method
US6375540B1 (en) End-point detection system for chemical mechanical posing applications
EP1399294B1 (en) End point detection system for chemical mechanical polishing applications
Zhang et al. Metrology challenges in 3D NAND flash technical development and manufacturing
US9543219B2 (en) Void monitoring device for measurement of wafer temperature variations
Hsieh et al. In-line monitoring of advanced copper CMP processes with picosecond ultrasonic metrology
US6531387B1 (en) Polishing of conductive layers in fabrication of integrated circuits
US7002689B2 (en) Optically-based method and apparatus for detecting and characterizing surface pits in a metal film during chemical mechanical polish
Pic et al. Characterization of Copper Line Array Erosion with Picosecond Ultrasonics
Manikonda et al. Copper process control with picosecond ultrasonic technology: A study
JP2004014780A (ja) 平坦化処理の評価方法および半導体装置の製造方法
Diebold et al. Interconnect metrology roadmap: status and future
Ji et al. Optimization of the CMP process for direct wafer-to-wafer oxide bonding
Zhang et al. Reducing density-induced CMP non-uniformity for advanced semiconductor technology nodes
Gostein et al. Non-contact metal film metrology using impulsive stimulated thermal scattering
Zschech et al. Failures in copper interconnects-localization, analysis and degradation mechanisms
Banet et al. All-optical, non-contact measurement of copper and tantalum films deposited by PVD and ECD in blanket films and single damascene structures
CN102820237B (zh) 半导体器件中金属厚度的量测方法
Vartanian et al. Metrology Needs for 2.5 D/3D Interconnects
Hsu et al. In-line high-K/metal gate monitoring using picosecond ultrasonics
Lee et al. Characterization of Grobal and Local Wafer Shape Change along Through Silicon Via Process Steps
Allen et al. Metrology for 3D integration
Choi et al. Evaluation of Electroplating Copper Filling of a Through Silicon Via Using a Scanning-laser-beam-induced Current System

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191021

Year of fee payment: 6