KR20090120228A - Method of depositing an oxide film using a low temperature cvd - Google Patents

Method of depositing an oxide film using a low temperature cvd Download PDF

Info

Publication number
KR20090120228A
KR20090120228A KR1020080046163A KR20080046163A KR20090120228A KR 20090120228 A KR20090120228 A KR 20090120228A KR 1020080046163 A KR1020080046163 A KR 1020080046163A KR 20080046163 A KR20080046163 A KR 20080046163A KR 20090120228 A KR20090120228 A KR 20090120228A
Authority
KR
South Korea
Prior art keywords
formula
oxide film
gas
film
silicon precursor
Prior art date
Application number
KR1020080046163A
Other languages
Korean (ko)
Other versions
KR101008490B1 (en
Inventor
이철우
Original Assignee
주식회사 테스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 테스 filed Critical 주식회사 테스
Priority to KR1020080046163A priority Critical patent/KR101008490B1/en
Publication of KR20090120228A publication Critical patent/KR20090120228A/en
Application granted granted Critical
Publication of KR101008490B1 publication Critical patent/KR101008490B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

PURPOSE: A method of depositing an oxide film by using a low temperature CVD is provided to prevent the characteristic of a semiconductor device from being degraded due to the use of a furnace. CONSTITUTION: A method of depositing an oxide film by using a low temperature CVD comprises the following steps of: forming the oxide film by using a silicon precursor, in which the hydrocarbon amino substituent is included on a substrate, and the reaction gas; and thermal-processing the formed oxide film in the temperature of 400 degrees centigrade or 300 degrees centigrade.

Description

저온 화학기상증착에 의한 산화막 증착 방법{Method of depositing an oxide film using a low temperature CVD}Method of depositing an oxide film using a low temperature CVD

본 발명은 절연막 형성 방법에 관한 것으로, 특히 하이드로카본(hydro carbon) 아미노 치환체가 포함된 실리콘 전구체를 이용하는 저온 화학기상증착(low temperature chemical mechanical deposition)에 의한 산화막 증착 방법에 관한 것이다.The present invention relates to a method for forming an insulating film, and more particularly, to a method of depositing an oxide film by low temperature chemical mechanical deposition using a silicon precursor containing a hydrocarbon amino substituent.

일반적으로 반도체 소자는 반도체 기판 상부에 절연막 및 도전막이 적층된 구조를 갖는다. 즉, 반도체 소자는 증착 공정, 사진 공정 및 식각 공정을 선택적으로 실시하여 하나의 층을 형성하고, 그 위에 상기 공정들을 이용하여 다른 층을 형성하는 과정을 반복하여 제조된다. 절연막으로는 산화막, 질화막 등이 이용되고, 도전막으로는 폴리실리콘막, 금속막 등이 이용된다.In general, a semiconductor device has a structure in which an insulating film and a conductive film are stacked on a semiconductor substrate. That is, the semiconductor device is manufactured by repeatedly performing a deposition process, a photo process, and an etching process to form one layer, and forming another layer thereon using the above processes. An oxide film, a nitride film, or the like is used as the insulating film, and a polysilicon film, a metal film, or the like is used as the conductive film.

산화막은 반도체 소자의 제조 공정에서 하부 층과 상부 층을 절연시키기 위해 형성하거나, 소자 분리막 형성 공정에서 하드 마스크층으로 형성되는 패드 질화 막에서 발생되는 응력을 완화하기 위해 형성한다. 또한, 산화막은 이온 주입 공정에서 하부의 반도체 기판의 충격을 완화시키기 위해 형성하기도 한다.The oxide film is formed to insulate the lower layer and the upper layer in the semiconductor device manufacturing process, or to reduce the stress generated in the pad nitride film formed of the hard mask layer in the device isolation film forming process. The oxide film may also be formed to mitigate the impact of the underlying semiconductor substrate in the ion implantation process.

산화막은 화학 기상 증착(Chemical Vapor Deposition: 이하, "CVD"라 함), 퍼니스(furnace)를 이용한 열산화 공정 등을 이용하여 형성하고 있다. CVD 공정은 층간 절연막이나 갭필 산화막 등 산화막을 두껍게 형성하는 경우 뿐만 아니라 산화막을 얇게 형성하는 경우에도 널리 이용된다. 그러나, 퍼니스를 이용한 열산화 공정은 게이트 산화막이나 패드 산화막 등 주로 산화막을 얇게 형성하는데 이용된다. 퍼니스를 이용한 열산화 공정은 1000℃ 이상의 고온에서 산화 분위기를 만들어 산화막을 형성하게 된다. 이렇게 퍼니스를 이용하여 형성된 산화막은 CVD에 의해 형성된 산화막에 비해 막질이 치밀한 장점이 있다. 그러나, 퍼니스를 이용한 열산화 공정은 고온에서 진행되기 때문에 열화에 의한 반도체 소자의 특성을 저하시키는 문제점이 있다.The oxide film is formed using a chemical vapor deposition (hereinafter referred to as "CVD"), a thermal oxidation process using a furnace, or the like. The CVD process is widely used not only for forming thick oxide films such as interlayer insulating films and gap fill oxide films but also for forming thin oxide films. However, a thermal oxidation process using a furnace is mainly used to form a thin oxide film such as a gate oxide film or a pad oxide film. In the thermal oxidation process using the furnace, an oxidizing atmosphere is formed at a high temperature of 1000 ° C. or higher to form an oxide film. The oxide film formed by using the furnace has an advantage that the film quality is dense compared to the oxide film formed by CVD. However, since the thermal oxidation process using the furnace proceeds at a high temperature, there is a problem of lowering the characteristics of the semiconductor device due to deterioration.

본 발명은 퍼니스를 이용한 공정으로 형성된 막과 막질이 유사하고, 저온 CVD 공정으로 형성할 수 있어 퍼니스를 이용하는 경우 발생되는 반도체 소자의 특성 저하를 방지할 수 있는 저온 CVD에 의한 산화막 증착 방법을 제공한다.The present invention provides a method for depositing an oxide film by low temperature CVD that is similar to a film formed by a process using a furnace and can be formed by a low temperature CVD process to prevent deterioration of characteristics of a semiconductor device generated when the furnace is used. .

본 발명은 하이드로 카본 아미노 치환체를 포함하는 실리콘 전구체를 이용하여 막질이 우수하고 저온 CVD 공정에 의해 형성할 수 있는 저온 CVD에 의한 산화막 증착 방법을 제공한다.The present invention provides a method for depositing an oxide film by low temperature CVD which is excellent in film quality and can be formed by a low temperature CVD process using a silicon precursor including a hydrocarbon amino substituent.

본 발명의 일 양태에 따른 저온 CVD에 의한 산화막 형성 방법은 기판 상에 하이드로카본 아미노 치환체가 포함된 실리콘 전구체와 반응 가스를 이용하여 산화막을 형성하는 단계; 및 상기 산화막을 300 내지 400℃의 온도에서 열처리하는 단계를 포함한다.According to an aspect of the present invention, there is provided a method of forming an oxide film by low temperature CVD, the method including: forming an oxide film using a silicon precursor and a reaction gas containing a hydrocarbon amino substituent on a substrate; And heat-treating the oxide film at a temperature of 300 to 400 ° C.

상기 실리콘 전구체는 bis(methylethylamino)silan, bis(dimethyamino)silan, tris(isopropylamino)silan, tris(ethylmethylamino)silan, tetrakis(ethylmethylamino)silan, I2S2, H2S2, DH2S2, TDAS, TEMS, THS, TDHS, HMDS, HIDS, HEMDS, HYDS, DHYDS, TAOS 및 Tri-AOS 중 적어도 어느 하나를 포함한다.The silicon precursor is bis (methylethylamino) silan, bis (dimethyamino) silan, tris (isopropylamino) silan, tris (ethylmethylamino) silan, tetrakis (ethylmethylamino) silan, I2S2, H2S2, DH2S2, TDAS, TEMS, THS, TDHS, HMDS, At least one of HIDS, HEMDS, HYDS, DHYDS, TAOS, and Tri-AOS.

상기 실리콘 전구체는 10 내지 2000sccm의 유량으로 유입된다.The silicon precursor is introduced at a flow rate of 10 to 2000 sccm.

상기 반응 가스는 산소 가스, 오존 가스 또는 산소 및 오존의 혼합 가스를 포함하며, 10 내지 500sccm의 유량으로 유입된다.The reaction gas includes oxygen gas, ozone gas, or a mixed gas of oxygen and ozone, and is introduced at a flow rate of 10 to 500 sccm.

상기 실리콘 전구체의 캐리어 가스로 불활성 가스를 50 내지 1000sccm의 유량으로 더 유입시킨다.Inert gas is further introduced into the carrier gas of the silicon precursor at a flow rate of 50 to 1000 sccm.

상기 산화막은 APCVD 방법 또는 LPCVD 방법으로 형성된다.The oxide film is formed by the APCVD method or the LPCVD method.

상기 열처리 공정은 N2 분위기에서 1분 내지 60분 동안 실시한다.The heat treatment process is performed for 1 to 60 minutes in an N 2 atmosphere.

상기 산화막은 상기 열처리 공정후의 수축률이 6% 미만이 되도록 형성된다.The oxide film is formed so that the shrinkage ratio after the heat treatment process is less than 6%.

본 발명에 의하면, 하이드로카본 아미노 치환체를 포함하는 실리콘 전구체를 이용하여 350℃ 이하의 저온에서 CVD 방식으로 산화막을 형성한 후 막질을 치밀하게 하기 위해 열처리 공정을 실시한다. 이렇게 형성된 산화막은 퍼니스를 이용하여 형성된 산화막의 막질과 유사하고, 저온에서 형성되기 때문에 반도체 소자를 열화시키기 않는다. 따라서, 반도체 소자의 특성 저하를 방지할 수 있다.According to the present invention, an oxide film is formed by a CVD method at a low temperature of 350 ° C. or lower using a silicon precursor including a hydrocarbon amino substituent, and then a heat treatment process is performed to make the film quality dense. The oxide film thus formed is similar to the film quality of the oxide film formed by using the furnace and is formed at low temperature so that the semiconductor element is not degraded. Therefore, the fall of the characteristic of a semiconductor element can be prevented.

이하, 첨부된 도면을 참조하여 본 발명의 실시 예를 상세히 설명하기로 한다. 그러나, 본 발명은 이하에서 개시되는 실시 예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시 예들은 본 발명의 개시가 완전하 도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 도면상에서 동일 부호는 동일한 요소를 지칭한다.Hereinafter, with reference to the accompanying drawings will be described an embodiment of the present invention; However, the present invention is not limited to the embodiments disclosed below, but may be implemented in various forms, and only the embodiments are intended to complete the disclosure of the present invention and to those skilled in the art. It is provided to inform you completely. Like numbers refer to like elements in the figures.

도 1은 본 발명에 따른 산화막을 증착하기 위한 증착 장치의 일예를 설명하기 위해 도시한 개략 단면도로서, 플라즈마 강화 화학 기상 증착(Plasma Enhanced Chemical Mechanical Deposition; PECVD) 장비의 개략 단면도이다.1 is a schematic cross-sectional view for explaining an example of a deposition apparatus for depositing an oxide film according to the present invention, a schematic cross-sectional view of a plasma enhanced chemical mechanical deposition (PECVD) equipment.

도 1을 참조하면, 증착 장치는 진공부(10), 챔버(20), 가스 공급부(30) 및 전원 공급부(40)를 포함한다. 또한, 챔버(20)를 세정하기 위해 원격 플라즈마 발생부(51)를 더 포함한다.Referring to FIG. 1, the deposition apparatus includes a vacuum unit 10, a chamber 20, a gas supply unit 30, and a power supply unit 40. In addition, the cleaning chamber 20 further includes a remote plasma generator 51.

진공부(10)는 펌프(11), 예를 들어 터보 분자 펌프(turbo molecular pump)와 밸브(12), 그리고 배기구(13)를 포함하여 챔버(20) 내부를 증착 공정에 적합한 진공 상태로 유지시킨다. 또한, 진공부(10)는 챔버(20) 내부에 잔류하는 미반응 가스등을 배출하기 위해 이용된다.The vacuum unit 10 includes a pump 11, for example, a turbo molecular pump, a valve 12, and an exhaust port 13 to maintain the interior of the chamber 20 in a vacuum suitable for the deposition process. Let's do it. In addition, the vacuum unit 10 is used to discharge the unreacted gas remaining in the chamber 20.

챔버(20)는 기판(1)의 형상에 따라 직육면체 또는 원통형으로 구성되어 공정이 진행되는 내부 공간을 형성하며, 기판 지지대(21), 샤워헤드(22), 압력 측정기(23), 라이너(24) 및 펌프 플랫(Pump plat)(25)을 포함한다. 기판 지지대(21)는 챔버(20) 내부의 하부에 배치되어 절연막을 형성하기 위한 기판(1)이 안착된다. 또한, 기판 지지대(21)는 기판(1)의 온도를 상온∼350℃로 유지할 수 있도록 하기 위해 열선이 설치될 수 있다. 샤워헤드(22)는 가스 공급부(30)로부터 소오스 가스를 공급받고, 전원 공급부(40)로부터 고주파 전원을 공급받는다. 따라서, 가스 공급부(30)를 통해 공급되어 샤워헤드(22)를 통해 분사된 소오스 가스는 전원 공급 부(40)로부터 인가되는 고주파 전원에 의해 이온화되어 기판(1)상에 증착된다. 또한, 샤워헤드(22)는 챔버(22) 내벽과는 절연되어 있다. 압력 측정기(23)는 챔버(20)내의 압력을 측정하는데, 압력 측정기(23)에 의해 측정된 압력은 밸브(12)의 개방도 조절에 반영되며, 이로써 챔버(20)내의 압력을 적정 수준으로 유지할 수 있게 된다. 라이너(24)는 알루미늄 재질의 챔버(20) 내벽이 플라즈마에 의해 손상되거나 반응물이 챔버(20) 내벽에 증착되지 않도록 보호하기 위해 챔버(20) 내벽에 마련되며, 바람직하게는 세라믹 재질을 이용한다. 펌프 플랫(25)은 펌프(11)에 의해 배기구(13)를 통해 배출되는 잔류 가스가 균일하게 배기되도록 한다. 펌프 플랫(25)은 다수의 구멍이 형성된 판 형상으로 마련된다.The chamber 20 is formed in a rectangular parallelepiped or cylindrical shape according to the shape of the substrate 1 to form an internal space in which the process proceeds, and the substrate support 21, the shower head 22, the pressure gauge 23, and the liner 24 are formed. ) And a pump plat 25. The substrate support 21 is disposed below the inside of the chamber 20 so that the substrate 1 for forming an insulating film is seated. In addition, the substrate support 21 may be provided with a heating wire to maintain the temperature of the substrate 1 at room temperature to 350 ℃. The shower head 22 receives a source gas from the gas supply unit 30, and receives a high frequency power from the power supply unit 40. Therefore, the source gas supplied through the gas supply unit 30 and injected through the shower head 22 is ionized by the high frequency power applied from the power supply unit 40 and deposited on the substrate 1. In addition, the shower head 22 is insulated from the inner wall of the chamber 22. The pressure gauge 23 measures the pressure in the chamber 20, and the pressure measured by the pressure gauge 23 is reflected in the opening degree control of the valve 12, thereby adjusting the pressure in the chamber 20 to an appropriate level. It can be maintained. The liner 24 is provided on the inner wall of the chamber 20 to protect the inner wall of the chamber 20 made of aluminum from being damaged by plasma or the reactant is not deposited on the inner wall of the chamber 20, and preferably, a ceramic material is used. The pump flat 25 allows the residual gas discharged through the exhaust port 13 by the pump 11 to be exhausted uniformly. The pump flat 25 is provided in a plate shape in which a plurality of holes are formed.

가스 공급부(30)는 기판(1)상에 절연막을 형성하기 위해 필요한 반응 소오스를 반응 가스와 함께 챔버(20)내에 공급하는 가스 공급관(31)을 포함한다. 즉, 반응 소오스는 기화기(31)에 의해 기화되어 가스 공급관(31)을 통해 공급되는데, 이때 캐리어 가스와 함께 공급되고, 산소 또는 오존 가스등의 반응 가스 또한 미도시된 다른 유입 경로를 거쳐 가스 공급관(31)을 통해 공급된다.The gas supply unit 30 includes a gas supply pipe 31 for supplying a reaction source necessary for forming an insulating film on the substrate 1 together with the reaction gas into the chamber 20. That is, the reaction source is vaporized by the vaporizer 31 and supplied through the gas supply pipe 31, and is supplied together with the carrier gas, and the reaction gas such as oxygen or ozone gas is also passed through another inflow path not shown. Supplied via 31).

전원 공급부(40)는 고주파 발생기(41) 및 정합기(42)를 포함하며, 샤워헤드(22)에 고주파 전원을 인가하여 소오스 가스가 이온화되어 기판(1) 상에 증착되도록 한다. 이러한 전원 공급부(40)는 고주파 발생기(41)가 13.56㎒의 고주파를 갖는 100∼2000W의 고주파 파워가 발생되도록 한다.The power supply unit 40 includes a high frequency generator 41 and a matching unit 42, and applies a high frequency power to the showerhead 22 so that the source gas is ionized and deposited on the substrate 1. The power supply unit 40 allows the high frequency generator 41 to generate high frequency power of 100 to 2000W having a high frequency of 13.56 MHz.

한편, 고주파 발생기(41) 및 정합기(42)를 포함하여 고주파를 발생시키는 전원 공급부(40) 이외에 저주파 발생기(미도시) 및 정합기(미도시)를 포함하여 저주 파를 발생시키는 전원 공급부(미도시)가 더 포함될 수 있다. 이러한 저주파를 발생시키는 전원 공급부는 챔버(20)의 하부, 예를들어 기판 지지대(21)와 연결될 수 있으며, 저주파를 발생시키게 되면 소오스 가스의 이온의 직진성을 향상시켜 기판(1) 상에 증착되는 절연막이 균일하게 증착되도록 하고, 박막의 스트레스를 완화시켜 막질을 향상시키게 된다. 이러한 저주파를 발생시키기 위한 전원 공급부는 저주파 발생기가 400㎑의 저주파를 갖는 150∼400W의 저주파 파워가 발생되도록 한다.On the other hand, in addition to the power supply unit 40 for generating a high frequency including the high frequency generator 41 and the matching unit 42, a power supply unit for generating a low frequency including a low frequency generator (not shown) and a matching unit (not shown) ( Not shown) may be further included. The power supply unit generating the low frequency may be connected to the lower part of the chamber 20, for example, the substrate support 21. When the low frequency is generated, the power supply may be deposited on the substrate 1 by improving the linearity of ions of the source gas. The insulating film is uniformly deposited and the stress of the thin film is relieved to improve the film quality. The power supply for generating such a low frequency allows the low frequency generator to generate low frequency power of 150 to 400 W having a low frequency of 400 Hz.

원격 플라즈마(remote plasma) 발생부(51)는 파이프(52)와 밸브(미도시)에 의해 샤워헤드(22)와 연결된다. 원격 플라즈마 발생부(51)는 세정 가스 유입구(미도시)를 통해 세정 가스가 유입되고, 3000∼7000W의 고주파 파워(power)를 인가하여 세정 가스의 플라즈마를 발생시킨다. 플라즈마화된 세정 가스는 파이프(52)를 통해 샤워헤드(22)에 공급된다. 세정 가스로는 불소 함유 가스가 이용될 수 있는데, NF3, ClF3, CF4, C2F6, C3F8 또는 이들의 혼합 가스 또는 상기 가스들과 산소, 질소 또는 불활성 가스의 혼합 가스 등이 이용될 수 있다.The remote plasma generator 51 is connected to the showerhead 22 by a pipe 52 and a valve (not shown). The remote plasma generating unit 51 receives the cleaning gas through the cleaning gas inlet port (not shown), and applies a high frequency power of 3000 to 7000 W to generate the plasma of the cleaning gas. The plasmaized cleaning gas is supplied to the showerhead 22 through the pipe 52. Fluorine-containing gas may be used as the cleaning gas, such as NF 3 , ClF 3 , CF 4 , C 2 F 6 , C 3 F 8, or a mixture thereof, or a mixture of oxygen, nitrogen, or an inert gas. This can be used.

상기에서는 본 발명에 이용되는 장치의 일 예로서 PECVD 장치를 설명하였으나, 본 발명은 이에 국한되지 않고 열 CVD 장치 및 LPCVD 장치 등의 모든 CVD 장치를 이용할 수 있다.Although the PECVD apparatus has been described as an example of the apparatus used in the present invention, the present invention is not limited thereto, and any CVD apparatus such as a thermal CVD apparatus and an LPCVD apparatus may be used.

상기 증착 장비를 이용한 본 발명에 따른 절연막 형성 방법을 설명하면 다음 과 같다.Referring to the insulating film forming method according to the invention using the deposition equipment as follows.

먼저, 소정의 구조가 형성된 기판(1)을 기판 지지대(21)에 장착하여 챔버(20) 내부로 로딩한다. 이때, 기판(1)은 기판 지지대(21)를 통해 상온∼350℃의 온도를 유지하도록 한다. 진공부(10)를 이용하여 챔버(20) 내부를 진공 상태로 만든 후 반응 소오스를 기화시켜 가스 공급부(30) 및 샤워헤드(12)를 통해 분사한다. 이때, 챔버(20)에는 전원 공급부(40)로부터 샤워헤드(12)에 고주파(Radio Frequency; RF) 전원이 인가된다. 고주파 전원에 의해 챔버(20) 내부에 플라즈마가 생성되고, 반응 소오스는 이온화되어 기판(1)으로 이동하게 된다. 또한, 기판 지지대(21)에 저주파 전원이 더 인가되어 저주파 전원에 의해 이온의 직진성이 향상되어 기판(1) 상에 절연막이 형성된다. 절연막이 소정 두께로 증착된 기판(1)을 챔버(20)로부터 언로딩한 후 열처리 공정을 실시한다.First, the substrate 1 having a predetermined structure is mounted on the substrate support 21 to be loaded into the chamber 20. At this time, the substrate 1 is to maintain a temperature of room temperature to 350 ℃ through the substrate support 21. After vacuuming the inside of the chamber 20 using the vacuum unit 10, the reaction source is vaporized and sprayed through the gas supply unit 30 and the shower head 12. At this time, a high frequency (RF) power is applied to the shower head 12 from the power supply 40 to the chamber 20. Plasma is generated inside the chamber 20 by the high frequency power, and the reaction source is ionized to move to the substrate 1. In addition, a low frequency power source is further applied to the substrate support 21 to improve the linearity of the ions by the low frequency power source, thereby forming an insulating film on the substrate 1. After the substrate 1 having the insulating film deposited to a predetermined thickness is unloaded from the chamber 20, a heat treatment process is performed.

여기서, 절연막을 형성하기 위한 반응 소오스는 산화막(SiO2)의 경우 하이드로카본 아미노 치환체를 포함하는 실리콘 전구체와 산소를 포함하는 가스를 이용한다. 하이드로카본 아미노 치환체가 포함된 실리콘 전구체는 예를들어 bis(methylethylamino)silan(D2S2), bis(dimethyamino)silan(M2S2), tris(isopropylamino)silan(TIPAS), tris(ethylmethylamino)silan(TEMAS), tetrakis(ethylmethylamino)silan 등이 이용될 수 있고, 산소를 포함하는 가스는 산소 가스, 오존 가스 또는 산소와 오존의 혼합 가스가 이용될 수 있다. 한편, 실리콘 전구체의 캐리어 가스로 아르곤 또는 헬륨 등의 불활성 가스를 이용한다. 또 한, 하이드로카본 아미노 치환체가 포함된 실리콘 전구체는 상기 물질 이외에 또한, 상기 물질 이외에 I2S2, H2S2, DH2S2, TDAS, TEMS, THS, TDHS, HMDS, HIDS, HEMDS, HYDS, DHYDS, TAOS 및 Tri-AOS 등이 이용될 수 있다.Here, in the case of the oxide film (SiO 2 ), the reaction source for forming the insulating film uses a silicon precursor containing a hydrocarbon amino substituent and a gas containing oxygen. Silicon precursors containing hydrocarbon amino substituents include, for example, bis (methylethylamino) silan (D2S2), bis (dimethyamino) silan (M2S2), tris (isopropylamino) silan (TIPAS), tris (ethylmethylamino) silan (TEMAS), tetrakis (ethylmethylamino) silan may be used, and the gas containing oxygen may be oxygen gas, ozone gas, or a mixed gas of oxygen and ozone. On the other hand, an inert gas such as argon or helium is used as the carrier gas of the silicon precursor. In addition, silicon precursors containing hydrocarbon amino substituents may be used in addition to the above materials and in addition to the above materials, I2S2, H2S2, DH2S2, TDAS, TEMS, THS, TDHS, HMDS, HIDS, HEMDS, HYDS, DHYDS, TAOS and Tri-AOS. And the like can be used.

bis(methylethylamino)silan는 분자식이 H2Si(NMeEt)2이며, 146.31의 분자량과 [화학식 1]과 같은 화학 구조를 가지며, 136℃의 끓는점(boiling point)과 20℃에서 5Torr의 증기압(vapor pressure)을 갖는다. 또한, bis(dimethyamino)silan는 분자식이 H2Si(NMe2)2이며, 118.26의 분자량과 [화학식 2]와 같은 화학 구조를 가지고, 93℃의 끓는점과 20.1℃에서 52.2Torr의 증기압을 갖는다. 그리고, tris(isopropylamino)silan은 분자식이 HSi(NHiPr)3이며, 203.41의 분자량과 [화학식 3]과 같은 화학 구조를 가지며, 165℃의 끓는점과 15.3℃에서 1.2mTorr의 증기압을 갖는다. 한편, tris(ethylmethylamino)silan은 [화학식 4]와 같은 화학 구조를 가진다.bis (methylethylamino) silan has a molecular formula of H 2 Si (NMeEt) 2 , has a molecular weight of 146.31 and a chemical structure as shown in [Formula 1], a boiling point of 136 ° C. and a vapor pressure of 5 Torr at 20 ° C. Has In addition, bis (dimethyamino) silan has a molecular formula of H 2 Si (NMe 2 ) 2 , has a molecular weight of 118.26 and a chemical structure as shown in [Formula 2], and has a boiling point of 93 ° C. and a vapor pressure of 52.2 Torr at 20.1 ° C. In addition, tris (isopropylamino) silan has a molecular formula of HSi (NHiPr) 3 , has a molecular weight of 203.41 and a chemical structure as shown in [Formula 3], and has a boiling point of 165 ° C. and a vapor pressure of 1.2 mTorr at 15.3 ° C. Meanwhile, tris (ethylmethylamino) silan has a chemical structure as shown in [Formula 4].

또한, I2S2는 분자식이 H2Si(NHiPr)2이며, 146.31의 분자량과 [화학식 5]와 같은 화학 구조를 갖는다. H2S2는 분자식이 H2Si-(NHN=MeEt)2이며, 200.36의 분자량과 [화학식 6]와 같은 화학 구조를 갖는다. DH2S2는 분자식이 H2Si-(NHNMe2)2이며, 148.28의 분자량과 [화학식 7]와 같은 화학 구조를 갖는다. TDAS는 분자식이 HSi-(NMe2)3이며, 161.32의 분자량과 [화학식 8]와 같은 화학 구조를 갖는다. TEMS는 분자식이 HSi(NEtMe)3이며, 203.41의 분자량과 [화학식 9]와 같은 화학 구조를 가지 며, 188.5℃의 끓는점과 20℃에서 1.2mTorr의 증기압을 갖는다. THS는 분자식이 HSi-(NHN=MeEt)2이며, 284.48의 분자량과 [화학식 10]와 같은 화학 구조를 갖는다. TDHS는 분자식이 HSi-(NHNMe2)3이며, 206.37의 분자량과 [화학식 11]와 같은 화학 구조를 갖는다. HMDS는 분자식이 [Si(NMe)3]2이며, 320.63의 분자량과 [화학식 12]와 같은 화학 구조를 갖는다. HIDS는 분자식이 [Si(NHiPr)3]2이며, 404.80의 분자량과 [화학식 13]과 같은 화학 구조를 가지며, 254℃의 끓는점과 75에서 1.0mTorr의 증기압을 갖는다. HEMDS는 분자식이 [Si(NEtMe)3]2이며, 404.80의 분자량과 [화학식 14]와 같은 화학 구조를 가지며, 274.6℃의 끓는점과 96.1℃에서 1.0mTorr의 증기압을 갖는다. HYDS는 분자식이 [Si-(NHN=MeEt)]2이며, 566.95의 분자량과 [화학식 15]와 같은 화학 구조를 갖는다. DHYDS는 분자식이 [Si-(NHNMe2)3]2이며, 410.72의 분자량과 [화학식 16]와 같은 화학 구조를 갖는다. 또한, TAOS는 [화학식 17]과 같은 화학 구조를 갖고, Tri-AOS는 [화학식 18]과 같은 화학 구조를 갖는다.In addition, I2S2 has a molecular formula of H 2 Si (NHiPr) 2 , and has a molecular weight of 146.31 and a chemical structure as shown in [Formula 5]. H2S2 has a molecular formula of H 2 Si- (NHN = MeEt) 2 and has a molecular weight of 200.36 and a chemical structure as shown in [Formula 6]. DH2S2 has a molecular formula of H 2 Si- (NHNMe 2 ) 2 and has a molecular weight of 148.28 and a chemical structure as shown in [Formula 7]. TDAS has a molecular formula HSi- (NMe 2 ) 3 and has a molecular weight of 161.32 and a chemical structure as shown in [Formula 8]. TEMS has a molecular formula HSi (NEtMe) 3 , has a molecular weight of 203.41 and a chemical structure as shown in [Formula 9], and has a boiling point of 188.5 ° C. and a vapor pressure of 1.2 mTorr at 20 ° C. THS has a molecular formula of HSi- (NHN = MeEt) 2 and has a molecular weight of 284.48 and a chemical structure as shown in [Formula 10]. TDHS has a molecular formula of HSi- (NHNMe 2 ) 3 and has a molecular weight of 206.37 and a chemical structure as shown in [Formula 11]. HMDS has a molecular formula of [Si (NMe) 3 ] 2 , and has a molecular weight of 320.63 and a chemical structure of [Formula 12]. HIDS has a molecular formula [Si (NHiPr) 3 ] 2 , a molecular weight of 404.80 and a chemical structure such as [Formula 13], a boiling point of 254 ° C. and a vapor pressure of 1.0 mTorr at 75. HEMDS has a molecular formula [Si (NEtMe) 3 ] 2 , a molecular weight of 404.80 and a chemical structure as shown in [Formula 14], and a boiling point of 274.6 ° C. and a vapor pressure of 1.0 mTorr at 96.1 ° C. HYDS has a molecular formula of [Si- (NHN = MeEt)] 2 and has a molecular weight of 566.95 and a chemical structure as shown in [Formula 15]. DHYDS has a molecular formula [Si- (NHNMe 2 ) 3 ] 2 and has a molecular weight of 410.72 and a chemical structure as shown in [Formula 16]. In addition, TAOS has the chemical structure as shown in [Formula 17], Tri-AOS has the chemical structure as shown in [Formula 18].

Figure 112008035281056-PAT00001
Figure 112008035281056-PAT00001

Figure 112008035281056-PAT00002
Figure 112008035281056-PAT00002

Figure 112008035281056-PAT00003
Figure 112008035281056-PAT00003

Figure 112008035281056-PAT00004
Figure 112008035281056-PAT00004

Figure 112008035281056-PAT00005
Figure 112008035281056-PAT00005

Figure 112008035281056-PAT00006
Figure 112008035281056-PAT00006

Figure 112008035281056-PAT00007
Figure 112008035281056-PAT00007

Figure 112008035281056-PAT00008
Figure 112008035281056-PAT00008

Figure 112008035281056-PAT00009
Figure 112008035281056-PAT00009

Figure 112008035281056-PAT00010
Figure 112008035281056-PAT00010

Figure 112008035281056-PAT00011
Figure 112008035281056-PAT00011

Figure 112008035281056-PAT00012
Figure 112008035281056-PAT00012

Figure 112008035281056-PAT00013
Figure 112008035281056-PAT00013

Figure 112008035281056-PAT00014
Figure 112008035281056-PAT00014

Figure 112008035281056-PAT00015
Figure 112008035281056-PAT00015

Figure 112008035281056-PAT00016
Figure 112008035281056-PAT00016

Figure 112008035281056-PAT00017
Figure 112008035281056-PAT00017

Figure 112008035281056-PAT00018
Figure 112008035281056-PAT00018

하이드로카본 아미노 치환체를 포함하는 실리콘 전구체가 산소를 포함하는 가스와 반응하면 기판상에 Si(OH)4 상태로 산화막이 증착된다. 즉, 산화막은 Si(OH)4 상태로 증착되기 때문에 Si-O-H 및 H-O-H 결합을 포함하게 된다. 이는 본 발명에 따라 증착된 산화막의 파수(wavenumber)와 흡광율(absorbance)의 관계를 나타낸 FT-IR 측정 그래프인 도 2를 통해 알 수 있다. 즉, 도 2에 도시된 바와 같이 3750 파수에서는 Si-OH 결합을 포함하고, 3300 파수에서는 Si-O-H와 H-O-H 결합을 포함한다. 또한, 1140 및 1065 파수에서는 Si-O-Si 결합을 포함한다. 이후 Si(OH)4 상태로 증착된 산화막에 열처리 공정을 실시하면 H2O가 증발되면서 SiO2가 생성된다.When a silicon precursor including a hydrocarbon amino substituent reacts with a gas containing oxygen, an oxide film is deposited on a substrate in a Si (OH) 4 state. That is, since the oxide film is deposited in a Si (OH) 4 state, it includes Si-OH and HOH bonds. This can be seen from FIG. 2, which is a FT-IR measurement graph showing a relationship between wavenumber and absorbance of an oxide film deposited according to the present invention. That is, as shown in FIG. 2, the 3750 wavenumber includes Si-OH bonds, and the 3300 wavenumber includes Si-OH and HOH bonds. In addition, the 1140 and 1065 wavenumbers contain Si—O—Si bonds. Subsequently, when a heat treatment process is performed on the oxide film deposited in Si (OH) 4 state, SiO 2 is generated while H 2 O is evaporated.

하이드로카본 아미노 치환체를 포함하는 실리콘 전구체를 이용한 산화막은 상온∼350℃의 기판 온도와 0.1∼760Torr의 챔버 압력에서 형성된다. 또한, 하이드로카본 아미노 치환체를 포함하는 실리콘 전구체는 10∼2000sccm 정도의 유량으로 유입시키고, 산소를 포함하는 가스는 10∼500sccm의 유량으로 유입시키며, 캐리어 가스는 아르곤 또는 헬륨 등의 불활성 가스를 50∼1000sccm 정도의 유량으로 유입시킨다. 한편, 절연막 형성시 N2O 가스를 추가로 유입시킬 수 있는데, 이 경우 SiON막이 형성되며, SiON막은 반사 방지막으로 이용될 수 있다.An oxide film using a silicon precursor containing a hydrocarbon amino substituent is formed at a substrate temperature of room temperature to 350 ° C. and a chamber pressure of 0.1 to 760 Torr. The silicon precursor containing the hydrocarbon amino substituent is introduced at a flow rate of about 10 to 2000 sccm, the gas containing oxygen is introduced at a flow rate of 10 to 500 sccm, and the carrier gas is 50 to 50 inert gas such as argon or helium. Inflow at a flow rate of 1000 sccm. Meanwhile, N 2 O gas may be additionally introduced during the formation of the insulating film. In this case, a SiON film may be formed, and the SiON film may be used as an anti-reflection film.

그리고, 산화막의 막질을 치밀하게 하기 위한 열처리 공정은 산화막의 증착 두께 등에 따라 공정 조건을 변화시켜 실시할 수 있는데, 예를들어 N2 분위기와 300∼400℃의 온도에서 1분∼60분 동안 실시할 수 있다.The heat treatment process for densifying the film quality of the oxide film may be performed by changing the process conditions depending on the deposition thickness of the oxide film, for example, for 1 minute to 60 minutes in an N 2 atmosphere and a temperature of 300 to 400 ° C. can do.

상기한 조건에서 증착되는 절연막은 실리콘 전구체의 유입량, 산소 또는 오존 등의 반응 가스의 유입량, PECVD 장치의 경우 고주파 파워 또는 열 CVD 장치의 경우 히터의 온도 등에 따라 증착률(deposition rate)을 예를들어 5∼1000Å/min로 조절할 수 있다. 즉, 절연막의 증착률은 실리콘 소오스의 가스의 유입량이 동일할 경우 온도가 낮을수록, 압력이 높을수록, 그리고 반응 가스의 유입량이 많을수록 높아지게 된다.For example, the insulating film deposited under the above conditions may have a deposition rate depending on the inflow rate of the silicon precursor, the inflow rate of the reactive gas such as oxygen or ozone, the high frequency power in the PECVD apparatus, or the temperature of the heater in the thermal CVD apparatus. It can be adjusted from 5 to 1000 mW / min. That is, the deposition rate of the insulating film is increased when the temperature of the silicon source gas is the same, the lower the temperature, the higher the pressure, and the larger the amount of reaction gas inlet.

하이드로 카본 아미노 치환체를 포함하는 실리콘 전구체를 이용하여 증착되는 예를들어 1000Å 두께의 산화막은 1.45±0.02의 굴절률을 나타내고, 증착 후에는 장력(tensile)을 가지며, 열처리 후에는 압축력(compressive)을 갖게 된다. 따라서, 열처리 후에는 절연막의 막질이 치밀해지면서 수축되게 되는데, 지나친 수축은 스트레스, 크랙 등의 발생 원인이 된다. 이를 방지 하기 위해 수축률이 6% 미만의 절연막을 증착하는 것이 바람직하다. 수축률은 실리콘 전구체의 유입량, 산소 또는 오존등의 반응 가스의 유입량, PECVD 장치의 경우 고주파 파워 또는 열 CVD 장비의 경우 히터 온도에 따라 조절되기 때문에 이들을 적절히 조절하여 절연막의 수축률을 6% 미만으로 조절하는 것이 바람직하다.For example, an oxide film having a thickness of 1000 Å deposited using a silicon precursor including a hydrocarbon amino substituent exhibits a refractive index of 1.45 ± 0.02, has a tension after deposition, and a compressive strength after heat treatment. . Therefore, after heat treatment, the film quality of the insulating film becomes dense and shrinks, but excessive shrinkage causes stress, cracks, and the like. In order to prevent this, it is preferable to deposit an insulating film having a shrinkage of less than 6%. Since the shrinkage rate is controlled according to the inflow rate of silicon precursor, the inflow rate of reactive gas such as oxygen or ozone, the high frequency power in the case of PECVD, or the heater temperature in the case of thermal CVD equipment, the shrinkage of the insulating film is adjusted to less than 6% by appropriately controlling them. It is preferable.

한편, 절연막을 증착한 후 원격 플라즈마 발생부(51)를 이용하여 증착 챔버를 세정한다. 세정 가스로는 불소를 포함하는 가스를 이용하며, 3000∼7000W의 고주파 파워(power)를 인가하여 플라즈마를 발생시켜 세정한다.After the deposition of the insulating film, the deposition chamber is cleaned using the remote plasma generator 51. A gas containing fluorine is used as the cleaning gas, and plasma is generated by applying a high frequency power of 3000 to 7000 W.

한편, 상기 산화막은 산화막의 특성에 따라 PECVD 뿐만 아니라 열 CVD 또는 LPCVD 방식 등을 포함하는 모든 CVD 방식으로 형성될 수 있다.On the other hand, the oxide film may be formed by any CVD method, including not only PECVD but also thermal CVD or LPCVD depending on the characteristics of the oxide film.

상기와 같이 형성된 절연막, 특히 산화막은 게이트 산화막 또는 패드 산화막등에 이용될 수 있고, SiON막은 반사 방지막으로 이용될 수 있다.The insulating film formed above, in particular, an oxide film, may be used for a gate oxide film or a pad oxide film, and the SiON film may be used as an antireflection film.

이하에서는 본 발명에 따른 산화막을 트랜지스터의 게이트 산화막으로 적용하는 예를들어 설명하겠다.Hereinafter, an example in which the oxide film according to the present invention is applied as a gate oxide film of a transistor will be described.

도 3(a) 내지 도 3(d)는 본 발명의 일 실시 예에 따른 트랜지스터의 제조 방법을 설명하기 위해 순서적으로 도시한 소자의 단면도이다.3A to 3D are cross-sectional views of devices sequentially illustrated to explain a method of manufacturing a transistor according to an embodiment of the present invention.

도 3(a)를 참조하면, 단결정 반도체층을 갖는 SOI 기판 또는 단결정 반도체 웨이퍼를 포함하는 기판(110)상의 소정 영역에 소자 분리막(210)을 형성한다. 소자 분리막(210)은 STI 공정을 이용하여 형성하는 것이 바람직하다. 기판(110) 상부에 bis(methylethylamino)silan, bis(dimethyamino)silan, tris(isoprorylamino)silan 등의 하이드로카본 아미노 치환체가 포함된 실리콘 전구체를 이용하여 산화막(120)을 형성한다. 산화막(120)은 또한 상온∼350℃의 온도와 0.1∼760Torr의 압력에서 형성된다. 산화막(120)은 1000Å 이하의 두께로 형성되며, 산화막(120)의 두께레 따라 하이드로카본 아미노 치환체를 포함하는 실리콘 전구체는 10∼2000sccm의 유량으로 유입시키고, 산소 또는 오존 가스는 10∼500sccm의 유량으로 유입시킨다. 이때, 캐리어 가스는 아르곤 또는 헬륨 등의 불활성 가스를 50∼1000sccm 정도의 유량으로 유입시킨다. 이렇게 형성된 산화막(120)은 Si(OH)4 상태로 증착되며, Si-O-H 및 H-O-H 결합을 포함하게 된다.Referring to FIG. 3A, an isolation layer 210 is formed in a predetermined region on an SOI substrate having a single crystal semiconductor layer or a substrate 110 including a single crystal semiconductor wafer. The device isolation layer 210 may be formed using an STI process. An oxide film 120 is formed on the substrate 110 using a silicon precursor including a hydrocarbon amino substituent such as bis (methylethylamino) silan, bis (dimethyamino) silan, tris (isoprorylamino) silan, and the like. The oxide film 120 is also formed at a temperature of room temperature to 350 캜 and a pressure of 0.1 to 760 Torr. The oxide film 120 is formed to a thickness of 1000 kPa or less, depending on the thickness of the oxide film 120, the silicon precursor containing a hydrocarbon amino substituent is introduced at a flow rate of 10 to 2000 sccm, and the oxygen or ozone gas is flow rate of 10 to 500 sccm. Inflow to At this time, the carrier gas introduces an inert gas such as argon or helium at a flow rate of about 50 to 1000 sccm. The oxide film 120 thus formed is deposited in a Si (OH) 4 state and includes Si-OH and HOH bonds.

도 3(b)를 참조하면, 질소 분위기의 300∼400℃의 온도에서 열처리 공정을 실시하여 산화막(120)내의 H2O를 증발시킨다. 따라서, SiO2 성분의 게이트 산화 막(120A)이 형성된다.Referring to FIG. 3B, a heat treatment process is performed at a temperature of 300 to 400 ° C. in a nitrogen atmosphere to evaporate H 2 O in the oxide film 120. Thus, the gate oxide film 120A of the SiO2 component is formed.

도 3(c)를 참조하면, 게이트 산화막(120A) 상부에 폴리실리콘막, 금속막 등의 도전층(130)을 단일층 또는 적층 형성한 후 그 상부에 하드 마스크막(140)을 형성한다. 게이트 마스크를 이용한 사진 및 식각 공정으로 하드 마스크막(140) 및 도전층을 식각하여 게이트 전극을 형성한다.Referring to FIG. 3C, after forming a single layer or lamination of a conductive layer 130 such as a polysilicon film or a metal film on the gate oxide film 120A, a hard mask film 140 is formed thereon. The gate electrode is formed by etching the hard mask layer 140 and the conductive layer by a photolithography and an etching process using the gate mask.

도 3(d)를 참조하면, 전체 구조 상부에 산화막, 질화막 등의 절연막을 형성한 후 전면 식각하여 게이트 전극 측벽에 스페이서(150)를 형성한다. 이어서, 이온 주입 공정을 실시하여 게이트 전극 양측의 반도체 기판(110) 상에 접합부(160)를 형성한다.Referring to FIG. 3 (d), an insulating film such as an oxide film, a nitride film, or the like is formed over the entire structure, and then the spacer 150 is formed on the sidewall of the gate electrode by etching the entire surface. Subsequently, an ion implantation process is performed to form the junction 160 on the semiconductor substrate 110 at both sides of the gate electrode.

한편, 상기 공정에서 STI 공정을 이용한 소자 분리막 형성 공정에서 본 발명에 따른 산화막이 패드 산화막으로 이용될 수 있다. 즉, STI 공정을 이용한 소자 분리막 형성 공정은 기판 상부에 패드 산화막 및 패드 질화막을 형성한 후 소자 분리 마스크를 이용한 사진 및 식각 공정으로 패드 질화막 및 패드 산화막, 그리고 노출되는 기판을 소정 깊이로 식각하여 트렌치를 형성하고, 트렌치가 매립되도록 절연막을 형성하는 과정으로 진행된다. 이때, 상기 패드 산화막을 본 발명에 따른 하이드로카본 아미노 치환체가 포함된 실리콘 전구체를 이용하여 증착한 후 열처리 공정을 실시하여 형성할 수 있다. 뿐만 아니라 트렌치를 매립하는 절연막 또한 하이드로카본 아미노 치환체가 포함된 실리콘 전구체를 이용하여 증착한 후 열처리 공정을 실시하여 형성할 수 있다.Meanwhile, the oxide film according to the present invention may be used as the pad oxide film in the device isolation film forming process using the STI process. That is, in the device isolation layer forming process using the STI process, after forming a pad oxide film and a pad nitride film on the substrate, the trench is formed by etching the pad nitride film, the pad oxide film, and the exposed substrate to a predetermined depth by a photolithography and etching process using the device isolation mask. And forming an insulating film to fill the trench. In this case, the pad oxide layer may be formed by depositing the silicon oxide containing the hydrocarbon amino substituent according to the present invention and then performing a heat treatment process. In addition, the insulating film filling the trench may also be formed by depositing using a silicon precursor containing a hydrocarbon amino substituent and then performing a heat treatment process.

도 1은 본 발명의 일 실시 예에 따른 절연막 형성 공정에 이용되는 PECVD 장치의 개략 단면도.1 is a schematic cross-sectional view of a PECVD apparatus used in the insulating film forming process according to an embodiment of the present invention.

도 2는 본 발명에 따라 증착된 산화막의 파수(wavenumber)와 흡광율(absorbance)의 관계를 나타낸 그래프.2 is a graph showing the relationship between the wavenumber and the absorbance of an oxide film deposited according to the present invention.

도 3(a) 내지 도 3(d)는 본 발명에 따라 형성되는 산화막을 트랜지스터 제조 공정에 적용한 경우를 설명하기 위한 단면도.3 (a) to 3 (d) are cross-sectional views for explaining the case where an oxide film formed according to the present invention is applied to a transistor manufacturing process.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

110 : 반도체 기판 120 : 산화막110 semiconductor substrate 120 oxide film

120A : 게이트 산화막 130 : 도전층120A: gate oxide film 130: conductive layer

140 : 하드 마스크막 150 : 스페이서140: hard mask film 150: spacer

160 : 접합부 210 : 소자 분리막160: junction 210: device isolation film

Claims (9)

기판 상에 하이드로카본 아미노 치환체가 포함된 실리콘 전구체와 반응 가스를 이용하여 산화막을 형성하는 단계; 및Forming an oxide film on the substrate by using a silicon precursor containing a hydrocarbon amino substituent and a reaction gas; And 상기 산화막을 300 내지 400℃의 온도에서 열처리하는 단계를 포함하는 저온 CVD에 의한 산화막 증착 방법.The oxide film deposition method by low temperature CVD comprising the step of heat-treating the oxide film at a temperature of 300 to 400 ℃. 제 1 항에 있어서, 상기 실리콘 전구체는 하기 화학식 1 내지 화학식 16의 화학 구조를 갖는 물질중 적어도 어느 하나를 포함하는 저온 CVD에 의한 산화막 증착 방법.The method of claim 1, wherein the silicon precursor comprises at least one of materials having a chemical structure represented by Chemical Formulas 1 to 16 below. 화학식 1Formula 1
Figure 112008035281056-PAT00019
Figure 112008035281056-PAT00019
화학식 2Formula 2
Figure 112008035281056-PAT00020
Figure 112008035281056-PAT00020
화학식 3Formula 3
Figure 112008035281056-PAT00021
Figure 112008035281056-PAT00021
화학식 4Formula 4
Figure 112008035281056-PAT00022
Figure 112008035281056-PAT00022
화학식 5Formula 5
Figure 112008035281056-PAT00023
Figure 112008035281056-PAT00023
화학식 6Formula 6
Figure 112008035281056-PAT00024
Figure 112008035281056-PAT00024
화학식 7Formula 7
Figure 112008035281056-PAT00025
Figure 112008035281056-PAT00025
화학식 8Formula 8
Figure 112008035281056-PAT00026
Figure 112008035281056-PAT00026
화학식 9Formula 9
Figure 112008035281056-PAT00027
Figure 112008035281056-PAT00027
화학식 10Formula 10
Figure 112008035281056-PAT00028
Figure 112008035281056-PAT00028
화학식 11Formula 11
Figure 112008035281056-PAT00029
Figure 112008035281056-PAT00029
화학식 12Formula 12
Figure 112008035281056-PAT00030
Figure 112008035281056-PAT00030
화학식 13Formula 13
Figure 112008035281056-PAT00031
Figure 112008035281056-PAT00031
화학식 14Formula 14
Figure 112008035281056-PAT00032
Figure 112008035281056-PAT00032
화학식 15Formula 15
Figure 112008035281056-PAT00033
Figure 112008035281056-PAT00033
화학식 16Formula 16
Figure 112008035281056-PAT00034
Figure 112008035281056-PAT00034
제 1 항에 있어서, 상기 실리콘 전구체는 하기 화학식 17 및 화학식 18의 화학 구조를 갖는 물질중 적어도 어느 하나를 포함하는 저온 CVD에 의한 산화막 증착 방법.The method of claim 1, wherein the silicon precursor comprises at least one of materials having chemical structures of Formulas 17 and 18. 6. 화학식 17Formula 17
Figure 112008035281056-PAT00035
Figure 112008035281056-PAT00035
화학식 18Formula 18
Figure 112008035281056-PAT00036
Figure 112008035281056-PAT00036
제 1 항에 있어서, 상기 실리콘 전구체는 10 내지 2000sccm의 유량으로 유입되는 저온 CVD에 의한 산화막 증착 방법.The method of claim 1, wherein the silicon precursor is introduced at a flow rate of 10 to 2000 sccm. 제 1 항에 있어서, 상기 반응 가스는 산소 가스, 오존 가스 또는 산소 및 오존의 혼합 가스를 포함하며, 10 내지 500sccm의 유량으로 유입되는 저온 CVD에 의한 산화막 증착 방법.The method of claim 1, wherein the reaction gas comprises oxygen gas, ozone gas, or a mixed gas of oxygen and ozone, and flows at a flow rate of 10 to 500 sccm. 제 1 항에 있어서, 상기 실리콘 전구체의 캐리어 가스로 불활성 가스를 50 내지 1000sccm의 유량으로 더 유입시키는 저온 CVD에 의한 산화막 증착 방법.The method of claim 1, wherein the inert gas is further introduced into the carrier gas of the silicon precursor at a flow rate of 50 to 1000 sccm. 제 1 항에 있어서, 상기 산화막은 APCVD 방법 또는 LPCVD 방법으로 형성되는 저온 CVD에 의한 산화막 증착 방법.The method of claim 1, wherein the oxide film is formed by an APCVD method or an LPCVD method. 제 1 항에 있어서, 상기 열처리 공정은 N2 분위기에서 1분 내지 60분 동안 실시하는 저온 CVD에 의한 산화막 증착 방법.The method of claim 1, wherein the heat treatment is performed for 1 to 60 minutes in an N 2 atmosphere. 제 8 항에 있어서, 상기 산화막은 상기 열처리 공정후의 수축률이 6% 미만이 되도록 형성되는 저온 CVD에 의한 산화막 증착 방법.9. The method of claim 8, wherein the oxide film is formed so that the shrinkage ratio after the heat treatment step is less than 6%.
KR1020080046163A 2008-05-19 2008-05-19 Method of depositing an oxide film using a low temperature CVD KR101008490B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080046163A KR101008490B1 (en) 2008-05-19 2008-05-19 Method of depositing an oxide film using a low temperature CVD

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080046163A KR101008490B1 (en) 2008-05-19 2008-05-19 Method of depositing an oxide film using a low temperature CVD

Publications (2)

Publication Number Publication Date
KR20090120228A true KR20090120228A (en) 2009-11-24
KR101008490B1 KR101008490B1 (en) 2011-01-14

Family

ID=41603593

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080046163A KR101008490B1 (en) 2008-05-19 2008-05-19 Method of depositing an oxide film using a low temperature CVD

Country Status (1)

Country Link
KR (1) KR101008490B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120044725A (en) * 2010-10-28 2012-05-08 엘지이노텍 주식회사 Method of manufacturing light emitting device

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210094694A (en) 2020-01-21 2021-07-30 삼성전자주식회사 Substrate processing apparatus, material layer deposition apparatus, and apparatus for atmospheric pressure chemical vapor deposition

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120044725A (en) * 2010-10-28 2012-05-08 엘지이노텍 주식회사 Method of manufacturing light emitting device

Also Published As

Publication number Publication date
KR101008490B1 (en) 2011-01-14

Similar Documents

Publication Publication Date Title
TWI579916B (en) Novel gap fill integration with flowable oxide and cap oxide
US6846745B1 (en) High-density plasma process for filling high aspect ratio structures
US7629227B1 (en) CVD flowable gap fill
TW518693B (en) In situ deposition and integration of silicon nitride in a high density plasma reactor
JP5269093B2 (en) Silicon nitride film with low wet etching rate
US7790634B2 (en) Method for depositing and curing low-k films for gapfill and conformal film applications
US7780865B2 (en) Method to improve the step coverage and pattern loading for dielectric films
US7297608B1 (en) Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
TW202334478A (en) Method of topology-selective film formation of silicon oxide
US7915139B1 (en) CVD flowable gap fill
US20130260564A1 (en) Insensitive dry removal process for semiconductor integration
JP4050655B2 (en) Insulating film of semiconductor device having multilayer nanolaminate structure and method for forming the same
US6903031B2 (en) In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20060046508A1 (en) Silicon oxide gapfill deposition using liquid precursors
US20140186544A1 (en) Metal processing using high density plasma
US20110151142A1 (en) Pecvd multi-step processing with continuous plasma
TW200403726A (en) Low temperature dielectric deposition using aminosilane and ozone
TWI692008B (en) Cyclic sequential processes for forming high quality thin films
US20070054505A1 (en) PECVD processes for silicon dioxide films
WO2007118026A2 (en) Step coverage and pattern loading for dielectric films
US7189639B2 (en) Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
TW202120729A (en) Compositions and methods using same for deposition of silicon-containing film
KR101008490B1 (en) Method of depositing an oxide film using a low temperature CVD
KR100888186B1 (en) Method of forming an insulating film
KR101487762B1 (en) Method of forming an insulating film

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131206

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151208

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee