KR20090110612A - Backside illuminated image sensor and method for manufacturing the same - Google Patents

Backside illuminated image sensor and method for manufacturing the same Download PDF

Info

Publication number
KR20090110612A
KR20090110612A KR1020080036203A KR20080036203A KR20090110612A KR 20090110612 A KR20090110612 A KR 20090110612A KR 1020080036203 A KR1020080036203 A KR 1020080036203A KR 20080036203 A KR20080036203 A KR 20080036203A KR 20090110612 A KR20090110612 A KR 20090110612A
Authority
KR
South Korea
Prior art keywords
film
substrate
image sensor
layer
alignment key
Prior art date
Application number
KR1020080036203A
Other languages
Korean (ko)
Other versions
KR101053768B1 (en
Inventor
표성규
Original Assignee
크로스텍 캐피탈, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 크로스텍 캐피탈, 엘엘씨 filed Critical 크로스텍 캐피탈, 엘엘씨
Priority to KR1020080036203A priority Critical patent/KR101053768B1/en
Priority to US12/289,901 priority patent/US8212328B2/en
Publication of KR20090110612A publication Critical patent/KR20090110612A/en
Application granted granted Critical
Publication of KR101053768B1 publication Critical patent/KR101053768B1/en
Priority to US13/525,851 priority patent/US8772072B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1876Particular processes or apparatus for batch treatment of the devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

PURPOSE: A backside-irradiated image sensor and a manufacturing method thereof are provided to facilitate a back grinding process control by controlling a rear side grinding target of a substrate in a back grinding process. CONSTITUTION: A backside-irradiated image sensor includes a light receiving device, an interlayer insulation film(108A), an aligning key(112), a wiring layer, a protective layer(124), a pad(125), a light scattering preventing film(126A), a color filter(128), and a micro lens(130). The light receiving device is formed inside a first substrate(100C). The interlayer insulation film includes a light receiving device, and is formed on the first substrate. The aligning key is separated from the light receiving device, and penetrates the interlayer insulation film and the first substrate.

Description

후면 조사 이미지 센서 및 그 제조방법{BACKSIDE ILLUMINATED IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME}BACKSIDE ILLUMINATED IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME}

본 발명은 반도체 제조 기술에 관한 것으로, 특히 이미지 센서 및 그 제조방법, 더욱 구체적으로 후면 조사(backside illuminated) 이미지 센서 및 그 제조방법에 관한 것이다.TECHNICAL FIELD The present invention relates to semiconductor manufacturing technology, and more particularly, to an image sensor and a method for manufacturing the same, and more particularly to a backside illuminated image sensor and a method for manufacturing the same.

일반적으로, CMOS(Complementary Metal Oxide Semiconductor) 이미지 센서는 한정된 면적의 칩 내에 수광 소자부, 디지탈 제어 블럭, 아날로그-디지탈 변환기 등과 같은 주변회로를 함께 배치하기 때문에 칩 면적당 화소 어레이(pixel array)의 면적비가 40% 내외로 한정되어 있다. 또한, 고화질을 구현하기 위해 화소 크기가 감소하게 되고, 이에 따라 하나의 수광 소자에서 받아들일 수 있는 빛의 양이 감소하게 되어 잡음 증가 등에 따른 이미지 손실 등의 여러 가지 문제점이 발생되고 있다. In general, a complementary metal oxide semiconductor (CMOS) image sensor has a peripheral area such as a light receiving element unit, a digital control block, an analog-to-digital converter, and the like in a limited area chip, so that the area ratio of the pixel array per chip area is high. It is limited to around 40%. In addition, the pixel size is reduced in order to achieve high quality, and thus, the amount of light that can be received by one light receiving element is reduced, resulting in various problems such as image loss due to increased noise.

따라서, 본 발명은 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 웨이퍼 후면으로부터 빛을 비추는 후면 조사 이미지 센서 및 그의 제조방법을 제공하는데 그 목적이 있다. Accordingly, the present invention has been proposed to solve the problems of the prior art, and an object thereof is to provide a back-illuminated image sensor and a method of manufacturing the same that emit light from the back of the wafer.

상기한 목적을 달성하기 위한 일 측면에 따른 본 발명은, 제1 기판 내에 형성된 수광소자와, 상기 수광소자를 포함하는 상기 제1 기판 상에 형성된 층간 절연막과, 상기 수광소자와 이격되어 상기 층간 절연막과 상기 제1 기판을 관통하여 형성된 정렬키와, 상기 층간 절연막 상에 다층으로 형성되고, 최하부층의 배면이 상기 정렬키와 접속된 배선층과, 상기 배선층을 덮도록 형성된 보호층과, 상기 제1 기판의 배면 상에 국부적으로 형성되어 상기 정렬키의 배면과 접속된 패드와, 상기 패드를 포함하는 상기 제1 기판의 배면 상에 형성된 광 산란 방지막과, 상기 수광소자와 대응되도록 상기 광 산란 방지막 상에 형성된 칼라필터 및 마이크로 렌즈를 포함하는 후면 조사 이미지 센서를 제공한다.According to an aspect of the present invention, there is provided a light receiving device formed in a first substrate, an interlayer insulating film formed on the first substrate including the light receiving device, and the interlayer insulating film spaced apart from the light receiving device. And an alignment key formed through the first substrate, a wiring layer formed on a plurality of layers on the interlayer insulating film and having a rear surface of a lowermost layer connected to the alignment key, a protective layer formed to cover the wiring layer, and the first layer. A pad formed locally on the rear surface of the substrate and connected to the rear surface of the alignment key, an anti-scattering film formed on the rear surface of the first substrate including the pad, and on the light scattering prevention film so as to correspond to the light receiving element; It provides a back-illuminated image sensor comprising a color filter and a micro lens formed in the.

또한, 상기한 목적을 달성하기 위한 다른 측면에 따른 본 발명은, 제1 기판 내에 형성된 수광소자와, 상기 수광소자를 포함하는 상기 제1 기판 상에 형성된 층간 절연막과, 상기 수광소자와 이격되어 상기 층간 절연막과 상기 제1 기판을 관통하여 형성된 정렬키와, 상기 층간 절연막 상에 다층으로 형성되고, 최하부층의 배면이 노출된 배선층과, 상기 배선층을 덮도록 형성된 보호층과, 상기 제1 기판의 배면 상에 형성된 광 산란 방지막과, 상기 수광소자와 대응되도록 상기 광 산란 방지막 상에 형성된 칼라필터 및 마이크로 렌즈를 포함하는 후면 조사 이미지 센서를 제공한다.According to another aspect of the present invention, there is provided a light receiving device formed in a first substrate, an interlayer insulating film formed on the first substrate including the light receiving device, and spaced apart from the light receiving device. An alignment key formed through the interlayer insulating film and the first substrate, a wiring layer formed in multiple layers on the interlayer insulating film, and having a bottom surface of the lowermost layer exposed, a protective layer formed to cover the wiring layer, and the first substrate. The present invention provides a backside irradiation image sensor including a light scattering prevention film formed on the rear surface and a color filter and a micro lens formed on the light scattering prevention film so as to correspond to the light receiving element.

또한, 상기한 목적을 달성하기 위한 또 다른 측면에 따른 본 발명은, 제1 기판 내에 수광소자를 형성하는 단계와, 상기 수광소자를 포함하는 상기 제1 기판 상에 층간 절연막을 형성하는 단계와, 상기 층간 절연막과 상기 제1 기판을 일부 식각하여 비아홀을 형성하는 단계와, 상기 비아홀이 매립되도록 정렬키를 형성하는 단계와, 상기 정렬키를 포함하는 상기 제1 기판 상에 다층의 배선층을 형성하는 단계와, 상기 배선층을 덮도록 보호층을 형성하는 단계와, 상기 보호층에 제2 기판을 접합하는 단계와, 상기 제1 기판의 배면으로 상기 정렬키의 배면을 노출시키는 단계와, 상기 정렬키의 배면과 접속되도록 상기 제1 기판의 배면에 국부적으로 패드를 형성하는 단계와, 상기 패드를 포함하는 상기 제1 기판의 배면에 광 산란 방지막을 형성하는 단계와, 상기 수광소자와 대응되도록 상기 광 산란 방지막 상에 칼라필터 및 마이크로 렌즈를 형성하는 단계를 포함하는 후면 조사 이미지 센서의 제조방법을 제공한다.In addition, the present invention according to another aspect for achieving the above object, forming a light receiving element in the first substrate, forming an interlayer insulating film on the first substrate including the light receiving element, Forming a via hole by partially etching the interlayer insulating film and the first substrate, forming an alignment key to fill the via hole, and forming a multilayer wiring layer on the first substrate including the alignment key. Forming a protective layer to cover the wiring layer; bonding a second substrate to the protective layer; exposing a rear surface of the alignment key to the rear surface of the first substrate; Forming a pad locally on a rear surface of the first substrate so as to be connected to a rear surface of the first substrate, and forming a light scattering prevention layer on a rear surface of the first substrate including the pads; It provides a method of manufacturing a back-illuminated image sensor comprising the step of forming a color filter and a micro lens on the light scattering prevention film so as to correspond to the light receiving element.

또한, 상기한 목적을 달성하기 위한 또 다른 측면에 따른 본 발명은, 제1 기판 내에 수광소자를 형성하는 단계와, 상기 수광소자를 덮도록 상기 제1 기판 상에 층간 절연막을 형성하는 단계와, 상기 층간 절연막과 상기 제1 기판을 일부 식각하여 비아홀을 형성하는 단계와, 상기 비아홀이 매립되도록 정렬키를 형성하는 단계와, 상기 정렬키를 포함하는 상기 제1 기판 상에 다층의 배선층을 형성하는 단계 와, 상기 배선층을 덮도록 보호층을 형성하는 단계와, 상기 보호층에 제2 기판을 접합하는 단계와, 상기 제1 기판의 배면으로 상기 정렬키의 배면을 노출시키는 단계와, 상기 정렬키를 포함하여 상기 제1 기판의 배면에 광 산란 방지막을 형성하는 단계와, 상기 광 산란 방지막, 상기 제1 기판 및 상기 층간 절연막을 일부 식각하여 상기 배선층 중 최하부층의 배면을 노출시키는 단계와, 상기 수광소자와 대응되도록 상기 광 산란 방지막 상에 칼라필터 및 마이크로 렌즈를 형성하는 단계를 포함하는 후면 조사 이미지 센서의 제조방법을 제공한다.In addition, the present invention according to another aspect for achieving the above object, forming a light receiving element in the first substrate, forming an interlayer insulating film on the first substrate to cover the light receiving element, Forming a via hole by partially etching the interlayer insulating film and the first substrate, forming an alignment key to fill the via hole, and forming a multilayer wiring layer on the first substrate including the alignment key. Forming a protective layer to cover the wiring layer; bonding a second substrate to the protective layer; exposing a rear surface of the alignment key to the rear surface of the first substrate; Forming a light scattering prevention film on a rear surface of the first substrate, including partially etching the light scattering prevention film, the first substrate, and the interlayer insulating film; A step of exposing the back surface, and provides a process for the production of the back illuminated image sensor comprising the step of forming a color filter and a microlens on the light scattering film to correspond with the light-receiving element.

이상에서 설명한 구성을 갖는 본 발명에 의하면, 다음과 같은 효과들을 얻을 수 있다. According to the present invention having the configuration described above, the following effects can be obtained.

첫째, 본 발명에 의하면, 기판(웨이퍼)의 배면으로부터 빛을 비추는 후면 조사 이미지 센서를 제공함으로써 종래기술에 따른 CMOS 이미지 센서(전면 조사 이미지 센서)에 비해 수광 소자로 입사되는 빛의 손실을 최소화하여 수광 수율을 개선시킬 수 있다.First, according to the present invention, by providing a back-illuminated image sensor that shines light from the back of the substrate (wafer) to minimize the loss of light incident to the light receiving element compared to the conventional CMOS image sensor (front-illuminated image sensor) The light receiving yield can be improved.

둘째, 본 발명에 의하면, 백 그라인딩(back grinding) 공정을 적용하는 후면 조사 이미지 센서의 제조방법에 있어서, 기판의 배면을 그라인딩하는 백 그라인딩 공정 전에 기판 내에 비아홀 형태의 정렬 키를 형성하고, 이를 이용하여 백 그라인딩 공정시 기판의 배면 그라인딩 타겟(target)을 제어함으로써 백 그라인딩 공정 제어가 용이하다. Second, according to the present invention, in the method of manufacturing a back-illuminated image sensor applying a back grinding process, a via-hole alignment key is formed in the substrate before the back grinding process of grinding the back surface of the substrate, and using the same. Therefore, the back grinding process can be easily controlled by controlling the back grinding target of the substrate during the back grinding process.

셋째, 본 발명에 의하면, 정렬키의 상면은 기판 전면에 형성된 배선층과 접 속하고, 배면은 기판의 배면으로 노출되어 패드와 접속하여 정렬키를 패드와 배선층을 연결하는 콘택 플러그로 사용함으로써 패드를 전면이 아닌 기판 배면에 배치하는 것이 가능하여, 패키징(packaging) 공정시 다양한 설계가 가능하도록 제공한다. Third, according to the present invention, the upper surface of the alignment key is in contact with the wiring layer formed on the front surface of the substrate, and the rear surface is exposed to the rear surface of the substrate so as to connect with the pad and use the alignment key as a contact plug for connecting the pad and the wiring layer. It can be placed on the back of the substrate rather than on the front, providing a variety of designs for the packaging process.

넷째, 본 발명에 의하면, 기판(웨이퍼)의 배면으로부터 빛을 비추는 후면 조사 이미지 센서에 있어서, 기판의 배면에 입사되는 광의 산란을 방지하는 광 산란 방지막을 형성함으로써 포토 다이오드로의 광집광율을 높여 수광수율을 향상시킬 수 있다. Fourthly, according to the present invention, in the back-illuminated image sensor that shines light from the back side of the substrate (wafer), a light scattering prevention film for preventing the scattering of light incident on the back side of the substrate is formed to increase the light concentrating ratio to the photodiode. The light reception yield can be improved.

이하에서는, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 구체적으로 설명한다. 또한, 도면들에 있어서, 층 및 영역들의 두께와 간격은 설명의 편의와 명확성을 기하기 위하여 과장되어진 것이며, 층이 다른 층 또는 기판 '상' 또는 '상부'에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나, 또는 그들 사이에 제3의 층이 개재될 수도 있다. 또한, 명세서 전체에 걸쳐서 동일한 도면번호로 표시된 부분은 동일한 층을 나타내며, 각 도면번호에 영문을 포함하는 경우 동일층이 식각 또는 연마공정을 통해 일부가 변형된 것을 의미한다. 또한, 제1 및 제2 도전형은 p형 또는 n형으로 서로 다른 도전형을 의미한다. Hereinafter, with reference to the accompanying drawings, the most preferred embodiment of the present invention will be described in detail. In addition, in the drawings, the thicknesses and spacings of layers and regions are exaggerated for ease of explanation and clarity, and when referred to as being on or above another layer or substrate, it is different. It may be formed directly on the layer or the substrate, or a third layer may be interposed therebetween. In addition, the parts denoted by the same reference numerals throughout the specification represents the same layer, and when the reference numerals include the English, it means that the same layer is partially modified through an etching or polishing process. In addition, the first and second conductivity types are p-type or n-type means different conductivity types.

실시예1Example 1

도 1은 본 발명의 실시예1에 따른 후면 조사 이미지 센서를 설명하기 위하여 도시한 단면도이다. 여기서는, 설명의 편의를 위해 일례로 CMOS 이미지 센서의 단위 화소 중에서 포토 다이오드와 드라이빙 트랜지스터의 게이트 전극만을 도시하였다.1 is a cross-sectional view for explaining a back-illuminated image sensor according to Embodiment 1 of the present invention. For convenience of explanation, only the photodiode and the gate electrode of the driving transistor are shown among the unit pixels of the CMOS image sensor.

도 1에 도시된 바와 같이, 본 발명의 실시예1에 따른 후면 조사 이미지 센서는 소자 웨이퍼(device wafer)(포토 다이오드와 같은 수광소자가 형성되는 웨이퍼)와 핸들 웨이퍼(handle wafer, 200)(디지탈 블록, 아날로그 디지탈 변환기 등 주변회로가 형성되는 웨이퍼)가 접합된 구조로 이루어진다. 이하에서는 설명의 편의를 위해 소자 웨이퍼는 제1 기판이라 명명하고, 핸들 웨이퍼는 제2 기판이라 명명하기로 한다. As shown in FIG. 1, the back-illuminated image sensor according to Embodiment 1 of the present invention includes a device wafer (a wafer on which a light receiving element such as a photodiode is formed) and a handle wafer 200 (digital). A wafer in which a peripheral circuit such as a block or an analog digital converter is formed) is bonded to each other. Hereinafter, for convenience of description, the device wafer will be referred to as a first substrate, and the handle wafer will be referred to as a second substrate.

구체적으로, 본 발명의 실시예1에 따른 후면 조사 이미지 센서는 제1 기판(100C) 내에 형성된 수광소자, 예컨대 포토 다이오드(106)와, 포토 다이오드(106)를 포함하는 제1 기판(100C) 상에 형성된 층간 절연막(108A)과, 포토 다이오드(106)와 이격되어 층간 절연막(108A)과 제1 기판(100C)을 관통하여 형성된 정렬키(align key, 112)와, 층간 절연막(108A) 상에 다층으로 형성되고, 최하부층(113)의 배면이 정렬키(112)와 접속된 배선층(113, 116, 119, 122)과, 배선층(113, 116, 119, 122)을 덮도록 형성된 보호층(124)과, 제1 기판(100C)의 배면 상에 국부적으로 형성되어 정렬키(112)의 배면과 접속된 패드(125)와, 패드(125)를 포함하는 제1 기판(100C)의 배면 상에 형성된 광 산란 방지막(126A)과, 포토 다이오드(106)와 대응(중첩)되도록 광 산란 방지막(126A) 상에 형성된 칼라필터 및 마이크로 렌즈(128, 130)를 포함한다. Specifically, the back-illuminated image sensor according to the first embodiment of the present invention includes a light receiving element formed in the first substrate 100C, for example, a photodiode 106 and an image on the first substrate 100C including the photodiode 106. Formed on the interlayer insulating film 108A, the photodiode 106, and an alignment key 112 formed through the interlayer insulating film 108A and the first substrate 100C, and on the interlayer insulating film 108A. A protective layer formed in multiple layers and formed so as to cover the wiring layers 113, 116, 119, 122 connected to the alignment key 112, and the back surface of the lowermost layer 113, and the wiring layers 113, 116, 119, 122. 124, a pad 125 formed locally on the rear surface of the first substrate 100C and connected to the rear surface of the alignment key 112, and on the rear surface of the first substrate 100C including the pad 125. A color filter and a microlens 128 formed on the light scattering prevention film 126A formed on the light scattering prevention film 126A so as to correspond (overlap) with the photodiode 106. 130).

제1 및 제2 기판(100C, 200)은 각각 벌크(bulk) 기판, 에피텍셜(epitaxial) 기판 또는 SOI(Silicon On Insulator) 기판 중 선택된 어느 하나의 기판을 사용할 수 있다. 바람직하게, 제1 기판(100C)은 소자 특성을 고려하여 반도체층/매몰 산화층/반도체층이 적층된 SOI 기판을 사용하고, 제2 기판(200)은 비교적 저렴한 벌크 기판을 사용한다. The first and second substrates 100C and 200 may use any one selected from a bulk substrate, an epitaxial substrate, or a silicon on insulator (SOI) substrate, respectively. Preferably, the first substrate 100C uses an SOI substrate in which semiconductor layers / buried oxide layers / semiconductor layers are stacked in consideration of device characteristics, and the second substrate 200 uses a relatively inexpensive bulk substrate.

정렬키(112)는 복수 개로 형성되며, 복수 개의 정렬키(112)는 하나의 패드(125)와 접속된다. 또한, 정렬키(112)는 상면이 배선층(113, 116, 119, 122) 중 최하부층(113)과 접속되어, 패드(125)로부터 인가되는 신호(전압)를 배선층(113, 116, 119, 122)으로 전달한다. 이러한 정렬키(112)는 전도성 물질, 예컨대 금속 또는 합금막으로 형성될 수 있다. 또한, 정렬키(112)는 원형(타원형 포함) 또는 다각형(삼각형, 사각형, 오각형 등)으로 형성되며, 그 개수와 크기(폭)는 제한을 두지 않는다. The alignment keys 112 may be formed in plural, and the plurality of alignment keys 112 may be connected to one pad 125. In addition, the alignment key 112 has an upper surface connected to the lowermost layer 113 among the wiring layers 113, 116, 119, and 122, so that the signal (voltage) applied from the pad 125 is applied to the wiring layers 113, 116, 119, and the like. 122). The alignment key 112 may be formed of a conductive material such as a metal or an alloy film. In addition, the alignment key 112 is formed in a circle (including ellipse) or polygon (triangle, square, pentagon, etc.), the number and size (width) is not limited.

광 산란 방지막(126A)은 굴절률이 서로 다른 물질이 적층된 다층막으로 형성할 수 있다. 예컨대, 산화막과 질화막이 적층된 적층막(산화막/질화막 또는 질화막/산화막), 산화막과 탄소(carbon)가 함유된 막(SiC)이 적층된 적층막(산화막/SiC 또는 SiC/산화막)으로 형성한다. 이때, 산화막은 BPSG(BoroPhosphoSilicate Glass), PSG(PhosphoSilicate Glass), BSG(BoroSilicate Glass), USG(Un-doped Silicate Glass), TEOS(Tetra Ethyle Ortho Silicate) 또는 HDP(High Density Plasma)막 중 선택된 어느 하나의 막으로 형성할 수 있다. 질화막은 실리콘질화 막(SixNy, 여기서, x, y는 자연수) 또는 실리콘산화질화막(SixOyNz, 여기서, x, y는 자연수)으로 형성할 수 있다. 또한, 질화막은 실리콘질화막에서 비교적 안정화 상태로 결합된 Si3N4 대비 N-H 결합이 많은 N-H 부화(rich) 질화막으로 형성할 수 있다. 또한, 질화막 또는 SiC은 얇은 두께로 형성한다. 바람직하게 산화막은 1000~10000Å의 두께로 형성하고, 질화막 또는 SiC은 100~5000Å의 두께로 형성한다. The light scattering prevention film 126A may be formed of a multilayer film in which materials having different refractive indices are stacked. For example, it is formed of a laminated film (oxidized film / nitride film or nitride film / oxide film) in which an oxide film and a nitride film are laminated, and a laminated film (oxide film / SiC or SiC / oxide film) in which an oxide film and carbon-containing film (SiC) are laminated. . In this case, the oxide film is any one selected from BPSG (BoroPhosphoSilicate Glass), PSG (PhosphoSilicate Glass), BSG (BoroSilicate Glass), USG (Un-doped Silicate Glass), TEOS (Tetra Ethyle Ortho Silicate), or HDP (High Density Plasma) film. It can be formed into a film. The nitride film may be formed of a silicon nitride film (Si x N y , where x and y are natural numbers) or a silicon oxynitride film (Si x O y N z , where x and y are natural numbers). In addition, the nitride film may be formed as an NH rich nitride film having more NH bonds than Si 3 N 4 bonded in a relatively stable state in the silicon nitride film. Further, the nitride film or SiC is formed to a thin thickness. Preferably, the oxide film is formed to a thickness of 1000 to 10000 kPa, and the nitride film or SiC is formed to a thickness of 100 to 5000 kPa.

또한, 본 발명의 실시예1에 따른 후면 조사 이미지 센서는 정렬키(112)의 외측벽을 둘러싸도록 형성된 장벽층(미도시)을 더 포함한다. 이때, 장벽층은 금속물질 또는 절연물질로 형성될 수 있다. 예컨대, 금속물질은 Ti과 TiN이 적층된 적층막(Ti/TiN)으로 이루어진다. 절연물질은 질화막(예컨대, 실리콘질화막), 산화막(실리콘산화막) 또는 이들이 적층된 적층막(산화막/질화막)으로 이루어진다. In addition, the backside illumination image sensor according to Embodiment 1 of the present invention further includes a barrier layer (not shown) formed to surround the outer wall of the alignment key 112. In this case, the barrier layer may be formed of a metal material or an insulating material. For example, the metal material is formed of a laminated film (Ti / TiN) in which Ti and TiN are stacked. The insulating material is composed of a nitride film (eg, silicon nitride film), an oxide film (silicon oxide film), or a laminated film (oxide film / nitride film) on which they are laminated.

또한, 본 발명의 실시예1에 따른 후면 조사 이미지 센서는 포토 다이오드(106)에 집광된 광신호를 전달 및 처리(증폭)하기 위한 복수 개의 트랜지스터를 더 포함한다. 일례로, 복수 개의 트랜지스터 중 드라이빙 트랜지스터는 제1 기판(100C)과 층간 절연막(108A) 사이에 형성된 게이트 전극(104)과, 게이트 전극(104)의 양측으로 노출된 제1 기판(100C) 내에 형성된 소스 및 드레인 영역(107)을 포함한다. In addition, the back-illuminated image sensor according to Embodiment 1 of the present invention further includes a plurality of transistors for transmitting and processing (amplifying) the optical signal focused on the photodiode 106. For example, the driving transistor among the plurality of transistors is formed in the gate electrode 104 formed between the first substrate 100C and the interlayer insulating film 108A, and in the first substrate 100C exposed to both sides of the gate electrode 104. Source and drain regions 107.

이하, 본 발명의 실시예1에 따른 후면 조사 이미지 센서의 제조방법을 설명하기로 한다. Hereinafter, a manufacturing method of a backside illuminated image sensor according to Embodiment 1 of the present invention will be described.

도 2a 내지 도 2k는 본 발명의 실시예1에 따른 후면 조사 이미지 센서의 제조방법을 도시한 공정 단면도이다. 여기서는 일례로 SOI 기판을 예로 들어 설명하기로 한다. 2A to 2K are cross-sectional views illustrating a method of manufacturing a backside illuminated image sensor according to Embodiment 1 of the present invention. As an example, an SOI substrate will be described as an example.

먼저, 도 2a에 도시된 바와 같이, 제1 기판(100), 예컨대 SOI 기판을 준비한다. SOI 기판은 제1 반도체층(100-1), 매몰 산화층(100-2) 및 제2 반도체층(100-3)으로 이루어진다. 이때, 제2 반도체층(100-3)은 제1 도전형 또는 제2 도전형으로 도핑할 수 있다. 예컨대, 제1 도전형으로 도핑한다. 또한, 매몰 산화층(100-2)은 500~10000Å 두께로 형성하고, 제2 반도체층(100-3)은 1~10㎛ 정도의 두께로 형성할수 있다. First, as shown in FIG. 2A, a first substrate 100, for example, an SOI substrate, is prepared. The SOI substrate includes the first semiconductor layer 100-1, the buried oxide layer 100-2, and the second semiconductor layer 100-3. In this case, the second semiconductor layer 100-3 may be doped with the first conductive type or the second conductive type. For example, it is doped with a first conductivity type. In addition, the buried oxide layer 100-2 may be formed to a thickness of 500 to 10000 GPa, and the second semiconductor layer 100-3 may be formed to a thickness of about 1 to 10 μm.

이어서, 제1 기판(100) 내에 국부적으로 소자 분리막(101)을 형성한다. 이때, 소자 분리막(101)은 STI(Shallow Trench Isolation) 공정 또는 LOCOS(LOCal Oxidation of Silicon) 공정으로 형성할 수도 있으나, 동도면에서와 같이 고집적화에 유리한 STI 공정으로 형성하는 것이 바람직하다. STI 공정을 적용하는 경우 높은 종횡비에서도 매립 특성이 우수한 고밀도 플라즈마(High Density Plasma, 이하 HDP라 함)막 또는 HDP막과 SOD(Spin On Dielectric)막의 적층막으로 형성할 수 있다. Subsequently, the device isolation layer 101 is locally formed in the first substrate 100. In this case, the device isolation layer 101 may be formed by a shallow trench isolation (STI) process or a LOCOS (LOCal Oxidation of Silicon) process. However, the device isolation layer 101 may be formed by an STI process that is advantageous for high integration, as shown in FIG. When the STI process is applied, it may be formed of a high density plasma (HDP) film having excellent embedding characteristics even at a high aspect ratio or a laminated film of an HDP film and a spin on dielectric (SOD) film.

이어서, 제1 기판(100) 상에 게이트 절연막(102) 및 게이트 도전막(103)을 형성한 후 이들을 식각하여 드라이빙 트랜지스터의 게이트 전극(104)을 형성한다. 이와 동시에 도시되진 않았지만 CMOS 이미지 센서의 단위 화소를 구성하는 트랜스퍼 트랜지스터, 리셋 트랜지스터, 셀렉트 트랜지스터의 게이트 전극 또한 함께 형 성할 수 있다. Subsequently, the gate insulating layer 102 and the gate conductive layer 103 are formed on the first substrate 100 and then etched to form the gate electrode 104 of the driving transistor. At the same time, although not shown, the gate electrodes of the transfer transistor, reset transistor, and select transistor constituting the unit pixel of the CMOS image sensor may also be formed.

이어서, 게이트 전극(104)의 양측벽에 스페이서(spacer, 105)를 형성할 수 있다. 이때, 스페이서(105)는 산화막, 질화막 또는 이들이 적층된 적층막으로 형성할 수 있다. Subsequently, spacers 105 may be formed on both sidewalls of the gate electrode 104. In this case, the spacer 105 may be formed of an oxide film, a nitride film, or a laminated film in which they are stacked.

한편, 스페이서(105) 형성 전에 게이트 전극(104) 양측으로 노출되는 제1 기판(100) 내에 제2 도전형으로 도핑된 LDD(Lightly Doped Drain) 영역(미도시)을 형성할 수도 있다. Meanwhile, a lightly doped drain (LDD) region (not shown) doped with a second conductivity type may be formed in the first substrate 100 exposed to both sides of the gate electrode 104 before the spacer 105 is formed.

이어서, 제1 기판(100) 내에 이온주입공정을 실시하여 수광소자인 포토 다이오드(106)를 형성한다. 이때, 포토 다이오드(106)는 제2 도전형으로 저농도로 도핑한다.Subsequently, an ion implantation process is performed in the first substrate 100 to form a photodiode 106 that is a light receiving element. At this time, the photodiode 106 is doped with low concentration to the second conductivity type.

이어서, 스페이서(105) 양측으로 노출되는 제1 기판(100) 내에 제2 도전형으로 고농도로 도핑된 소스 및 드레인 영역(107)을 형성한다. 이때, 소스 및 드레인 영역(107)은 LDD 영역과 포토 다이오드(106)보다 높은 도핑 농도를 갖도록 형성한다. Next, a source and drain region 107 heavily doped with a second conductivity type is formed in the first substrate 100 exposed to both sides of the spacer 105. In this case, the source and drain regions 107 are formed to have a higher doping concentration than the LDD region and the photodiode 106.

이어서, 포토 다이오드(106)의 표면잡음을 방지하기 위하여 포토 다이오드(106)의 상부 표면을 덮도록 제1 도전형으로 도핑된 도핑영역(미도시)을 더 형성할 수도 있다. Subsequently, a doped region (not shown) that is doped with a first conductivity type may be further formed to cover the upper surface of the photodiode 106 to prevent surface noise of the photodiode 106.

한편, 상기 제조방법에서는 게이트 전극(104), 스페이서(105), 포토 다이오드(106), 소스 및 드레인 영역(107)을 순차적으로 형성하는 방법을 예로 들어 설명하였으나 이들이 형성되는 순서는 제한을 두지 않으며 제조공정에 따라 적절히 변 경할 수 있다. Meanwhile, in the manufacturing method, a method of sequentially forming the gate electrode 104, the spacer 105, the photodiode 106, the source and drain regions 107 has been described as an example, but the order in which they are formed is not limited. It can be changed according to the manufacturing process.

이어서, 게이트 전극(104), 스페이서(105), 포토 다이오드(106), 소스 및 드레인 영역(107)을 포함하는 제1 기판(100)을 덮도록 층간 절연막(108)을 형성한다. 이때, 층간 절연막(108)은 산화막, 예컨대 실리콘이 함유된 산화막(SiO2)으로 형성할 수 있으며, 더욱 구체적으로 BPSG, PSG, BSG, USG, TEOS, HDP막 중 선택된 어느 하나의 막으로 형성하거나 이들의 적층막으로 형성할 수 있다. 이외에도, SOD(Spin On Dielectric)막과 같이 스핀 코팅(spin coating) 방식으로 도포되는 막으로 형성할 수도 있다. Next, an interlayer insulating film 108 is formed to cover the first substrate 100 including the gate electrode 104, the spacer 105, the photodiode 106, the source and drain regions 107. In this case, the interlayer insulating film 108 may be formed of an oxide film, eg, an oxide film (SiO 2 ) containing silicon, and more specifically, may be formed of any one selected from BPSG, PSG, BSG, USG, TEOS, and HDP films. It can be formed from these laminated films. In addition, it may be formed of a film coated by a spin coating method, such as a spin on dielectric (SOD) film.

이어서, 도 2b에 도시된 바와 같이, 식각공정을 실시하여 국부적으로 층간 절연막(108A)을 식각하고, 이를 통해 소스 및 드레인 영역(107)이 노출되는 콘택홀(109)을 형성한다. 이때, 식각공정은 건식식각 또는 습식식각공정 모두 가능하다. 바람직하게는 절단면이 수직하도록 건식식각공정으로 실시하는 것이 바람직하다.Subsequently, as illustrated in FIG. 2B, an etching process is performed to locally etch the interlayer insulating layer 108A, thereby forming a contact hole 109 through which the source and drain regions 107 are exposed. In this case, the etching process may be either a dry etching or a wet etching process. Preferably, it is preferably carried out by a dry etching process so that the cut surface is vertical.

이어서, 국부적으로 층간 절연막(108A)과 제1 기판(100A)을 식각하고, 이를 통해 층간 절연막(108A)에서 제1 반도체층(100-1A)까지 확장되는 비아홀(110)을 형성한다. 이때, 비아홀(110)은 매트릭스 형태(matrix type)로 배열된 복수 개로 형성할 수 있다. Subsequently, the interlayer insulating film 108A and the first substrate 100A are etched locally to form the via holes 110 extending from the interlayer insulating film 108A to the first semiconductor layer 100-1A. In this case, the via holes 110 may be formed in plural numbers arranged in a matrix type.

더욱 구체적으로, 비아홀(110)의 수직 각도는 88~90°가 되도록 형성하고, 그 깊이는 층간 절연막(108A)의 상부면을 기준으로 20000Å 이하, 바람직하게는 4000~20000Å의 깊이로 형성한다. 더욱 바람직하게는 제2 반도체층(100-3A)의 상부면을 기준으로 1000~10000Å의 깊이로 형성한다. 또한, 그 폭(임계치수(critical Dimension, CD))은 2.0㎛ 이하, 바람직하게는 1.0~2.0㎛가 되도록 형성한다. 또한, 비아홀(110)의 바닥부 폭은 1.6㎛ 이하, 바람직하게는 1.0~1.6㎛가 되도록 형성한다. 또한, 비아홀(110)을 복수 개로 구현하는 경우 이들 간의 각도, 깊이 및 폭의 편차가 4% 이하가 되도록 형성하는 것이 바람직하다. 또한, 비아홀(110)의 개수와 형태는 제한을 두지 않는다. 특히 그 형태에 있어서 제한을 두지않고, 다양한 형태, 예컨대 원형 또는 다각형(삼각형, 사각형, 오각형, 팔각형 등) 구조로 구현 가능하다. More specifically, the vertical angle of the via hole 110 is formed to be 88 ~ 90 °, the depth is formed to a depth of 20000 Å or less, preferably 4000 ~ 20000 Å relative to the upper surface of the interlayer insulating film (108A). More preferably, it is formed to a depth of 1000 ~ 10000Å relative to the upper surface of the second semiconductor layer (100-3A). The width (critical dimension, CD) is formed to be 2.0 µm or less, preferably 1.0 to 2.0 µm. In addition, the bottom width of the via hole 110 is formed to be 1.6 μm or less, preferably 1.0 to 1.6 μm. In addition, when the plurality of via holes 110 are implemented, it is preferable to form the via holes 110 so that the variation in angle, depth and width therebetween is 4% or less. In addition, the number and shape of the via holes 110 is not limited. In particular, without limitation to the form, it can be implemented in various forms, such as a circular or polygonal (triangle, square, pentagon, octagon, etc.) structure.

한편, 콘택홀(109)과 비아홀(110)의 형성 순서는 제한을 두지 않으며 비아홀(110)을 먼저 형성한 후 콘택홀(109)을 형성할 수도 있다. 또한, 이들(109, 110)은 동일 플라즈마 식각장비를 이용하여 인-시튜(in-situ)로 실시하여 형성할 수 있다. The order of forming the contact holes 109 and the via holes 110 is not limited, and the via holes 110 may be formed first, and then the contact holes 109 may be formed. In addition, these 109 and 110 may be formed by in-situ using the same plasma etching equipment.

예컨대, 비아홀(110)은 건식식각공정을 이용하여 2단계로 나누어서 실시한다. For example, the via hole 110 is divided into two stages using a dry etching process.

먼저, 1단계는 층간 절연막(108A)까지 식각하는 단계이다. 감광막 패턴(미도시)에 대한 층간 절연막(108A)의 식각율(선택비)이 5:1~2:1(층간절연막:감광막 패턴), 바람직하게는 2.4:1(층간절연막:감광막 패턴)이 되는 조건으로 실시한다. 또한, 식각량은 7000~8000Å/min, 바람직하게는 7200Å/min이 되도록 실시한다. 예컨대, 식각조건으로는 100~200mTorr의 압력과, 100~2000W의 소스 파워(source power) 를 사용하고, 소스 가스로 불화탄소 화합물, 예컨대 CHF3, CF4를 사용하며, 식각속도와 이방성을 향상시키기 위해 Ar를 소스 가스에 더 첨가하여 사용한다. 이때, CHF3의 유량은 5~200sccm, CF4의 유량은 20~200sccm, Ar의 유량은 100~2000sccm으로 한다.First, the first step is to etch the interlayer insulating film 108A. The etching rate (selection ratio) of the interlayer insulating film 108A with respect to the photosensitive film pattern (not shown) is 5: 1 to 2: 1 (interlayer insulating film: photosensitive film pattern), preferably 2.4: 1 (interlayer insulating film: photosensitive film pattern) Under conditions of In addition, the etching amount is performed to be 7000 to 8000 Å / min, preferably 7200 Å / min. For example, as etching conditions, a pressure of 100 to 200 mTorr and a source power of 100 to 2000 W are used, and a fluorocarbon compound such as CHF 3 or CF 4 is used as a source gas to improve etching speed and anisotropy. Ar is further added to the source gas to be used. At this time, the flow rate of CHF 3 is set to 5 to 200 sccm, the flow rate of CF 4 is set to 20 to 200 sccm, and the flow rate of Ar is set to 100 to 2000 sccm.

2단계는 제1 기판(100A)을 식각하는 단계이다. 2단계에서는 식각량이 1000~3000Å/min, 바람직하게는 2000Å/min이 되도록 실시한다. 예컨대, 식각조건으로는 15~30mTorr의 압력과, 400~600W의 소스 파워(RF 파워)와, 80~120W의 바이어스 파워(bias power)(이온 직진성을 향상시키기 위한 파워)를 사용하고, 소스 가스로 SF6와 O2를 사용한다. 이때, SF6의 유량은 5~200sccm, O2의 유량은 1~100sccm으로 한다. The second step is to etch the first substrate 100A. In the second step, the etching amount is 1000 to 3000 m 3 / min, preferably 2000 m 2 / min. For example, as an etching condition, a pressure of 15 to 30 mTorr, 400 to 600 W of source power (RF power), and 80 to 120 W of bias power (power to improve ion straightness) are used. SF 6 and O 2 are used. At this time, the flow rate of SF 6 is 5 ~ 200sccm, the flow rate of O 2 is 1 ~ 100sccm.

2단계에서, 식각공정은 매몰 산화층(100-2A)이 일부 식각되도록 실시하거나 매몰 산화층(100-2A)이 완전히 식각되어 제1 반도체층(100-1A)이 일부 식각되도록 실시할 수 있다. 전자의 경우에는 매몰 산화층(100-2A)을 100~4000Å 정도 과도식각할 수 있다. In the second step, the etching process may be performed so that the buried oxide layer 100-2A is partially etched or the buried oxide layer 100-2A is completely etched to partially etch the first semiconductor layer 100-1A. In the former case, the buried oxide layer 100-2A may be over-etched about 100 to 4000 kPa.

이어서, 도 2c에 도시된 바와 같이, 콘택홀(109, 도 2b참조)과 비아홀(110, 도 2b참조)의 내부면에 각각 장벽층(미도시)을 형성할 수 있다. 이때, 장벽층은 Ti, TiN, Ta, TaN, AlSiTiN, NiTi, TiBN, ZrBN, TiAlN, TiB2 또는 이들의 적층막, 예컨대 Ti/TiN, Ta/TaN 중 어느 하나로 형성할 수 있다. 이러한 장벽층은 콘택홀(109), 특히 비아홀(110)의 폭이 감소되는 것을 최소화하기 위해 피복성이 우수 한 ALD(Atomic Layer Deposition) 공정을 이용하여 100Å 이하의 두께, 바람직하게는 50~100Å 정도의 두께로 형성한다. 이외에도, MOCVD(Metal Organic Chemical Vapor Deposition), PVD(Physical Vapor Deposition) 공정으로도 형성할 수도 있다. Subsequently, as illustrated in FIG. 2C, a barrier layer (not shown) may be formed on inner surfaces of the contact hole 109 (see FIG. 2B) and the via hole 110 (see FIG. 2B). In this case, the barrier layer may be formed of any one of Ti, TiN, Ta, TaN, AlSiTiN, NiTi, TiBN, ZrBN, TiAlN, TiB 2 or a laminated film thereof, such as Ti / TiN and Ta / TaN. The barrier layer has a thickness of less than or equal to 100 μs, preferably 50 to 100 μs, using an ALD (Atomic Layer Deposition) process having excellent coating properties to minimize the reduction of the width of the contact hole 109, especially the via hole 110. It is formed to a thickness of about. In addition, it may be formed by a MOCVD (Metal Organic Chemical Vapor Deposition), PVD (Physical Vapor Deposition) process.

또한, 장벽층은 산화막(예컨대, 실리콘산화막), 질화막(예컨대, 실리콘질화막) 또는 이들의 적층막(질화막/산화막) 중 어느 하나로 형성할 수 있다. 예컨대, 질화막/산화막 적층막인 경우 산화막과 질화막은 각각 라이너 형태로 형성하여 총 두께가 200Å 이하가 되도록 형성한다. 이를 통해 비아홀(110)의 폭 감소를 최소화시킨다. In addition, the barrier layer may be formed of any one of an oxide film (eg, silicon oxide film), a nitride film (eg, silicon nitride film), or a laminated film thereof (nitride film / oxide film). For example, in the case of a nitride film / oxide film laminated film, the oxide film and the nitride film are each formed in a liner shape such that the total thickness thereof is 200 kPa or less. This minimizes the width reduction of the via hole 110.

이어서, 콘택홀(109)과 비아홀(110)에 각각 전도성 물질을 매립시켜 콘택 플러그(111)와 정렬키(112)를 형성한다. 이때, 전도성 물질로는 Cu, Pt, W, Al 또는 이들 물질을 포함하는 합금막 중 어느 하나를 사용할 수 있다. 하지만, 전도성 물질은 이들 물질에 제한되는 것은 아니며 전도성을 갖는 모든 금속 또는 합금막을 사용할 수 있다. 예컨대, 전도성 물질로 W을 사용하는 경우 CVD(Chemical Vapor Depostion) 공정 또는 ALD 공정으로 형성하고, Al을 사용하는 경우 CVD 공정으로 형성한다. 또한, Cu를 사용하는 경우에는 전기 도금법 또는 CVD 공정으로 형성한다. Subsequently, a conductive material is embedded in the contact hole 109 and the via hole 110 to form a contact plug 111 and an alignment key 112. In this case, as the conductive material, any one of Cu, Pt, W, Al, or an alloy film including these materials may be used. However, the conductive material is not limited to these materials, and any metal or alloy film having conductivity may be used. For example, when using W as a conductive material, it is formed by CVD (Chemical Vapor Depostion) process or ALD process, and when Al is formed by CVD process. In addition, when using Cu, it forms by an electroplating method or a CVD process.

한편, 콘택 플러그(111)와 정렬키(112)는 전술한 바와 같이 동시에 형성하거나 또는 콘택 플러그(111)를 먼저 형성한 후 정렬키(112)를 형성하거나, 또는 정렬키(112)를 형성한 후 콘택 플러그(111)를 형성할 수도 있다. 콘택 플러그(111)와 정렬키(112)를 동시에 형성하지 않는 경우에는 콘택 플러그(111)와 정렬키(112)를 서로 다른 물질로 형성할 수 있다. 예컨대, 콘택 플러그(111)는 불순물 이온이 도핑된 다결정실리콘막으로 형성하고, 정렬키(112)는 전술한 전도성 물질로 형성한다. Meanwhile, the contact plug 111 and the alignment key 112 may be formed at the same time as described above, or the contact plug 111 may be formed first, and then the alignment key 112 may be formed, or the alignment key 112 may be formed. After the contact plug 111 may be formed. When the contact plug 111 and the alignment key 112 are not formed at the same time, the contact plug 111 and the alignment key 112 may be formed of different materials. For example, the contact plug 111 is formed of a polysilicon film doped with impurity ions, and the alignment key 112 is formed of the conductive material described above.

일례로, 콘택 플러그(111)와 정렬키(112) 형성방법을 설명하면 다음과 같다. 먼저, 콘택홀(109)이 매립되도록 불순물 이온이 도핑된 다결정실리콘막 또는 전술한 전도성 물질을 증착한 후 에치백(etch back) 공정 또는 CMP(Chemical Mechanical Polishing) 공정을 실시하여 콘택홀(109) 내부에 고립된 콘택 플러그(111)를 형성한다. 그런 다음, 비아홀(110)이 매립되도록 전도성 물질을 증착한 후 에치백 또는 CMP 공정을 실시하여 비아홀(110) 내부에 고립된 정렬키(112)를 형성한다. As an example, a method of forming the contact plug 111 and the alignment key 112 will be described below. First, the contact hole 109 is formed by depositing a polysilicon film doped with impurity ions or the aforementioned conductive material so as to fill the contact hole 109, and then performing an etch back process or a chemical mechanical polishing (CMP) process. An isolated contact plug 111 is formed therein. Then, after the conductive material is deposited to fill the via hole 110, an etch back or CMP process is performed to form an isolated alignment key 112 inside the via hole 110.

이어서, 도 2d에 도시된 바와 같이, 복수 개의 금속 배선층(113, 116, 119, 122), 콘택 플러그(115, 118, 121), 층간 절연막(114, 117, 120, 123)을 형성한다. 예컨대, 복수 개의 배선층(113, 116, 119, 122) 중 최하부층(113)의 일부는 서로 전기적으로 분리되어 콘택 플러그(111)와 접속되고, 일부는 정렬키(112)와 접속된다. Subsequently, as illustrated in FIG. 2D, a plurality of metal wiring layers 113, 116, 119, and 122, contact plugs 115, 118, and 121, and an interlayer insulating layer 114, 117, 120, and 123 are formed. For example, some of the lowermost layers 113 among the plurality of wiring layers 113, 116, 119, and 122 are electrically separated from each other and connected to the contact plug 111, and some of them are connected to the alignment key 112.

배선층(113, 116, 119, 122)은 증착공정 및 식각공정을 통해 형성한다. 전도성 물질, 예컨대 금속 또는 적어도 2종류의 금속이 혼합된 합금막으로 형성한다. 바람직하게는 Al으로 형성한다. 콘택 플러그(115, 118, 121)는 해당 층간 절연막(114, 117, 120, 123) 내에 각각 다마신(damascene) 공정을 통해 형성하며, 상하 로 적층된 배선층(113, 116, 119, 122)을 전기적으로 접속시키기 위해 전도성 물질, 예컨대 불순물 이온이 도핑된 다결정실리콘막, 금속 또는 적어도 2종류의 금속이 혼합된 합금막 중 선택된 어느 하나로 형성한다. 바람직하게는 W으로 형성한다. 층간 절연막(114, 117, 120, 123)은 BPSG, PSG, BSG, USG, TEOS 또는 HDP막 중 선택된 어느 하나의 산화막으로 형성하거나 이들이 2층 이상 적층된 적층막으로 형성할 수 있다. 또한, 층간 절연막(114, 117, 120)은 증착 후 CMP 공정을 통해 평탄화할 수 있다. The wiring layers 113, 116, 119 and 122 are formed through a deposition process and an etching process. It is formed of a conductive film such as a metal or an alloy film in which at least two kinds of metals are mixed. It is preferably formed of Al. The contact plugs 115, 118, and 121 are formed through damascene processes in the interlayer insulating layers 114, 117, 120, and 123, respectively, and the wiring layers 113, 116, 119, and 122 stacked up and down are formed. It is formed by any one selected from a conductive material such as a polycrystalline silicon film doped with impurity ions, a metal, or an alloy film containing at least two kinds of metals for electrical connection. It is preferably formed of W. The interlayer insulating layers 114, 117, 120, and 123 may be formed of any one oxide film selected from a BPSG, PSG, BSG, USG, TEOS, or HDP film, or may be formed as a laminated film in which two or more layers are stacked. In addition, the interlayer insulating layers 114, 117, and 120 may be planarized through a CMP process after deposition.

한편, 배선층(113, 116, 119, 122)과 콘택 플러그(115, 118, 121)의 층 수와 구조는 제한을 두지 않으며, 소자 설계에 따라 다양한 층 수 및 구조로 적용 가능하다. On the other hand, the number and structure of the wiring layer 113, 116, 119, 122 and the contact plugs (115, 118, 121) is not limited, it can be applied to a variety of layers and structures according to the device design.

이어서, 층간 절연막(123) 상에 보호층(passivation layer, 124)을 형성한다. 이때, 보호층(124)은 BPSG, PSG, BSG, USG, TEOS 또는 HDP막 중 선택된 막으로 형성할 수 있다. 바람직하게는 TEOS막 또는 HDP막을 이용하여 1000~40000Å의 두께로 형성한다. 또한, 보호층(124)은 질화막 또는 산화막과 질화막의 적층막으로 형성할 수도 있다. Subsequently, a passivation layer 124 is formed on the interlayer insulating layer 123. In this case, the protective layer 124 may be formed of a film selected from among BPSG, PSG, BSG, USG, TEOS, or HDP. Preferably, the film is formed to a thickness of 1000 to 40000 kV using a TEOS film or an HDP film. The protective layer 124 may be formed of a nitride film or a laminated film of an oxide film and a nitride film.

이어서, 보호층(124)을 평탄화한다. 이때, 평탄화 공정은 CMP 공정으로 실시할 수 있다. Next, the protective layer 124 is planarized. At this time, the planarization process may be performed by a CMP process.

이어서, 보호층(124)의 치밀화를 도모하기 위해 열처리 공정을 실시할 수 있다. 이때, 열처리 공정은 퍼니스(furnace) 장비를 이용한 어닐링(annealing) 공정으로 실시할 수 있다. Subsequently, a heat treatment process can be performed to achieve densification of the protective layer 124. In this case, the heat treatment process may be performed by an annealing process using a furnace (furnace) equipment.

이어서, 도 2e에 도시된 바와 같이, 도 2a 내지 도 2d까지의 공정을 통해 제조된 제1 기판(100A)과 제2 기판(200)을 접합(bonding)한다. 이때, 접합공정은 산화막-산화막 접합, 산화막-실리콘 접합, 산화막-금속막 접합, 산화막-접착부재-산화막 접합 또는 산화막-접착부재-실리콘 접합 방식 중 선택된 어느 하나의 방식을 사용한다. Subsequently, as illustrated in FIG. 2E, the first substrate 100A and the second substrate 200 manufactured through the processes of FIGS. 2A to 2D are bonded. In this case, the bonding process may use any one method selected from among oxide film-oxide film bonding, oxide film-silicon bonding, oxide film-metal film bonding, oxide film-bonding member-oxide film bonding or oxide film-bonding member-silicon bonding.

예컨대, 산화막-산화막(제2 기판(200)상에 형성됨) 접합과 산화막-실리콘(실리콘 기판) 접합은 양쪽의 플라즈마 처리(plasma treatment)-O2 또는 N2 사용-와, 워터 처리(water treatment) 후 접합한다. 또한, 워터 처리 후 접합하는 방식 외에 아민 등의 화학 처리 후에 접합하는 방식의 적용도 가능하다. 산화막-금속막(제2 기판(200) 상에 형성됨) 접합 방법에서 금속막으로는 티타늄(Ti), 알루미늄(Al), 구리(Cu) 등의 금속을 사용할 수 있다. 산화막-접착부재-산화막 접합과 산화막-접착부재-실리콘 접합 방법에서 접착부재로는 BCB(Benzo Cyclo Butene)를 사용할 수 있다. For example, the oxide film-oxide film (formed on the second substrate 200) junction and the oxide film-silicon (silicon substrate) junction are both plasma treatment—O 2 or N 2 used—and water treatment. ) And then join. Moreover, in addition to the method of joining after water treatment, the application of the method of joining after chemical processing, such as an amine, is also possible. In the oxide film-metal film (formed on the second substrate 200), a metal such as titanium (Ti), aluminum (Al), copper (Cu), or the like may be used as the metal film. In the oxide film-adhesive member-oxide film bonding and the oxide film-adhesive member-silicon bonding method, BCB (Benzo Cyclo Butene) may be used as the adhesive member.

이어서, 도 2f에 도시된 바와 같이, 백 그라인딩 공정을 실시하여 제1 기판(100A, 도 2e참조)의 배면을 그라인딩한다. 이때, 정렬키(112)가 매몰 산화층(100-2A)을 관통하는 구조로 형성된 경우 백 그라인딩 공정은 매몰 산화층(100-2A)이 노출될 때까지 실시하여 정렬키(112)를 노출시킨다. 이 과정에서 매몰 산화층(100-2A)이 일정 두께 제거될 수도 있다. 한편, 정렬키(112)가 매몰 산화층(100-2A)을 관통하지 않는 구조(매몰 산화층(100-2A) 내부로 일정 깊이 확장된 구조)로 형성된 경우 정렬키(112)가 노출되도록 매몰 산화층(100-2A) 또한 일정 두께 또는 전부를 제거하거나 별도의 식각공정을 실시하여 매몰 산화층(100-2A)을 식각할수도 있다. Subsequently, as shown in FIG. 2F, a back grinding process is performed to grind the back surface of the first substrate 100A (see FIG. 2E). In this case, when the alignment key 112 is formed to penetrate the buried oxide layer 100-2A, the back grinding process is performed until the buried oxide layer 100-2A is exposed to expose the alignment key 112. In this process, the buried oxide layer 100-2A may be removed to a certain thickness. On the other hand, when the alignment key 112 is formed of a structure that does not penetrate the buried oxide layer 100-2A (a structure extended to a predetermined depth into the buried oxide layer 100-2A), the buried oxide layer ( 100-2A) Alternatively, the buried oxide layer 100-2A may be etched by removing a predetermined thickness or all or performing a separate etching process.

이어서, 도 2g에 도시된 바와 같이, 정렬키(112)의 배면과 전기적으로 접속되도록 매몰 산화층(100-2A)의 배면에 복수 개의 패드(125)를 형성한다. 이때, 패드(125)는 전도성 물질, 예컨대 금속 또는 적어도 2종류의 금속이 혼합된 합금막 중 어느 하나로 형성할 수 있다. 바람직하게는 Al으로 형성한다. 또한, 패드(125) 각각은 매트릭스 형태로 배열된 복수 개의 정렬키(112)와 접속되도록 형성할 수 있다. Subsequently, as illustrated in FIG. 2G, a plurality of pads 125 are formed on the rear surface of the buried oxide layer 100-2A so as to be electrically connected to the rear surface of the alignment key 112. In this case, the pad 125 may be formed of any one of a conductive material, for example, a metal or an alloy film in which at least two kinds of metals are mixed. It is preferably formed of Al. In addition, each of the pads 125 may be formed to be connected to a plurality of alignment keys 112 arranged in a matrix.

이어서, 도 2h에 도시된 바와 같이, 매몰 산화층(100-2B) 중 포토 다이오드(106)와 중첩되는 영역을 식각하여 제거한다. 즉, 포토 다이오드(106)와 중첩되는 영역에 매몰 산화층(100-2B)이 존재하지 않도록 매몰 산화층(100-2B)을 국부적으로 제거한다. 이로써, 포토 다이오드(106)와 중첩되는 영역의 제2 반도체층(100-3A)이 노출된다.Subsequently, as illustrated in FIG. 2H, a region overlapping with the photodiode 106 in the buried oxide layer 100-2B is etched and removed. That is, the buried oxide layer 100-2B is locally removed so that the buried oxide layer 100-2B does not exist in the region overlapping with the photodiode 106. As a result, the second semiconductor layer 100-3A in the region overlapping the photodiode 106 is exposed.

이어서, 도 2i에 도시된 바와 같이, 패드(125), 제2 반도체층(100-3A), 매몰 산화층(100-2B) 상부에 광 산란 방지막(126)을 형성한다. 이때, 광 산란 방지막(126)은 굴절률이 서로 다른 물질이 적층된 다층막으로 형성할 수 있다. 예컨대, 산화막과 질화막이 적층된 적층막(산화막/질화막 또는 질화막/산화막), 산화막과 탄소(carbon)가 함유된 막(SiC)이 적층된 적층막(산화막/SiC 또는 SiC/산화막)으로 형성한다. Subsequently, as shown in FIG. 2I, a light scattering prevention layer 126 is formed on the pad 125, the second semiconductor layer 100-3A, and the buried oxide layer 100-2B. In this case, the light scattering prevention film 126 may be formed as a multilayer film in which materials having different refractive indices are stacked. For example, it is formed of a laminated film (oxidized film / nitride film or nitride film / oxide film) in which an oxide film and a nitride film are laminated, and a laminated film (oxide film / SiC or SiC / oxide film) in which an oxide film and carbon-containing film (SiC) are laminated. .

그리고, 산화막은 TEOS, USG, HDP, BSG, PSG 또는 BPSG 중 어느 하나로 형성할 수 있다. 질화막은 실리콘질화막(SixNy, 여기서, x, y는 자연수) 또는 실리콘산화질화막(SixOyNz, 여기서, x, y는 자연수)으로 형성할 수 있다. 또한, 질화막은 실리콘질화막에서 비교적 안정화 상태로 결합된 Si3N4 대비 N-H 결합이 많은 N-H 부화(rich) 질화막으로 형성할 수 있다. 이때, N-H 부화 질화막은 실란(SiH4) 가스와 암모니아(NH3) 가스의 유량비(SiH4:NH3)를 1:1~1:20, 바람직하게는 1:10으로 실시하여 형성한다. The oxide film may be formed of any one of TEOS, USG, HDP, BSG, PSG, and BPSG. The nitride film may be formed of a silicon nitride film (Si x N y , where x and y are natural numbers) or a silicon oxynitride film (Si x O y N z , where x and y are natural numbers). In addition, the nitride film may be formed as an NH rich nitride film having more NH bonds than Si 3 N 4 bonded in a relatively stable state in the silicon nitride film. At this time, the NH-enriched nitride film is formed by performing a flow rate ratio (SiH 4 : NH 3 ) of silane (SiH 4 ) gas and ammonia (NH 3 ) gas at 1: 1 to 1:20, preferably 1:10.

그리고, 질화막 또는, SiC은 얇은 두께로 형성한다. 바람직하게 산화막은 1000~10000Å의 두께로 형성하고, 질화막 또는 SiC은 100~5000Å의 두께로 형성한다. The nitride film or SiC is formed in a thin thickness. Preferably, the oxide film is formed to a thickness of 1000 ~ 10000 Pa, the nitride film or SiC is formed to a thickness of 100 ~ 5000 kPa.

한편, 광 산란 방지막(126)(다층막의 경우)은 제조공정의 안정성과 공정시간을 절감하기 위해 막 증착공정을 챔버의 이동없이 동일 챔버 내에서 인-시튜(in-situ) 방식으로 실시하는 것이 바람직하다. 하지만, 인-시튜 방식이 허락되지 않는 경우 막 증착공정시 서로 다른 챔버 내에서 익스-시튜(ex-situ) 방식으로 실시할 수도 있다. On the other hand, the light scattering prevention film 126 (in the case of a multilayer film) is to perform the film deposition process in-situ method in the same chamber without moving the chamber in order to reduce the stability and processing time of the manufacturing process. desirable. However, if the in-situ method is not allowed, the film deposition process may be performed in an ex-situ method in different chambers.

이어서, 도 2j에 도시된 바와 같이, 광 산란 방지막(126) 상에 보호층(126)을 형성할 수 있다. 이때, 보호층(126)은 절연물질, 예컨대 산화막으로 형성할 수 있다. Subsequently, as shown in FIG. 2J, a protective layer 126 may be formed on the light scattering prevention film 126. In this case, the protective layer 126 may be formed of an insulating material, for example, an oxide film.

이어서, 패드(125) 상부의 광 산란 방지막(126)이 일부 노출되도록 보호층(126)을 국부적으로 식각할 수도 있다. Subsequently, the protective layer 126 may be locally etched to partially expose the light scattering prevention layer 126 on the pad 125.

이어서, 포토 다이오드(106)와 중첩되는 보호층(127) 상부에 칼라필터(128)와 마이크로 렌즈(130)를 순차적으로 형성한다. 이때, 보호층(127)과 칼라필터(128) 사이, 칼라필터(128)와 마이크로 렌즈(130) 사이에 각각 OCL(Over Coating Layer)로서 평탄화막(129)을 형성할 수 있다. 이때, 평탄화막은 유기물질로 형성할 수 있다. Subsequently, the color filter 128 and the microlens 130 are sequentially formed on the passivation layer 127 overlapping the photodiode 106. In this case, the planarization layer 129 may be formed as an over coating layer (OCL) between the protective layer 127 and the color filter 128, and between the color filter 128 and the microlens 130, respectively. In this case, the planarization layer may be formed of an organic material.

이어서, 마이크로 렌즈(130), 광 산란 방지막(126) 및 보호층(127) 상에 LTO(Low Teperature Oxide)로 불리어지고 있는 저온 산화막(131)을 형성할 수 있다. Subsequently, a low temperature oxide film 131 called LTO (Low Teperature Oxide) may be formed on the microlens 130, the light scattering prevention film 126, and the protective layer 127.

이어서, 도 2k에 도시된 바와 같이, 저온 산화막(131A)과 광 산란 방지막(126A)을 국부적으로 식각하여 와이어 본딩을 위해 패드(125)를 일부 또는 전부 노출시킨다. Subsequently, as illustrated in FIG. 2K, the low temperature oxide layer 131A and the light scattering prevention layer 126A are locally etched to expose some or all of the pads 125 for wire bonding.

이어서, 패키징 공정을 실시하여 제1 기판(100C)과 제2 기판(200)을 패키징한다. 이때, 패키징 공정은 와이어 본딩 공정과 절단(sawing) 공정을 포함한다. 여기서, 와이어 본딩은 패드(125)와 외부 칩을 와이어로 접속하는 방식으로 이루어진다. Next, a packaging process is performed to package the first substrate 100C and the second substrate 200. At this time, the packaging process includes a wire bonding process and a sawing process. Here, the wire bonding is made by connecting the pad 125 and the external chip with a wire.

실시예2Example 2

도 3은 본 발명의 실시예2에 따른 후면 조사 이미지 센서를 설명하기 위하여 도시한 단면도이다. 여기서는, 설명의 편의를 위해 일례로 CMOS 이미지 센서의 단 위 화소 중에서 포토 다이오드와 드라이빙 트랜지스터의 게이트 전극만을 도시하였다.3 is a cross-sectional view illustrating a backside illumination image sensor according to Embodiment 2 of the present invention. For convenience of description, only the photodiode and the gate electrode of the driving transistor are shown among the unit pixels of the CMOS image sensor.

도 3을 참조하면, 본 발명의 실시예2에 따른 후면 조사 이미지 센서는 제1 기판(300D) 내에 형성된 수광소자, 예컨대 포토 다이오드(306)와, 포토 다이오드(306)를 포함하는 제1 기판(300D) 상에 형성된 층간 절연막(308B)과, 포토 다이오드(306)와 이격되어 층간 절연막(308B)과 제1 기판(300D)을 관통하여 형성된 정렬키(312A)와, 층간 절연막(308B) 상에 다층으로 형성되고, 최하부층(313)의 배면이 노출된 배선층(313, 316, 319, 322)과, 배선층(313, 316, 319, 322)을 덮도록 형성된 보호층(324)과, 제1 기판(300D)의 배면 상에 형성된 광 산란 방지막(325)과, 포토 다이오드(306)와 대응되도록 광 산란 방지막(325) 상에 형성된 칼라필터 및 마이크로 렌즈(327, 329)를 포함한다. 본 발명의 실시예2에 따른 후면 조사 이미지 센서는 보호층(324)의 상면에 접합된 제2 기판(핸들 웨이퍼)(400)을 더 포함한다. Referring to FIG. 3, a back side illumination image sensor according to Embodiment 2 of the present invention may include a first substrate including a light receiving element formed in the first substrate 300D, for example, a photodiode 306 and a photodiode 306. On the interlayer insulating film 308B formed on the insulating layer 300D, the alignment key 312A formed to penetrate the interlayer insulating film 308B and the first substrate 300D while being spaced apart from the photodiode 306, and on the interlayer insulating film 308B. A protective layer 324 formed in multiple layers and formed to cover the wiring layers 313, 316, 319, and 322 on which the bottom surface of the lowermost layer 313 is exposed, the wiring layers 313, 316, 319, and 322, and a first layer; The light scattering prevention film 325 formed on the rear surface of the substrate 300D and the color filter and the micro lenses 327 and 329 formed on the light scattering prevention film 325 to correspond to the photodiode 306 are included. The backside illumination image sensor according to Embodiment 2 of the present invention further includes a second substrate (handle wafer) 400 bonded to the top surface of the protective layer 324.

본 발명의 실시예2는 실시예1과 유사한 구성을 갖는다. 다만, 실시예1에서는 제1 기판(100C, 도 1참조)의 배면에 패드가 형성되었으나, 실시예2에서는 배선층(313, 316, 319, 322) 중 최하부층(313)의 배면을 노출시켜 패드로 사용하거나 그 상부에 별도의 패드가 형성된다. 즉, 실시예1 및 2는 패드가 형성되는 위치만 다를 뿐 다른 구성은 동일하다. 또한, 실시예2에서는 정렬키(312A)가 실시예1에 따른 정렬키(112A)와 같이 전도성 물질로 형성되는 것이 아니라 절연물질로 형성된다. Embodiment 2 of the present invention has a configuration similar to that of the first embodiment. However, in Example 1, the pad is formed on the back surface of the first substrate 100C (see FIG. 1). In Example 2, the pad is exposed by exposing the bottom surface of the bottom layer 313 among the wiring layers 313, 316, 319, and 322. As a separate pad is formed on top of it. That is, the first and second embodiments differ only in the positions where the pads are formed, and the other configurations are the same. In addition, in the second embodiment, the alignment key 312A is formed of an insulating material rather than a conductive material like the alignment key 112A according to the first embodiment.

이와 같이, 본 발명의 실시예2에 따른 후면 조사 이미지 센서는 패드와 관련된 구조와 정렬키를 구성하는 물질만 다르고 다른 구성은 실시예1과 동일함에 따라 다른 구성요소들에 대한 구체적인 설명은 실시예1에서 설명한 내용으로 대신하기로 한다. As such, the backside illumination image sensor according to the second exemplary embodiment of the present invention differs only in a material related to the pad and the material constituting the alignment key, and the other configuration is the same as that in the first exemplary embodiment. The description described in 1 will be replaced.

이하, 본 발명의 실시예2에 따른 후면 조사 이미지 센서의 제조방법을 설명하기로 한다. Hereinafter, a method of manufacturing a backside illuminated image sensor according to Embodiment 2 of the present invention will be described.

도 4a 내지 도 4k는 본 발명의 실시예2에 따른 후면 조사 이미지 센서의 제조방법을 도시한 공정 단면도이다. 여기서는 일례로 SOI 기판을 예로 들어 설명하기로 한다. 4A to 4K are cross-sectional views illustrating a method of manufacturing a backside illuminated image sensor according to Embodiment 2 of the present invention. As an example, an SOI substrate will be described as an example.

먼저, 도 4a 및 도 4b까지는 실시예1의 도 2a 및 도 2b와 동일한 방법으로 진행한다. First, FIGS. 4A and 4B proceed in the same manner as FIGS. 2A and 2B of the first embodiment.

이어서, 도 4c에 도시된 바와 같이, 콘택홀(309, 도 4b참조)에 전도성 물질을 매립시켜 콘택 플러그(311)를 형성한다. 이때, 전도성 물질로는 Cu, Pt, W, Al 또는 이들 물질을 포함하는 합금막 중 어느 하나를 사용할 수 있다. 하지만, 전도성 물질은 이들 물질에 제한되는 것은 아니며 전도성을 갖는 모든 금속 또는 합금막을 사용할 수 있다. 예컨대, 전도성 물질로 W을 사용하는 경우 CVD(Chemical Vapor Depostion) 공정 또는 ALD 공정으로 형성하고, Al을 사용하는 경우 CVD 공정으로 형성한다. 또한, Cu를 사용하는 경우에는 전기 도금법 또는 CVD 공정으로 형성한다. Subsequently, as shown in FIG. 4C, a conductive material is embedded in the contact hole 309 (see FIG. 4B) to form the contact plug 311. In this case, as the conductive material, any one of Cu, Pt, W, Al, or an alloy film including these materials may be used. However, the conductive material is not limited to these materials, and any metal or alloy film having conductivity may be used. For example, when using W as a conductive material, it is formed by CVD (Chemical Vapor Depostion) process or ALD process, and when Al is formed by CVD process. In addition, when using Cu, it forms by an electroplating method or a CVD process.

이어서, 비아홀(310, 도 4b참조)이 매립되도록 절연물질(312)을 층간 절연 막(308A)을 포함하는 전면에 형성한다. 이때, 절연물질(312)은 매립 특성이 우수한 물질로 형성하는 것이 바람직다. 예컨대, 절연물질(312)은 산화막 계열의 물질 또는 질화막 계열의 물질로 형성한다. 구체적으로, 산화막 계열의 물질로는 실리콘산화막, 더욱 구체적으로, BPSG, BSG, USG, TEOS, HDP막 중 선택된 어느 하나의 막으로 형성하거나 이들의 적층막으로 형성할 수 있다. 또한, 질화막 계열의 물질로는 실리콘질화막으로 형성할 수 있다. 이외에도, 피복성이 우수한 SOD(Spin On Dielectric)막과 같이 스핀 코팅(spin coating) 방식으로 도포되는 막으로 형성할 수도 있다. Subsequently, an insulating material 312 is formed on the entire surface including the interlayer insulating film 308A so as to fill the via hole 310 (see FIG. 4B). At this time, the insulating material 312 is preferably formed of a material having excellent embedding characteristics. For example, the insulating material 312 is formed of an oxide film-based material or a nitride film-based material. Specifically, the oxide-based material may be formed of a silicon oxide film, more specifically, a film selected from any one of BPSG, BSG, USG, TEOS, and HDP film, or a laminated film thereof. In addition, the nitride film-based material may be formed of a silicon nitride film. In addition, it may be formed of a film coated by a spin coating method such as a spin on dielectric (SOD) film having excellent coating properties.

이어서, 도 4d에 도시된 바와 같이, 평탄화 공정을 실시하여 비아홀(310, 도 4b참조) 내부에 고립된 필라 구조의 정렬키(312A)를 형성한다. 이때, 평탄화 공정은 에치백(etch back) 공정 또는 CMP(Chemical Mechanical Polishing) 공정을 실시할 수 있다. Next, as shown in FIG. 4D, a planarization process is performed to form an alignment key 312A having an isolated pillar structure inside the via hole 310 (see FIG. 4B). In this case, the planarization process may include an etch back process or a chemical mechanical polishing (CMP) process.

이어서, 도 4e 내지 도 4g는 실시예1의 도 2d 내지 도 2f와 동일한 방법으로 진행한다. 4E to 4G then proceed in the same manner as FIGS. 2D to 2F of the first embodiment.

이어서, 도 4h 및 도 4i는 실시예1에서 패드를 제외한 제2 반도체층(300-3A)을 국부(포토 다이오드와 중첩되는 영역)적으로 노출시키기 위한 매몰 산화층(300-2B) 식각공정과 광 산란 방지막(325) 형성공정은 실시예1과 동일한 방법으로 진행한다. Subsequently, FIGS. 4H and 4I illustrate a buried oxide layer 300-2B etching process and light for locally exposing the second semiconductor layer 300-3A excluding the pad in a localized manner (region overlapping the photodiode). The scattering prevention film 325 forming process is performed in the same manner as in the first embodiment.

이어서, 도 4j에 도시된 바와 같이, 제1 기판(300D)의 배면으로부터 광 산란 방지막(325), 매몰 산화층(300-2C), 제2 반도체층(300-3B) 및 층간 절연막(308B)을 국부적으로 식각하여 최하부 배선층(313)의 배면을 국부적으로 노출시킨다. 이로써, 노출되는 최하부 배선층(313)은 패드로 기능하며, 후속 공정을 통해 와이어 본딩된다.Subsequently, as shown in FIG. 4J, the light scattering prevention film 325, the buried oxide layer 300-2C, the second semiconductor layer 300-3B, and the interlayer insulating film 308B are removed from the rear surface of the first substrate 300D. Local etching is performed to locally expose the back surface of the lowermost wiring layer 313. As a result, the lowermost wiring layer 313 exposed serves as a pad and is wire bonded through a subsequent process.

한편, 배선층(313)의 배면을 노출시킨 후, 노출되는 배선층(313)의 배면 상에 별도의 패드용 전도성 물질을 형성할 수도 있다. 이 경우 전도성 물질로는 금속 또는 금속이 혼합된 혼합막으로 형성할 수 있다. 바람직하게는 알루미늄으로 형성한다. Meanwhile, after exposing the back surface of the wiring layer 313, an additional pad conductive material may be formed on the back surface of the wiring layer 313. In this case, the conductive material may be formed of a metal or a mixed film mixed with metal. It is preferably formed of aluminum.

이어서, 도 4k에 도시된 바와 같이, 광 산란 방지막(325) 상에 보호층(326)을 형성할 수 있다. 이때, 보호층(326)은 절연물질, 예컨대 산화막으로 형성할 수 있다. Subsequently, as shown in FIG. 4K, a protective layer 326 may be formed on the light scattering prevention layer 325. In this case, the protective layer 326 may be formed of an insulating material, for example, an oxide film.

이어서, 포토 다이오드(306)와 중첩되는 보호층(326) 상부에 칼라필터(327)와 마이크로 렌즈(329)를 순차적으로 형성한다. 이때, 보호층(326)과 칼라필터(327) 사이, 칼라필터(327)와 마이크로 렌즈(329) 사이에 각각 OCL(Over Coating Layer)로서 평탄화막(328)을 형성할 수 있다. 이때, 평탄화막은 유기물질로 형성할 수 있다. Subsequently, the color filter 327 and the microlens 329 are sequentially formed on the protective layer 326 overlapping the photodiode 306. In this case, the planarization layer 328 may be formed as an over coating layer (OCL) between the protective layer 326 and the color filter 327, and between the color filter 327 and the microlens 329, respectively. In this case, the planarization layer may be formed of an organic material.

이어서, 마이크로 렌즈(329), 광 산란 방지막(325) 및 보호막(326) 상에 LTO(Low Teperature Oxide)로 불리어지고 있는 저온 산화막(330)을 형성할 수 있다. Subsequently, a low temperature oxide film 330 called LTO (Low Teperature Oxide) may be formed on the microlens 329, the light scattering prevention film 325, and the protective film 326.

이어서, 패키징 공정을 실시하여 제1 기판(300D)과 제2 기판(400)을 패키징한다. 이때, 패키징 공정은 와이어 본딩 공정과 절단(sawing) 공정을 포함한다. 여 기서, 와이어 본딩은 패드(배선층)와 외부 칩을 와이어로 접속하는 방식으로 이루어진다. Subsequently, a packaging process is performed to package the first substrate 300D and the second substrate 400. At this time, the packaging process includes a wire bonding process and a sawing process. Here, wire bonding is performed by connecting a pad (wiring layer) and an external chip with a wire.

상기에서 설명한 바와 같이, 본 발명의 기술적 사상은 바람직한 실시예에서 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주의하여야 한다. 특히, 본 발명의 실시예에서는 CMOS 이미지 센서를 일례로 들어 설명하였으나, 후면 조사 방식을 이용한 이미지 센서, 그리고 3D 구조의 집적 소자에 모두 적용할 수 있다. 또한, 본 발명은 이 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예들이 가능함을 이해할 수 있을 것이다.As described above, although the technical spirit of the present invention has been described in detail in the preferred embodiments, it should be noted that the above-described embodiments are for the purpose of description and not of limitation. In particular, although the embodiment of the present invention has been described as an example of the CMOS image sensor, it can be applied to both the image sensor and the integrated device of the 3D structure using the back irradiation method. In addition, it will be understood by those skilled in the art that various embodiments are possible within the scope of the technical idea of the present invention.

도 1은 본 발명의 실시예1에 따른 후면 조사 이미지 센서를 도시한 단면도.1 is a cross-sectional view showing a back-illuminated image sensor according to Embodiment 1 of the present invention.

도 2a 내지 도 2k는 본 발명의 실시예1에 따른 후면 조사 이미지 센서의 제조방법을 도시한 공정 단면도.2A to 2K are cross-sectional views illustrating a method of manufacturing a backside illuminated image sensor according to Embodiment 1 of the present invention.

도 3은 본 발명의 실시예2에 따른 후면 조사 이미지 센서를 도시한 단면도.3 is a cross-sectional view showing a back-illuminated image sensor according to Embodiment 2 of the present invention;

도 4a 내지 도 4k는 본 발명의 실시예2에 따른 후면 조사 이미지 센서의 제조방법을 도시한 공정 단면도.4A to 4K are cross-sectional views illustrating a method of manufacturing a backside illuminated image sensor according to Embodiment 2 of the present invention;

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100, 100A, 100B, 300, 300A, 300B, 300C, 300D : 제1 기판(소자 웨이퍼)100, 100A, 100B, 300, 300A, 300B, 300C, 300D: first substrate (element wafer)

101, 301 : 소자 분리막101, 301: device isolation film

102, 302 : 게이트 절연막102, 302: gate insulating film

103, 303 : 게이트 도전막103 and 303: gate conductive film

104, 304 : 게이트 전극104, 304: gate electrode

105, 305 : 스페이서105, 305: spacer

106, 306 : 포토 다이오드106, 306: Photodiode

107, 307 : 소스 및 드레인 영역107 and 307: source and drain regions

108, 108A, 114, 117, 120, 123, 308, 308A, 314, 317, 320, 323 : 층간 절연막108, 108A, 114, 117, 120, 123, 308, 308A, 314, 317, 320, 323: interlayer insulating film

109, 309 : 콘택홀109, 309: Contact hole

110, 310 : 비아홀110, 310: Via Hole

111, 115, 118, 121, 311, 3115, 3118, 321 : 콘택 플러그111, 115, 118, 121, 311, 3115, 3118, 321: contact plug

112, 312A : 정렬 키112, 312A: Sort Key

113, 116, 119, 122, 313, 316, 319, 322 : 배선층113, 116, 119, 122, 313, 316, 319, 322: wiring layer

124, 126, 324, 326, : 보호층124, 126, 324, 326,: protective layer

125 : 패드125: pad

126, 325 : 광 산란 방지막126, 325: light scattering prevention film

128, 327 : 칼라필터128, 327: color filter

129, 328 : 평탄화막129, 328: planarization film

130, 329 : 마이크로 렌즈130, 329: Micro Lens

131, 330 : 저온 산화막131, 330: low temperature oxide film

200, 400 : 제2 기판(핸들 웨이퍼)200, 400: second substrate (handle wafer)

Claims (46)

제1 기판 내에 형성된 수광소자;A light receiving element formed in the first substrate; 상기 수광소자를 포함하는 상기 제1 기판 상에 형성된 층간 절연막;An interlayer insulating layer formed on the first substrate including the light receiving element; 상기 수광소자와 이격되어 상기 층간 절연막과 상기 제1 기판을 관통하여 형성된 정렬키;An alignment key spaced apart from the light receiving element and penetrating the interlayer insulating layer and the first substrate; 상기 층간 절연막 상에 다층으로 형성되고, 최하부층의 배면이 상기 정렬키와 접속된 배선층;A wiring layer formed on the interlayer insulating film in multiple layers and having a rear surface of a lowermost layer connected to the alignment key; 상기 배선층을 덮도록 형성된 보호층;A protective layer formed to cover the wiring layer; 상기 제1 기판의 배면 상에 국부적으로 형성되어 상기 정렬키의 배면과 접속된 패드; A pad locally formed on a rear surface of the first substrate and connected to a rear surface of the alignment key; 상기 패드를 포함하는 상기 제1 기판의 배면 상에 형성된 광 산란 방지막; 및A light scattering prevention film formed on a rear surface of the first substrate including the pad; And 상기 수광소자와 대응되도록 상기 광 산란 방지막 상에 형성된 칼라필터 및 마이크로 렌즈Color filters and micro lenses formed on the light scattering prevention film so as to correspond to the light receiving element 를 포함하는 후면 조사 이미지 센서.Back irradiation image sensor comprising a. 제1 기판 내에 형성된 수광소자;A light receiving element formed in the first substrate; 상기 수광소자를 포함하는 상기 제1 기판 상에 형성된 층간 절연막;An interlayer insulating layer formed on the first substrate including the light receiving element; 상기 수광소자와 이격되어 상기 층간 절연막과 상기 제1 기판을 관통하여 형성된 정렬키;An alignment key spaced apart from the light receiving element and penetrating the interlayer insulating layer and the first substrate; 상기 층간 절연막 상에 다층으로 형성되고, 최하부층의 배면이 노출된 배선층;A wiring layer formed on the interlayer insulating film in multiple layers and having a rear surface of a lowermost layer exposed; 상기 배선층을 덮도록 형성된 보호층;A protective layer formed to cover the wiring layer; 상기 제1 기판의 배면 상에 형성된 광 산란 방지막; 및A light scattering prevention film formed on the rear surface of the first substrate; And 상기 수광소자와 대응되도록 상기 광 산란 방지막 상에 형성된 칼라필터 및 마이크로 렌즈Color filters and micro lenses formed on the light scattering prevention film so as to correspond to the light receiving element 를 포함하는 후면 조사 이미지 센서.Back irradiation image sensor comprising a. 제 1 항 또는 제 2 항에 있어서, The method according to claim 1 or 2, 상기 광 산란 방지막은 굴절률이 서로 다른 물질이 적층된 다층막으로 이루어진 후면 조사 이미지 센서.The light scattering prevention film is a back-illuminated image sensor consisting of a multilayer film laminated with materials having different refractive indices. 제 3 항에 있어서, The method of claim 3, wherein 상기 다층막은 산화막과 질화막이 적층된 적층막으로 이루어지거나, 산화막과 탄소(carbon)가 함유된 막이 적층된 적층막으로 이루어진 후면 조사 이미지 센서.The multilayer film may be formed of a laminated film in which an oxide film and a nitride film are laminated, or a back-illuminated image sensor comprising a laminated film in which an oxide film and a carbon-containing film are laminated. 제 4 항에 있어서, The method of claim 4, wherein 상기 산화막은 BPSG(BoroPhosphoSilicate Glass), PSG(PhosphoSilicate Glass), BSG(BoroSilicate Glass), USG(Un-doped Silicate Glass), TEOS(Tetra Ethyle Ortho Silicate) 또는 HDP(High Density Plasma)막 중 선택된 어느 하나의 막으로 이루어진 후면 조사 이미지 센서.The oxide film is any one selected from BPSG (BoroPhosphoSilicate Glass), PSG (PhosphoSilicate Glass), BSG (BoroSilicate Glass), USG (Un-doped Silicate Glass), TEOS (Tetra Ethyle Ortho Silicate), or HDP (High Density Plasma) film. Membrane back-illuminated image sensor. 제 4 항에 있어서, The method of claim 4, wherein 상기 질화막은 실리콘질화막 또는 실리콘산화질화막으로 이루어진 후면 조사 이미지 센서.The nitride film is a back irradiation image sensor consisting of a silicon nitride film or a silicon oxynitride film. 제 4 항에 있어서, The method of claim 4, wherein 상기 질화막은 Si3N4 대비 N-H 결합이 많은 N-H 부화 질화막으로 이루어진 후면 조사 이미지 센서. The nitride film is a back-illuminated image sensor consisting of an NH-enriched nitride film with more NH bonds than Si 3 N 4 . 제 4 항에 있어서, The method of claim 4, wherein 상기 탄소가 함유된 막은 SiC막인 후면 조사 이미지 센서.The carbon-containing film is a SiC film back-illuminated image sensor. 제 4 항에 있어서, The method of claim 4, wherein 상기 산화막은 1000~10000Å 두께로 형성된 후면 조사 이미지 센서.The oxide film is a back irradiation image sensor formed to a thickness of 1000 ~ 10000Å. 제 4 항에 있어서, The method of claim 4, wherein 상기 질화막 또는 상기 탄소가 함유된 막은 100~5000Å 두께로 형성된 후면 조사 이미지 센서.The nitride film or the carbon-containing film is a back-illuminated image sensor formed to a thickness of 100 ~ 5000Å. 제 1 항에 있어서, The method of claim 1, 상기 정렬키는 전도성 물질로 형성된 후면 조사 이미지 센서.And the alignment key is formed of a conductive material. 제 2 항에 있어서, The method of claim 2, 상기 정렬키는 절연물질로 형성된 후면 조사 이미지 센서.The alignment key is a back irradiation image sensor formed of an insulating material. 제 1 항 또는 제 2 항에 있어서, The method according to claim 1 or 2, 상기 정렬키는 복수 개로 형성된 후면 조사 이미지 센서.And a plurality of alignment keys. 제 1 항 또는 제 2 항에 있어서, The method according to claim 1 or 2, 상기 정렬키는 필라(pillar) 구조로 형성된 후면 조사 이미지 센서.The alignment key is a rear irradiation image sensor formed of a pillar (pillar) structure. 제 1 항에 있어서, The method of claim 1, 상기 정렬키의 외측벽을 둘러싸도록 형성된 장벽층을 더 포함하는 후면 조사 이미지 센서.And a barrier layer formed to surround the outer wall of the alignment key. 제 15 항에 있어서, The method of claim 15, 상기 장벽층은 금속물질 또는 절연물질로 이루어진 후면 조사 이미지 센서.The barrier layer is a back irradiation image sensor made of a metal material or an insulating material. 제 1 항 또는 제 2 항에 있어서, The method according to claim 1 or 2, 상기 보호층과 접합된 제2 기판을 더 포함하는 후면 조사 이미지 센서.And a second substrate bonded to the protective layer. 제 1 항에 있어서, The method of claim 1, 상기 제1 기판은 벌크(bulk) 기판, 에피텍셜(epitaxial) 기판 또는 SOI(Silicon On Insulator) 기판 중 선택된 어느 하나의 기판을 사용하는 후면 조사 이미지 센서.And the first substrate is any one selected from a bulk substrate, an epitaxial substrate, and a silicon on insulator (SOI) substrate. 제 18 항에 있어서, The method of claim 18, 상기 정렬키는 상기 SOI 기판의 매몰 산화층을 관통하여 형성된 후면 조사 이미지 센서.And the alignment key is formed through the buried oxide layer of the SOI substrate. 제 19 항에 있어서, The method of claim 19, 상기 패드는 일부가 상기 SOI 기판의 매몰 산화층의 배면에 형성된 후면 조사 이미지 센서.And the pad is partially formed on the back side of the buried oxide layer of the SOI substrate. 제 19 항에 있어서, The method of claim 19, 상기 광 산란 방지막은 상기 매몰 산화층의 배면과, 상기 매몰 산화층이 제 거되어 노출되는 상기 SOI 기판의 반도체층의 배면 상에 형성된 후면 조사 이미지 센서. And the light scattering prevention layer is formed on a rear surface of the buried oxide layer and a rear surface of a semiconductor layer of the SOI substrate to which the buried oxide layer is removed. 제 21 항에 있어서, The method of claim 21, 상기 매몰 산화층이 제거되어 노출되는 상기 반도체층의 영역은 상기 수광소자와 중첩되는 영역인 후면 조사 이미지 센서.And a region of the semiconductor layer to which the buried oxide layer is removed and exposed is an area overlapping the light receiving element. 제 2 항에 있어서, The method of claim 2, 상기 제1 기판은 벌크(bulk) 기판, 에피텍셜(epitaxial) 기판 또는 SOI(Silicon On Insulator) 기판 중 선택된 어느 하나의 기판을 사용하는 후면 조사 이미지 센서.And the first substrate is any one selected from a bulk substrate, an epitaxial substrate, and a silicon on insulator (SOI) substrate. 제1 기판 내에 수광소자를 형성하는 단계;Forming a light receiving element in the first substrate; 상기 수광소자를 포함하는 상기 제1 기판 상에 층간 절연막을 형성하는 단계;Forming an interlayer insulating film on the first substrate including the light receiving element; 상기 층간 절연막과 상기 제1 기판을 일부 식각하여 비아홀을 형성하는 단계;Partially etching the interlayer insulating layer and the first substrate to form via holes; 상기 비아홀이 매립되도록 정렬키를 형성하는 단계;Forming an alignment key to fill the via hole; 상기 정렬키를 포함하는 상기 제1 기판 상에 다층의 배선층을 형성하는 단계;Forming a multilayer wiring layer on the first substrate including the alignment key; 상기 배선층을 덮도록 보호층을 형성하는 단계;Forming a protective layer to cover the wiring layer; 상기 보호층에 제2 기판을 접합하는 단계;Bonding a second substrate to the protective layer; 상기 제1 기판의 배면으로 상기 정렬키의 배면을 노출시키는 단계;Exposing a back side of the alignment key to a back side of the first substrate; 상기 정렬키의 배면과 접속되도록 상기 제1 기판의 배면에 국부적으로 패드를 형성하는 단계; Forming a pad locally on a rear surface of the first substrate to be connected to a rear surface of the alignment key; 상기 패드를 포함하는 상기 제1 기판의 배면에 광 산란 방지막을 형성하는 단계; 및Forming a light scattering prevention film on a rear surface of the first substrate including the pad; And 상기 수광소자와 대응되도록 상기 광 산란 방지막 상에 칼라필터 및 마이크로 렌즈를 형성하는 단계Forming a color filter and a micro lens on the light scattering prevention layer so as to correspond to the light receiving element; 를 포함하는 후면 조사 이미지 센서의 제조방법.Method of manufacturing a back irradiation image sensor comprising a. 제1 기판 내에 수광소자를 형성하는 단계;Forming a light receiving element in the first substrate; 상기 수광소자를 덮도록 상기 제1 기판 상에 층간 절연막을 형성하는 단계;Forming an interlayer insulating film on the first substrate to cover the light receiving device; 상기 층간 절연막과 상기 제1 기판을 일부 식각하여 비아홀을 형성하는 단계;Partially etching the interlayer insulating layer and the first substrate to form via holes; 상기 비아홀이 매립되도록 정렬키를 형성하는 단계;Forming an alignment key to fill the via hole; 상기 정렬키를 포함하는 상기 제1 기판 상에 다층의 배선층을 형성하는 단계;Forming a multilayer wiring layer on the first substrate including the alignment key; 상기 배선층을 덮도록 보호층을 형성하는 단계;Forming a protective layer to cover the wiring layer; 상기 보호층에 제2 기판을 접합하는 단계;Bonding a second substrate to the protective layer; 상기 제1 기판의 배면으로 상기 정렬키의 배면을 노출시키는 단계;Exposing a back side of the alignment key to a back side of the first substrate; 상기 정렬키를 포함하여 상기 제1 기판의 배면에 광 산란 방지막을 형성하는 단계; Forming a light scattering prevention film on a rear surface of the first substrate including the alignment key; 상기 광 산란 방지막, 상기 제1 기판 및 상기 층간 절연막을 일부 식각하여 상기 배선층 중 최하부층의 배면을 노출시키는 단계; 및Partially etching the light scattering preventing film, the first substrate, and the interlayer insulating film to expose a bottom surface of a lowermost layer of the wiring layer; And 상기 수광소자와 대응되도록 상기 광 산란 방지막 상에 칼라필터 및 마이크로 렌즈를 형성하는 단계Forming a color filter and a micro lens on the light scattering prevention layer so as to correspond to the light receiving element; 를 포함하는 후면 조사 이미지 센서의 제조방법.Method of manufacturing a back irradiation image sensor comprising a. 제 24 항 또는 제 25 항에 있어서, The method of claim 24 or 25, 상기 광 산란 방지막은 굴절률이 서로 다른 물질이 적층된 다층막으로 형성하는 후면 조사 이미지 센서의 제조방법.The light scattering prevention film is a method of manufacturing a back-illuminated image sensor formed of a multilayer film in which materials having different refractive indices are stacked. 제 26 항에 있어서, The method of claim 26, 상기 다층막은 산화막과 질화막이 적층된 적층막으로 이루어지거나, 산화막과 탄소(carbon)가 함유된 막이 적층된 적층막으로 형성하는 후면 조사 이미지 센서의 제조방법.The multilayer film may be formed of a laminated film in which an oxide film and a nitride film are laminated, or a method of manufacturing a backside irradiation image sensor formed of a laminated film in which an oxide film and a carbon-containing film are laminated. 제 27 항에 있어서, The method of claim 27, 상기 다층막은 동일 챔버 내에서 인-시튜(in-situ) 공정으로 실시하는 후면 조사 이미지 센서의 제조방법.The multilayer film is a method of manufacturing a back-illuminated image sensor is carried out in-situ process in the same chamber. 제 27 항에 있어서, The method of claim 27, 상기 다층막은 다른 챔버 내에서 익스-시튜(ex-situ) 방식으로 실시하는 후면 조사 이미지 센서의 제조방법,The multi-layer film manufacturing method of the back-illuminated image sensor is carried out in an ex-situ method in another chamber, 제 27 항에 있어서, The method of claim 27, 상기 산화막은 BPSG(BoroPhosphoSilicate Glass), PSG(PhosphoSilicate Glass), BSG(BoroSilicate Glass), USG(Un-doped Silicate Glass), TEOS(Tetra Ethyle Ortho Silicate) 또는 HDP(High Density Plasma)막 중 선택된 어느 하나의 막으로 형성하는 후면 조사 이미지 센서의 제조방법.The oxide film is any one selected from BPSG (BoroPhosphoSilicate Glass), PSG (PhosphoSilicate Glass), BSG (BoroSilicate Glass), USG (Un-doped Silicate Glass), TEOS (Tetra Ethyle Ortho Silicate), or HDP (High Density Plasma) film. Method of manufacturing a back-illuminated image sensor formed of a film. 제 27 항에 있어서, The method of claim 27, 상기 질화막은 실리콘질화막 또는 실리콘산화질화막으로 형성하는 후면 조사 이미지 센서의 제조방법.The nitride film is a silicon nitride film or a silicon oxynitride film is a manufacturing method of the backside irradiation image sensor. 제 27 항에 있어서, The method of claim 27, 상기 질화막은 Si3N4 대비 N-H 결합이 많은 N-H 부화 질화막으로 형성하는 후면 조사 이미지 센서의 제조방법. The nitride film is a method of manufacturing a back-illuminated image sensor formed of an NH-enriched nitride film with a lot of NH bonds compared to Si 3 N 4 . 제 32 항에 있어서, The method of claim 32, 상기 N-H 부화 질화막은 실란(SiH4) 가스와 암모니아(NH3) 가스의 유량비(SiH4:NH3)를 1:1~1:20 범위 내에서 실시하여 형성하는 후면 조사 이미지 센서의 제조방법.The NH-enriched nitride film is a method of manufacturing a backside irradiation image sensor formed by performing a flow rate ratio (SiH 4 : NH 3 ) of a silane (SiH 4 ) gas and an ammonia (NH 3 ) gas within a range of 1: 1 to 1:20. 제 27 항에 있어서, The method of claim 27, 상기 산화막은 1000~10000Å 두께로 형성하는 후면 조사 이미지 센서의 제조방법.The oxide film is a manufacturing method of the back-illuminated image sensor to form a thickness of 1000 ~ 10000Å. 제 27 항에 있어서, The method of claim 27, 상기 질화막 또는 상기 탄소가 함유된 막은 100~5000Å 두께로 형성하는 후면 조사 이미지 센서의 제조방법.The nitride film or the carbon-containing film is a method of manufacturing a back-illuminated image sensor to form a thickness of 100 ~ 5000Å. 제 24 항에 있어서, The method of claim 24, 상기 정렬키는 전도성 물질로 형성하는 후면 조사 이미지 센서의 제조방법.And the alignment key is formed of a conductive material. 제 25 항에 있어서, The method of claim 25, 상기 정렬키는 절연물질로 형성하는 후면 조사 이미지 센서의 제조방법.And the alignment key is formed of an insulating material. 제 24 항 또는 제 25 항에 있어서, The method of claim 24 or 25, 상기 정렬키는 복수 개로 형성하는 후면 조사 이미지 센서의 제조방법.And a plurality of alignment keys. 제 24 항 또는 제 25 항에 있어서, The method of claim 24 or 25, 상기 정렬키는 필라(pillar) 구조로 형성하는 후면 조사 이미지 센서의 제조방법.The alignment key is a manufacturing method of a back-illuminated image sensor formed in a pillar (pillar) structure. 제 24 항에 있어서, The method of claim 24, 상기 비아홀을 형성하는 단계 후, After forming the via hole, 상기 비아홀의 내부면에 장벽층을 형성하는 단계를 더 포함하는 후면 조사 이미지 센서의 제조방법.And forming a barrier layer on an inner surface of the via hole. 제 24 항 또는 제 25 항에 있어서, The method of claim 24 or 25, 상기 제1 기판은 벌크(bulk) 기판, 에피텍셜(epitaxial) 기판 또는 SOI(Silicon On Insulator) 기판 중 선택된 어느 하나의 기판을 사용하는 후면 조사 이미지 센서의 제조방법.The first substrate is a method of manufacturing a back-illuminated image sensor using any one selected from a bulk substrate, an epitaxial substrate or a silicon on insulator (SOI) substrate. 제 41 항에 있어서, 42. The method of claim 41 wherein 상기 SOI 기판을 사용하는 경우 상기 비아홀을 형성하는 단계는,In the case of using the SOI substrate, the forming of the via hole may include: 상기 비아홀이 상기 SOI 기판의 매몰 산화층을 관통하도록 형성하는 후면 조사 이미지 센서의 제조방법.And a via hole penetrating the buried oxide layer of the SOI substrate. 제 41 항에 있어서, 42. The method of claim 41 wherein 상기 SOI 기판을 사용하는 경우 상기 비아홀을 형성하는 단계는,In the case of using the SOI substrate, the forming of the via hole may include: 상기 비아홀의 일부가 상기 SOI 기판의 매몰 산화층까지 확장되도록 형성하는 후면 조사 이미지 센서의 제조방법.And forming a portion of the via hole to extend into the buried oxide layer of the SOI substrate. 제 43 항에 있어서, The method of claim 43, 상기 정렬키의 배면을 노출시키는 단계는, Exposing the back of the alignment key, 상기 SOI 기판의 배면을 백 그라인딩(back grinding)하는 단계; 및Back grinding the back surface of the SOI substrate; And 상기 정렬키의 배면이 노출되도록 상기 매몰 산화층을 식각하는 단계Etching the buried oxide layer so that the back side of the alignment key is exposed 를 포함하는 후면 조사 이미지 센서의 제조방법.Method of manufacturing a back irradiation image sensor comprising a. 제 43 항에 있어서, The method of claim 43, 상기 패드를 형성하는 단계 후, After forming the pad, 상기 수광소자와 중첩되는 영역에 형성된 상기 매몰 산화층을 제거하는 단계 를 더 포함하는 이미지 센서의 제조방법.And removing the buried oxide layer formed in an area overlapping with the light receiving element. 제 24 항 또는 제 25 항에 있어서, The method of claim 24 or 25, 상기 칼라필터와 상기 마이크로 렌즈를 형성하는 단계 후,After forming the color filter and the micro lens, 상기 제1 및 제2 기판을 패키징하는 단계를 더 포함하는 후면 조사 이미지 센서의 제조방법. And packaging the first and second substrates.
KR1020080036203A 2007-12-05 2008-04-18 Back-illuminated image sensor and its manufacturing method KR101053768B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020080036203A KR101053768B1 (en) 2008-04-18 2008-04-18 Back-illuminated image sensor and its manufacturing method
US12/289,901 US8212328B2 (en) 2007-12-05 2008-11-06 Backside illuminated image sensor
US13/525,851 US8772072B2 (en) 2007-12-05 2012-06-18 Backside illuminated image sensor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080036203A KR101053768B1 (en) 2008-04-18 2008-04-18 Back-illuminated image sensor and its manufacturing method

Publications (2)

Publication Number Publication Date
KR20090110612A true KR20090110612A (en) 2009-10-22
KR101053768B1 KR101053768B1 (en) 2011-08-02

Family

ID=41538442

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080036203A KR101053768B1 (en) 2007-12-05 2008-04-18 Back-illuminated image sensor and its manufacturing method

Country Status (1)

Country Link
KR (1) KR101053768B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140005422A (en) * 2012-07-03 2014-01-15 삼성전자주식회사 Methods of fabricating semiconductor devices
KR20150123686A (en) * 2014-04-25 2015-11-04 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method and apparatus for forming back side illuminated image sensors with embedded color filters
US9543165B2 (en) 2015-02-13 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
KR20190037629A (en) * 2017-09-29 2019-04-08 엘지디스플레이 주식회사 Photo detecting apparatus and method of manufacturing the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100533166B1 (en) 2000-08-18 2005-12-02 매그나칩 반도체 유한회사 CMOS image sensor having low temperature oxide for protecting microlens and method for fabricating the same
JP4046069B2 (en) * 2003-11-17 2008-02-13 ソニー株式会社 Solid-state imaging device and manufacturing method of solid-state imaging device
US8049293B2 (en) * 2005-03-07 2011-11-01 Sony Corporation Solid-state image pickup device, electronic apparatus using such solid-state image pickup device and method of manufacturing solid-state image pickup device
KR20080013566A (en) * 2006-08-09 2008-02-13 삼성전자주식회사 Image sensor and method for forming the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140005422A (en) * 2012-07-03 2014-01-15 삼성전자주식회사 Methods of fabricating semiconductor devices
KR20150123686A (en) * 2014-04-25 2015-11-04 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method and apparatus for forming back side illuminated image sensors with embedded color filters
US9543165B2 (en) 2015-02-13 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
KR20190037629A (en) * 2017-09-29 2019-04-08 엘지디스플레이 주식회사 Photo detecting apparatus and method of manufacturing the same

Also Published As

Publication number Publication date
KR101053768B1 (en) 2011-08-02

Similar Documents

Publication Publication Date Title
US8772072B2 (en) Backside illuminated image sensor
US8969194B2 (en) Backside illuminated image sensor and manufacturing method thereof
US10020338B2 (en) Backside illuminated image sensor
KR101038889B1 (en) image sensor and method for fabricating the same
US8710563B2 (en) Image sensor and method for fabricating the same
US7342211B2 (en) Image sensor and method of manufacturing the same
KR101053768B1 (en) Back-illuminated image sensor and its manufacturing method
KR100938951B1 (en) Backside illuminated image sensor and method for manufacturing the same
KR100938723B1 (en) Backside illuminated image sensor and method for manufacturing the same
KR20100055737A (en) Image sensor and method for fabricating the same

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140627

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190624

Year of fee payment: 9