KR100938951B1 - Backside illuminated image sensor and method for manufacturing the same - Google Patents

Backside illuminated image sensor and method for manufacturing the same Download PDF

Info

Publication number
KR100938951B1
KR100938951B1 KR1020070125506A KR20070125506A KR100938951B1 KR 100938951 B1 KR100938951 B1 KR 100938951B1 KR 1020070125506 A KR1020070125506 A KR 1020070125506A KR 20070125506 A KR20070125506 A KR 20070125506A KR 100938951 B1 KR100938951 B1 KR 100938951B1
Authority
KR
South Korea
Prior art keywords
substrate
layer
alignment key
image sensor
interlayer insulating
Prior art date
Application number
KR1020070125506A
Other languages
Korean (ko)
Other versions
KR20090058761A (en
Inventor
표성규
Original Assignee
크로스텍 캐피탈, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 크로스텍 캐피탈, 엘엘씨 filed Critical 크로스텍 캐피탈, 엘엘씨
Priority to KR1020070125506A priority Critical patent/KR100938951B1/en
Priority to US12/289,901 priority patent/US8212328B2/en
Publication of KR20090058761A publication Critical patent/KR20090058761A/en
Application granted granted Critical
Publication of KR100938951B1 publication Critical patent/KR100938951B1/en
Priority to US13/525,851 priority patent/US8772072B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14634Assemblies, i.e. Hybrid structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/1469Assemblies, i.e. hybrid integration

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

본 발명은 웨이퍼 후면으로부터 빛을 비추는 후면 조사 이미지 센서 및 그 제조방법을 제공하기 위한 것으로, 이를 위해 본 발명은 제 1 기판 내에 형성된 수광 소자와, 상기 수광 소자를 덮도록 형성된 층간 절연막과, 상기 수광 소자와 이격되어 상기 층간 절연막과 상기 제 1 기판을 관통하여 형성된 정렬 키와, 상기 층간 절연막 상에 다층으로 형성되고, 최하부층의 배면이 노출된 배선층과, 상기 배선층 상면을 덮도록 형성된 보호층과, 상기 수광 소자와 대응되도록 상기 제 1 기판의 배면 상에 형성된 칼라필터 및 마이크로 렌즈를 포함하는 후면 조사 이미지 센서를 제공한다. The present invention is to provide a back-illuminated image sensor that emits light from the back surface of the wafer and a method of manufacturing the same. An alignment key formed to penetrate the interlayer insulating film and the first substrate to be spaced apart from the device, a wiring layer formed on the interlayer insulating film in multiple layers, and having a rear surface of a lowermost layer exposed, and a protective layer formed to cover the upper surface of the wiring layer; And a color filter and a micro lens formed on a rear surface of the first substrate so as to correspond to the light receiving element.

이미지 센서, 후면 조사 이미지 센서, 정렬 키 Image sensor, back-illumination image sensor, alignment key

Description

후면 조사 이미지 센서 및 그 제조방법{BACKSIDE ILLUMINATED IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME}BACKSIDE ILLUMINATED IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME}

본 발명은 반도체 제조 기술에 관한 것으로, 특히 이미지 센서 및 그 제조방법, 더욱 구체적으로 후면 조사(backside illuminated) 이미지 센서 및 그 제조방법에 관한 것이다.TECHNICAL FIELD The present invention relates to semiconductor manufacturing technology, and more particularly, to an image sensor and a method for manufacturing the same, and more particularly to a backside illuminated image sensor and a method for manufacturing the same.

일반적으로, CMOS(Complementary Metal Oxide Semiconductor) 이미지 센서는 한정된 면적의 칩 내에 수광 소자부, 디지탈 제어 블럭, 아날로그-디지탈 변환기 등과 같은 주변회로를 함께 배치하기 때문에 칩 면적당 화소 어레이(pixel array)의 면적비가 40% 내외로 한정되어 있다. 또한, 고화질을 구현하기 위해 화소 크기가 감소하게 되고, 이에 따라 하나의 수광 소자에서 받아들일 수 있는 빛의 양이 감소하게 되어 잡음 증가 등에 따른 이미지 손실 등의 여러 가지 문제점이 발생되고 있다. In general, a complementary metal oxide semiconductor (CMOS) image sensor has a peripheral area such as a light receiving element unit, a digital control block, an analog-to-digital converter, and the like in a limited area chip, so that the area ratio of the pixel array per chip area is high. It is limited to around 40%. In addition, the pixel size is reduced in order to achieve high quality, and thus, the amount of light that can be received by one light receiving element is reduced, resulting in various problems such as image loss due to increased noise.

따라서, 본 발명은 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 웨이퍼 후면으로부터 빛을 비추는 후면 조사 이미지 센서 및 그 제조방법을 제공하는데 그 목적이 있다. Accordingly, the present invention has been proposed to solve the problems of the prior art, and an object thereof is to provide a back-illuminated image sensor and a method of manufacturing the same that emit light from the back of the wafer.

상기한 목적을 달성하기 위한 일 측면에 따른 본 발명은, 제 1 기판 내에 형성된 수광 소자와, 상기 수광 소자를 덮도록 형성된 층간 절연막과, 상기 수광 소자와 이격되어 상기 층간 절연막과 상기 제 1 기판을 관통하여 형성된 정렬 키와, 상기 층간 절연막 상에 다층으로 형성되고, 최하부층의 배면이 노출된 배선층과, 상기 배선층 상면을 덮도록 형성된 보호층과, 상기 수광 소자와 대응되도록 상기 제 1 기판의 배면 상에 형성된 칼라필터 및 마이크로 렌즈를 포함하는 후면 조사 이미지 센서를 제공한다. According to an aspect of the present invention, there is provided a light receiving device formed in a first substrate, an interlayer insulating film formed to cover the light receiving device, and the interlayer insulating film and the first substrate spaced apart from the light receiving device. An alignment key formed through the layer, a wiring layer formed on the interlayer insulating layer in a multilayer manner, and a rear surface of the lowermost layer exposed; a protective layer formed to cover the upper surface of the wiring layer; and a rear surface of the first substrate so as to correspond to the light receiving element. Provided is a back side illuminated image sensor comprising a color filter and a micro lens formed thereon.

또한, 상기한 목적을 달성하기 위한 다른 측면에 따른 본 발명은, 제 1 기판 내에 수광 소자를 형성하는 단계와, 상기 수광 소자를 덮도록 상기 제 1 기판 상에 층간 절연막을 형성하는 단계와, 상기 층간 절연막과 상기 제 1 기판을 일부 식각하여 비아홀을 형성하는 단계와, 상기 비아홀이 매립되도록 정렬 키를 형성하는 단계와, 상기 배선층을 덮도록 보호막을 형성하는 단계와, 상기 보호막에 제 2 기판을 접합하는 단계와, 상기 제 1 기판의 배면으로 상기 정렬 키의 배면을 노출시키는 단계와, 상기 제 1 기판과 상기 층간 절연막을 식각하여 상기 배선층 중 최하부층의 배면을 노출시키는 단계와, 상기 수광 소자와 대응되도록 상기 제 1 기판의 배면 상에 칼라필터 및 마이크로 렌즈를 형성하는 단계를 포함하는 후면 조사 이미지 센서의 제조방법을 제공한다.In addition, the present invention according to another aspect for achieving the above object, forming a light receiving element in the first substrate, forming an interlayer insulating film on the first substrate to cover the light receiving element, Forming a via hole by partially etching the interlayer insulating film and the first substrate, forming an alignment key to fill the via hole, forming a protective film to cover the wiring layer, and forming a second substrate on the protective film. Bonding, exposing a rear surface of the alignment key to a rear surface of the first substrate, etching the first substrate and the interlayer insulating layer to expose a rear surface of a lowermost layer of the wiring layer, and the light receiving device And forming a color filter and a micro lens on a rear surface of the first substrate so as to correspond to the first substrate. The.

이상에서 설명한 구성을 갖는 본 발명에 의하면, 다음과 같은 효과들을 얻을 수 있다. According to the present invention having the configuration described above, the following effects can be obtained.

첫째, 본 발명에 의하면, 기판(웨이퍼)의 배면으로부터 빛을 비추는 후면 조사 이미지 센서를 제공함으로써 종래기술에 따른 CMOS 이미지 센서(전면 조사 이미지 센서)에 비해 수광 소자로 입사되는 빛의 손실을 최소화하여 수광 수율을 개선시킬 수 있다.First, according to the present invention, by providing a back-illuminated image sensor that shines light from the back of the substrate (wafer) to minimize the loss of light incident to the light receiving element compared to the conventional CMOS image sensor (front-illuminated image sensor) The light receiving yield can be improved.

둘째, 본 발명에 의하면, 백 그라인딩(back grinding) 공정을 적용하는 후면 조사 이미지 센서의 제조방법에 있어서, 기판의 배면을 그라인딩하는 백 그라인딩 공정 전에 기판 내에 비아홀 형태의 정렬 키를 형성하고, 이를 이용하여 백 그라인딩 공정시 기판의 배면 그라인딩 타겟(target)을 제어함으로써 백 그라인딩 공정 제어가 용이하다. Second, according to the present invention, in the method of manufacturing a back-illuminated image sensor applying a back grinding process, a via-hole alignment key is formed in the substrate before the back grinding process of grinding the back surface of the substrate, and using the same. Therefore, the back grinding process can be easily controlled by controlling the back grinding target of the substrate during the back grinding process.

셋째, 본 발명에 의하면, 기판을 배면 방향으로 식각하여 기판 상면에 형성된 배선층 중 최하부층의 배면을 노출시키고, 노출된 최하부층의 배면을 패드로 사용하여 후속 공정을 통해 와이어 본딩(wire bonding)함으로써 패드를 전면이 아닌 기판 배면에 배치하는 것이 가능하여, 패키징(packaging) 공정시 다양한 설계가 가능하도록 한다. Third, according to the present invention, by etching the substrate in the rear direction to expose the bottom of the lowermost layer of the wiring layer formed on the upper surface of the substrate, and using the exposed back of the lower layer as a pad by wire bonding (wire bonding) through a subsequent process It is possible to place the pads on the back of the substrate rather than on the front, which allows for a variety of designs during the packaging process.

이하에서는, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 구체적으로 설명한다. 또한, 도면들에 있어서, 층 및 영역들의 두께와 간격은 설명의 편의와 명확성을 기하기 위하여 과장되어진 것이며, 층이 다른 층 또는 기판 '상' 또는 '상부'에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나, 또는 그들 사이에 제3의 층이 개재될 수도 있다. 또한, 명세서 전체에 걸쳐서 동일한 도면번호로 표시된 부분은 동일한 층을 나타내며, 각 도면번호에 영문을 포함하는 경우 동일층이 식각 또는 연마 공정을 통해 일부가 변형된 것을 의미한다. 또한, 제 1 및 제 2 도전형은 p형 또는 n형으로 서로 다른 도전형을 의미한다. Hereinafter, with reference to the accompanying drawings, the most preferred embodiment of the present invention will be described in detail. In addition, in the drawings, the thicknesses and spacings of layers and regions are exaggerated for ease of explanation and clarity, and when referred to as being on or above another layer or substrate, it is different. It may be formed directly on the layer or the substrate, or a third layer may be interposed therebetween. In addition, the parts denoted by the same reference numerals throughout the specification represent the same layer, and when the reference numerals include the English, it means that the same layer is partially modified through an etching or polishing process. In addition, the first and second conductivity types are p-type or n-type means different conductivity types.

실시예Example

도 1은 본 발명의 실시예에 따른 후면 조사 이미지 센서를 설명하기 위하여 도시한 단면도이다. 여기서는, 설명의 편의를 위해 일례로 CMOS 이미지 센서의 단위 화소 중에서 포토 다이오드와 드라이빙 트랜지스터의 게이트 전극만을 도시하였다.1 is a cross-sectional view illustrating a backside illumination image sensor according to an exemplary embodiment of the present invention. For convenience of explanation, only the photodiode and the gate electrode of the driving transistor are shown among the unit pixels of the CMOS image sensor.

도 1에 도시된 바와 같이, 본 발명의 실시예에 따른 후면 조사 이미지 센서는 소자 웨이퍼(device wafer)-포토 다이오드와 같은 수광 소자가 형성되는 웨이퍼-와 핸들 웨이퍼(handle wafer, 200)-디지탈 블록, 아날로그 디지탈 변환기 등 주변회로가 형성되는 웨이퍼-가 접합된 구조로 이루어진다. 이하에서는 설명의 편의를 위해 소자 웨이퍼는 제 1 기판이라 명명하고, 핸들 웨이퍼는 제 2 기판이라 명명하기로 한다. As shown in FIG. 1, a backside illumination image sensor according to an embodiment of the present invention is a device wafer-a wafer on which a light receiving element such as a photodiode is formed, and a handle wafer 200-a digital block. And a wafer-bonded structure in which a peripheral circuit such as an analog digital converter is formed. Hereinafter, for convenience of description, the device wafer will be referred to as a first substrate, and the handle wafer will be referred to as a second substrate.

본 발명의 실시예에 따른 후면 조사 이미지 센서는 제 1 기판(100C)과, 제 1 기판(100C) 내에 형성된 수광 소자, 예컨대 포토 다이오드(106)와, 포토 다이오드(106)를 덮도록 형성된 층간 절연막(108B)과, 층간 절연막(108B)과 제 1 기판(100C)을 관통하여 필라(pillar) 구조로 형성된 정렬 키(align key, 112A)와, 층간 절연막(108B) 상에 다층으로 형성되고, 최하부층의 배면이 노출되도록 형성된 배선층(M1~M4)과, 배선층(M1~M4)을 덮도록 형성된 보호층(124)과, 포토 다이오드(106)와 대응되도록 제 1 기판(100C)의 배면 상에 형성된 칼라필터(126) 및 마이크로 렌즈(128)를 포함한다. 또한, 본 발명의 실시예에 따른 후면 조사 이미지 센서는 보호층(124)과 접합된 제 2 기판(200)을 더 포함한다. The back-illuminated image sensor according to the exemplary embodiment of the present invention includes an interlayer insulating layer formed to cover the first substrate 100C, a light receiving element formed in the first substrate 100C, for example, the photodiode 106 and the photodiode 106. 108B, an alignment key 112A formed through a pillar structure through the interlayer insulating film 108B and the first substrate 100C, and formed on the interlayer insulating film 108B in multiple layers, On the back surface of the first substrate 100C to correspond to the photodiode 106 and the wiring layers M1 to M4 formed to expose the back surface of the layer, the protective layer 124 formed to cover the wiring layers M1 to M4, and the photodiode 106. The formed color filter 126 and the micro lens 128 are included. In addition, the backside illumination image sensor according to the embodiment of the present invention further includes a second substrate 200 bonded to the protective layer 124.

정렬 키(112A)는 복수 개로 형성되고, 상면이 배선층(M1~M4) 중 최하부층(M1)의 배면과 국부적으로 접속된다. 이러한 정렬 키(112A)는 절연물질, 예컨대 산화막 계열의 물질 또는 질화막 계열의 물질로 형성할 수 있다. 또한, 정렬 키(112A)는 원형(타원형 포함) 또는 다각형(삼각형, 사각형, 오각형 등)으로 형성되며, 그 개수와 크기(폭)는 제한을 두지 않는다.112 A of aligning keys are formed in multiple numbers, and the upper surface is locally connected with the back surface of the lowest layer M1 among wiring layers M1-M4. The alignment key 112A may be formed of an insulating material, for example, an oxide film-based material or a nitride film-based material. In addition, the alignment keys 112A are formed in a circle (including ellipses) or polygons (triangles, squares, pentagons, etc.), and the number and size (width) thereof are not limited.

제 1 및 제 2 기판(100C, 200)은 각각 벌크(bulk) 기판, 에피텍셜(epitaxial) 기판 또는 SOI(Silicon On Insulator) 기판 중 선택된 어느 하나의 기판을 사용할 수 있다. The first and second substrates 100C and 200 may use any one of a bulk substrate, an epitaxial substrate, and a silicon on insulator (SOI) substrate, respectively.

또한, 본 발명의 실시예에 따른 후면 조사 이미지 센서는 포토 다이오드(106)에 집광된 광신호를 전달 및 처리(증폭)하기 위한 복수 개의 트랜지스터를 더 포함한다. 일례로, 복수 개의 트랜지스터 중 드라이빙 트랜지스터는 제 1 기판(100C)과 층간 절연막(108B) 사이에 형성된 게이트 전극(104)과, 게이트 전극(104)의 양측으로 노출된 제 1 기판(100C) 내에 형성된 소스 및 드레인 영역(107)을 포함한다. In addition, the back-illuminated image sensor according to the embodiment of the present invention further includes a plurality of transistors for transmitting and processing (amplifying) the optical signal focused on the photodiode 106. For example, the driving transistor among the plurality of transistors is formed in the gate electrode 104 formed between the first substrate 100C and the interlayer insulating film 108B, and in the first substrate 100C exposed to both sides of the gate electrode 104. Source and drain regions 107.

이하, 도 1에 도시된 본 발명의 실시예에 따른 후면 조사 이미지 센서의 제조방법을 설명하기로 한다. Hereinafter, a method of manufacturing a backside illuminated image sensor according to an exemplary embodiment of the present invention shown in FIG. 1 will be described.

도 2a 내지 도 2i는 본 발명의 실시예에 따른 후면 조사 이미지 센서의 제조방법을 도시한 공정 단면도이다. 2A to 2I are cross-sectional views illustrating a method of manufacturing a backside illuminated image sensor according to an exemplary embodiment of the present invention.

먼저, 도 2a에 도시된 바와 같이, 반도체 기판, 즉 제 1 기판(100)을 준비한다. 이때, 제 1 기판(100)은 벌크 기판, 에픽텍셜 기판 또는 SOI 기판을 사용할 수 있다. 도면들에 있어서는 설명의 편의를 위해 SOI 기판을 사용하는 것으로 도시하였다. First, as shown in FIG. 2A, a semiconductor substrate, that is, a first substrate 100 is prepared. In this case, the first substrate 100 may use a bulk substrate, an epitaxial substrate, or an SOI substrate. In the drawings, an SOI substrate is used for convenience of description.

또한, 제 1 기판(100)은 소자의 설계에 따라 제 1 도전형(p형) 또는 제 2 도전형(n형)으로 도핑될 수 있다. 여기서는, 제 1 도전형으로 도핑된 것을 예로 설명한다. In addition, the first substrate 100 may be doped with a first conductivity type (p type) or a second conductivity type (n type) according to the design of the device. Here, what is doped with the first conductivity type will be described as an example.

이어서, 제 1 기판(100) 상에 실리콘성장법을 이용하여 제 1 도전형으로 도핑된 에피층(epitaxial layer, 미도시)을 형성한다. 이때, 상기 에피층은 제 1 기판(100)보다 저농도로 도핑된다. 예컨대, 상기 에피층은 제 1 기판(100)으로 SOI 기판을 사용하는 경우에는 매몰 산화층(Buried Oxide, BOX) 상에 형성된 반도체층이거나, 반도체층 상에 별도로 형성할 수도 있다. 한편, SOI 기판에 있어서, 매몰 산화층(BOX)은 500~10000Å 두께로 형성되고, 매몰 산화층(BOX) 상부에 형성된 반도체층은 1~10㎛ 정도의 두께로 형성된다. Subsequently, an epitaxial layer (not shown) doped with a first conductivity type is formed on the first substrate 100 using the silicon growth method. In this case, the epi layer is doped at a lower concentration than the first substrate 100. For example, when the SOI substrate is used as the first substrate 100, the epitaxial layer may be a semiconductor layer formed on a buried oxide layer (BOX), or may be separately formed on the semiconductor layer. On the other hand, in the SOI substrate, the buried oxide layer BOX is formed to a thickness of 500-10000 kPa, and the semiconductor layer formed on the buried oxide layer BOX is formed to a thickness of about 1 ~ 10㎛.

이어서, 제 1 기판(100) 내에 국부적으로 소자 분리막(101)을 형성한다. 이때, 소자 분리막(101)은 STI(Shallow Trench Isolation) 공정 또는 LOCOS(LOCal Oxidation of Silicon) 공정으로 형성할 수도 있으나, 동도면에서와 같이 고집적화에 유리한 STI 공정으로 형성하는 것이 바람직하다. STI 공정을 적용하는 경우 높은 종횡비에서도 매립 특성이 우수한 고밀도 플라즈마(High Density Plasma, 이하 HDP라 함)막 또는 HDP막과 SOD(Spin On Dielectric)막의 적층막으로 형성할 수 있다. Subsequently, a device isolation film 101 is locally formed in the first substrate 100. In this case, the device isolation layer 101 may be formed by a shallow trench isolation (STI) process or a LOCOS (LOCal Oxidation of Silicon) process. However, the device isolation layer 101 may be formed by an STI process that is advantageous for high integration, as shown in FIG. When the STI process is applied, it may be formed of a high density plasma (HDP) film having excellent embedding characteristics even at a high aspect ratio or a laminated film of an HDP film and a spin on dielectric (SOD) film.

이어서, 제 1 기판(100) 상에 게이트 절연막(102) 및 게이트 도전막(103)을 형성한 후 이들을 식각하여 드라이빙 트랜지스터의 게이트 전극(104)을 형성한다. 이와 동시에 도시되진 않았지만 CMOS 이미지 센서의 단위 화소를 구성하는 리셋 트랜지스터, 트랜스퍼 트랜지스터, 셀렉트 트랜지스터의 게이트 전극 또한 함께 형성된다. Subsequently, the gate insulating layer 102 and the gate conductive layer 103 are formed on the first substrate 100 and then etched to form the gate electrode 104 of the driving transistor. At the same time, although not shown, the gate electrodes of the reset transistor, the transfer transistor, and the select transistor constituting the unit pixel of the CMOS image sensor are also formed.

이어서, 게이트 전극(104)의 양측벽에 스페이서(spacer, 105)를 형성한다. 이때, 스페이서(105)는 산화막, 질화막 또는 이들이 적층된 적층막으로 형성할 수 있다. Subsequently, spacers 105 are formed on both side walls of the gate electrode 104. In this case, the spacer 105 may be formed of an oxide film, a nitride film, or a laminated film in which they are stacked.

한편, 스페이서(105) 형성 전에 게이트 전극(104) 양측으로 노출되는 제 1 기판(100) 내에 제 2 도전형으로 저농도로 도핑된 LDD(Lightly Doped Drain)영역(미도시)을 형성할 수도 있다. Meanwhile, a lightly doped drain (LDD) region (not shown) that is lightly doped with a second conductivity type may be formed in the first substrate 100 exposed to both sides of the gate electrode 104 before the spacer 105 is formed.

이어서, 제 1 기판(100) 내에 제 2 도전형으로 저농도로 도핑된 포토 다이오드(106)를 형성한다. Subsequently, a lightly doped photodiode 106 of the second conductivity type is formed in the first substrate 100.

이어서, 스페이서(105) 양측으로 노출되는 제 1 기판(100) 내에 제 2 도전형으로 고농도로 도핑된 소스 및 드레인 영역(107)을 형성한다. 이때, 소스 및 드레인 영역(107)은 상기 LDD 영역과 포토 다이오드(106)보다 높은 도핑 농도를 갖도록 형성한다. Subsequently, source and drain regions 107 heavily doped with a second conductivity type are formed in the first substrate 100 exposed to both sides of the spacer 105. In this case, the source and drain regions 107 are formed to have a higher doping concentration than the LDD region and the photodiode 106.

이어서, 포토 다이오드(106)의 표면 잡음을 방지하기 위하여 포토 다이오드(106)의 상부 표면을 덮도록 제 1 도전형으로 도핑된 도핑영역(미도시)을 더 형성할 수도 있다. Subsequently, a doped region (not shown) doped with a first conductivity type may be further formed to cover the upper surface of the photodiode 106 to prevent surface noise of the photodiode 106.

한편, 상기에서는 게이트 전극(104), 스페이서(105), 포토 다이오드(106), 소스 및 드레인 영역(107)을 순차적으로 형성하는 방법을 예로 들어 설명하였으나, 이들이 형성되는 순서는 제한을 두지 않으며, 설계에 따라 형성되는 순서가 변경될 수 있다. Meanwhile, the method of sequentially forming the gate electrode 104, the spacer 105, the photodiode 106, the source and drain regions 107 has been described as an example, but the order in which they are formed is not limited. The order in which they are formed may vary depending on the design.

이어서, 게이트 전극(104), 스페이서(105), 포토 다이오드(106), 소스 및 드레인 영역(107)을 포함하는 제 1 기판(100)을 덮도록 층간 절연막(108)을 형성한다. 이때, 층간 절연막(108)은 산화막, 예컨대 실리콘이 함유된 산화막(SiO2)으로 형성할 수 있으며, 더욱 구체적으로 BPSG(BoroPhosphoSilicate Glass), PSG(PhosphoSilicate Glass), BSG(BoroSilicate Glass), USG(Un-doped Silicate Glass), TEOS(Tetra Ethyle Ortho Silicate), HDP막 중 선택된 어느 하나의 막으로 형성하거나 이들의 적층막으로 형성할 수 있다. 이외에도, SOD(Spin On Dielectric)막과 같이 스핀 코팅(spin coating) 방식으로 도포되는 막으로 형성할 수도 있다. Next, an interlayer insulating film 108 is formed to cover the first substrate 100 including the gate electrode 104, the spacer 105, the photodiode 106, the source and drain regions 107. In this case, the interlayer insulating film 108 may be formed of an oxide film, for example, an oxide film containing silicon (SiO 2 ), and more specifically, BoroPhosphoSilicate Glass (BPSG), PhosphoSilicate Glass (PSG), BoroSilicate Glass (BSG), and USG (Un). It may be formed of any one selected from -doped Silicate Glass (TEOS), TEOS (Tetra Ethyle Ortho Silicate), HDP film or a laminated film thereof. In addition, it may be formed of a film coated by a spin coating method, such as a spin on dielectric (SOD) film.

이어서, 도 2b에 도시된 바와 같이, 식각공정을 실시하여 국부적으로 층간 절연막(108A)을 식각하고, 이를 통해 소스 및 드레인 영역(107)이 노출되는 콘택홀(109)을 형성한다. 이때, 상기 식각공정은 건식식각 또는 습식식각공정 모두 가능하나, 수직한 프로파일(profile)을 형성하기 위해 건식식각공정으로 실시하는 것이 바람직하다.Subsequently, as illustrated in FIG. 2B, an etching process is performed to locally etch the interlayer insulating layer 108A, thereby forming a contact hole 109 through which the source and drain regions 107 are exposed. In this case, the etching process may be either a dry etching or a wet etching process, but it is preferable to perform the dry etching process to form a vertical profile.

이어서, 식각공정을 실시하여 국부적으로 층간 절연막(108A)과 제 1 기판(100A)을 식각하고, 이를 통해 층간 절연막(108A)에서 제 1 기판(100A)까지 연장되는 비아홀(110)을 형성한다. 이때, 비아홀(110)은 동도면에서 우측에 도시된 바와 같이 복수 개로 형성될 수 있다. Subsequently, an etching process is performed to locally etch the interlayer insulating film 108A and the first substrate 100A, thereby forming a via hole 110 extending from the interlayer insulating film 108A to the first substrate 100A. In this case, the via holes 110 may be formed in plural as shown on the right side of the same drawing.

이러한 비아홀(110)은 원형 구조로, 수직한 프로파일을 갖도록 형성하는 것이 바람직하다. 구체적으로, 수직 프로파일 각도(vertical profile angle)가 88~90°가 되도록 형성하고, 그 깊이는 층간 절연막(108A)의 상부면을 기준으로 20000Å 이하, 바람직하게는 4000~20000Å의 깊이로 형성한다. 더욱 구체적으로 제 1 기판(100A)의 상부면을 기준으로 1000~10000Å의 깊이로 형성한다. 또한, 그 폭(임계치수(critical Dimension, CD))는 1.6㎛ 이하, 바람직하게는 1.0~1.6㎛가 되도록 형성한다. 또한, 비아홀(110)의 바닥부 폭은 1.2㎛ 이하, 바람직하게는 1.0~1.4㎛가 되도록 형성한다. 또한, 비아홀(110)을 복수 개로 구현하는 경우 이들 간의 균일도(각도, 깊이 또는 폭)가 4% 이하가 되도록 형성하는 것이 바람직하다. 또한, 비아홀(110)의 개수와 형태는 제한을 두지 않는다. 특히 그 형태에 있어서 다양한 형태, 예컨대 원형 또는 다각형(삼각형, 사각형, 오각형, 팔각형 등) 구조로 구현 가능하다. The via hole 110 has a circular structure, and preferably has a vertical profile. Specifically, the vertical profile angle is formed to be 88 ~ 90 °, the depth is formed to a depth of 20000 Å or less, preferably 4000 ~ 20000 으로 relative to the upper surface of the interlayer insulating film (108A). More specifically, it is formed to a depth of 1000 ~ 10000A relative to the upper surface of the first substrate (100A). The width (critical dimension, CD) is formed to be 1.6 µm or less, preferably 1.0 to 1.6 µm. In addition, the bottom width of the via hole 110 is formed to be 1.2 μm or less, preferably 1.0 to 1.4 μm. In addition, when the plurality of via holes 110 are implemented, it is preferable that the uniformity (angle, depth, or width) therebetween is 4% or less. In addition, the number and shape of the via holes 110 is not limited. In particular, the form can be implemented in various forms, for example, a circular or polygonal (triangle, square, pentagon, octagon, etc.) structure.

한편, 콘택홀(109)과 비아홀(110) 형성공정은 동일 식각장비를 이용하여 인-시튜(in-situ)로 실시할 수 있다. 이때, 식각장비는 플라즈마 식각(plasma etch)장비를 이용한다. 또한, 형성 순서에 있어서, 비아홀(110)을 먼저 형성한 후 콘택홀(109)을 형성할 수도 있다. Meanwhile, the process of forming the contact hole 109 and the via hole 110 may be performed in-situ using the same etching equipment. At this time, the etching equipment uses a plasma etch (plasma etch) equipment. In addition, in the forming order, the via hole 110 may be formed first, and then the contact hole 109 may be formed.

구체적으로, 비아홀(110)을 형성하기 위한 식각공정은 건식식각공정을 이용하여 2단계로 실시한다. Specifically, the etching process for forming the via hole 110 is performed in two steps using a dry etching process.

먼저, 1단계는 층간 절연막(108A)까지 식각하는 단계로서, 감광막 패턴(미도시)에 대한 층간 절연막(108A)의 식각율(선택비)이 5:1~2:1(층간절연막:감광막 패턴), 바람직하게는 2.4:1(층간절연막:감광막 패턴)이 되는 조건으로 실시한다. 또한, 식각량은 7000~8000Å/min, 바람직하게는 7200Å/min이 되도록 실시한다. 예컨대, 식각조건으로는 100~200mTorr의 압력과, 100~2000W의 소스 파워(source power)를 사용하고, 소스 가스로 불화탄소 화합물, 예컨대 CHF3, CF4를 사용하며, 식각속 도와 이방성을 향상시키기 위해 Ar를 소스 가스에 더 첨가하여 사용한다. 이때, CHF3의 유량은 5~200sccm, CF4의 유량은 20~200sccm, Ar의 유량은 100~2000sccm으로 한다.First, the first step is to etch the interlayer insulating film 108A. The etching rate (selection ratio) of the interlayer insulating film 108A to the photosensitive film pattern (not shown) is 5: 1 to 2: 1 (interlayer insulating film: photosensitive film pattern). ), Preferably 2.4: 1 (interlayer insulating film: photosensitive film pattern). In addition, the etching amount is performed to be 7000 to 8000 Å / min, preferably 7200 Å / min. For example, as an etching condition, a pressure of 100 to 200 mTorr and a source power of 100 to 2000 W are used, and a fluorocarbon compound such as CHF 3 or CF 4 is used as a source gas to improve etching speed and anisotropy. Ar is further added to the source gas to be used. At this time, the flow rate of CHF 3 is set to 5 to 200 sccm, the flow rate of CF 4 is set to 20 to 200 sccm, and the flow rate of Ar is set to 100 to 2000 sccm.

2단계는 반도체 기판(100A)을 식각하는 단계로서, 식각량이 1000~3000Å/min, 바람직하게는 2000Å/min이 되도록 실시한다. 예컨대, 식각조건으로는 15~30mTorr의 압력과, 400~600W의 소스 파워(RF 파워)와, 80~120W의 바이어스 파워(bias power)-이온 직진성을 향상시키기 위한 파워-를 사용하고, 소스 가스로 SF6, O2를 사용한다. 이때, SF6의 유량은 5~200sccm, O2의 유량은 1~100sccm으로 한다. In the second step, the semiconductor substrate 100A is etched so that the etching amount is 1000 to 3000 m 3 / min, preferably 2000 m 2 / min. For example, as an etching condition, a pressure of 15 to 30 mTorr, a source power (RF power) of 400 to 600 W, a bias power of 80 to 120 W, and a power to improve ion straightness are used. SF 6 and O 2 are used. At this time, the flow rate of SF 6 is 5 ~ 200sccm, the flow rate of O 2 is 1 ~ 100sccm.

한편, 비아홀(110)은 매몰 산화층(BOX)이 100~4000Å 깊이로 과도 식각되어 매몰 산화층(BOX) 내부까지 일부 확장된 구조로 형성하거나, 동도면에 도시된 바와 같이 매몰 산화층(BOX)이 완전히 관통되도록 형성할 수도 있다. Meanwhile, the via hole 110 may be partially etched into the buried oxide layer (BOX) by over-etching the buried oxide layer (BOX) to a depth of 100 to 4000Å, or the buried oxide layer (BOX) may be completely as shown in FIG. It may be formed to penetrate.

또한, SOI 기판인 경우 매몰 산화층을 식각 정지막으로 사용하여 식각이 멈추도록 한다. In addition, in the case of the SOI substrate, the etching is stopped by using the buried oxide layer as an etch stop layer.

이어서, 도 2c에 도시된 바와 같이, 콘택홀(109, 도 2b참조)이 매립되도록 전도성 물질을 매립시켜 콘택 플러그(111)를 형성한다. 이때, 전도성 물질로는 불순물 이온이 도핑된 다결정실리콘막, 구리(Cu), 백금(Pt), 텅스텐(W), 알루미늄(Al) 또는 이들 물질을 포함하는 합금막을 사용할 수 있다. 하지만, 전도성 물질은 이들 물질에 제한되는 것은 아니며, 전도성을 갖는 모든 금속 또는 합금막을 사 용할 수 있다. 예컨대, 전도성 물질로 텅스텐을 사용하는 경우 CVD(Chemical Vapor Deposition) 공정 또는 ALD 공정으로 형성하고, 알루미늄을 사용하는 경우 CVD 공정으로 형성한다. 또한, 구리를 사용하는 경우에는 전기 도금법 또는 CVD 공정으로 형성한다. Subsequently, as shown in FIG. 2C, the conductive material is embedded to fill the contact hole 109 (see FIG. 2B) to form the contact plug 111. In this case, the conductive material may be a polycrystalline silicon film doped with impurity ions, copper (Cu), platinum (Pt), tungsten (W), aluminum (Al) or an alloy film containing these materials. However, the conductive material is not limited to these materials, and any metal or alloy film having conductivity may be used. For example, when tungsten is used as the conductive material, it is formed by CVD (Chemical Vapor Deposition) process or ALD process, and when aluminum is used, it is formed by CVD process. In addition, when copper is used, it is formed by an electroplating method or a CVD process.

이어서, 비아홀(110, 도 2b참조)이 매립되도록 절연물질(112)을 층간 절연막(108A)을 포함하는 전면에 형성한다. 이때, 절연물질(112)은 매립 특성이 우수하면서 층간 절연막(108A)과 높은 식각 선택비(또는, 연마 선택비)를 갖는 이종의 물질로 형성하는 것이 바람직다. 예컨대, 절연물질(112)은 산화막 계열의 물질 또는 질화막 계열의 물질로 형성한다. 구체적으로, 산화막 계열의 물질로는 실리콘산화막, 더욱 구체적으로, BPSG, BSG, USG, TEOS, HDP막 중 선택된 어느 하나의 막으로 형성하거나 이들의 적층막으로 형성할 수 있다. 또한, 질화막 계열의 물질로는 실리콘질화막으로 형성할 수 있다. 이외에도, 피복성이 우수한 SOD(Spin On Dielectric)막과 같이 스핀 코팅(spin coating) 방식으로 도포되는 막으로 형성할 수도 있다. Subsequently, an insulating material 112 is formed on the entire surface including the interlayer insulating layer 108A so as to fill the via hole 110 (see FIG. 2B). In this case, the insulating material 112 may be formed of a heterogeneous material having excellent embedding characteristics and having an interlayer insulating film 108A and a high etching selectivity (or polishing selectivity). For example, the insulating material 112 is formed of an oxide film-based material or a nitride film-based material. Specifically, the oxide-based material may be formed of a silicon oxide film, more specifically, a film selected from any one of BPSG, BSG, USG, TEOS, and HDP film, or a laminated film thereof. In addition, the nitride film-based material may be formed of a silicon nitride film. In addition, it may be formed of a film coated by a spin coating method such as a spin on dielectric (SOD) film having excellent coating properties.

이어서, 도 2d에 도시된 바와 같이, 평탄화 공정을 실시하여 비아홀(110, 도 2b참조) 내부에 고립된 필라 구조의 정렬 키(112A)를 형성한다. 이때, 평탄화 공정은 에치백(etch back) 공정 또는 CMP(Chemical Mechanical Polishing) 공정을 실시할 수 있다. 또한, 평탄화 공정시 층간 절연막(108A)을 식각 정지막 또는 연마 정지막으로 사용한다. Next, as illustrated in FIG. 2D, a planarization process is performed to form an alignment key 112A having an isolated pillar structure inside the via hole 110 (see FIG. 2B). In this case, the planarization process may include an etch back process or a chemical mechanical polishing (CMP) process. In addition, during the planarization process, the interlayer insulating film 108A is used as an etch stop film or a polishing stop film.

이어서, 도 2e에 도시된 바와 같이, 배선공정을 실시하여 적층 구조로 복수 개의 금속 배선층(113, 116, 119, 122), 콘택 플러그(115, 118, 121), 층간 절연막(114, 117, 120, 123)을 형성한다. 예컨대, 복수 개의 배선층(113) 중 일부는 서로 전기적으로 분리되어 콘택 플러그(111)와 접속되고, 일부는 정렬 키(112A)에 의해 지지된다. Subsequently, as shown in FIG. 2E, a plurality of metal wiring layers 113, 116, 119, and 122, contact plugs 115, 118, and 121, and an interlayer insulating layer 114, 117, and 120 are formed by performing a wiring process. , 123). For example, some of the plurality of wiring layers 113 are electrically separated from each other and connected to the contact plug 111, and some are supported by the alignment keys 112A.

배선층(113, 116, 119, 122)은 증착공정 및 식각공정을 통해 형성하며, 전도성 물질, 예컨대 금속 또는 적어도 2종류의 금속이 혼합된 합금막으로 형성한다. 바람직하게는 알루미늄으로 형성한다. 콘택 플러그(115, 118, 121)는 해당 층간 절연막(114, 117, 120, 123) 내에 각각 다마신(damascene) 공정을 통해 형성하며, 상하로 적층된 배선층(113, 116, 119, 122)을 전기적으로 접속시키기 위해 전도성 물질, 예컨대 불순물 이온이 도핑된 다결정실리콘막, 금속 또는 적어도 2종류의 금속이 혼합된 합금막 중 선택된 어느 하나로 형성한다. 바람직하게는 텅스텐으로 형성한다. 층간 절연막(114, 117, 120, 123)은 BPSG, PSG, BSG, USG, TEOS, HDP막 중 선택된 어느 하나의 산화막으로 형성하거나, 이들이 2층 이상 적층된 적층막으로 형성한다. 또한, 층간 절연막(114, 117, 120)은 증착 후 CMP 공정을 통해 평탄화될 수 있다. The wiring layers 113, 116, 119, and 122 are formed through a deposition process and an etching process, and are formed of a conductive material such as a metal or an alloy film in which at least two kinds of metals are mixed. It is preferably formed of aluminum. The contact plugs 115, 118, and 121 are formed through damascene processes in the interlayer insulating layers 114, 117, 120, and 123, respectively, and the wiring layers 113, 116, 119, and 122 stacked up and down are formed. It is formed by any one selected from a conductive material such as a polycrystalline silicon film doped with impurity ions, a metal, or an alloy film containing at least two kinds of metals for electrical connection. It is preferably formed of tungsten. The interlayer insulating films 114, 117, 120, and 123 are formed of any one oxide film selected from BPSG, PSG, BSG, USG, TEOS, and HDP films, or a laminated film in which two or more layers are laminated. In addition, the interlayer insulating layers 114, 117, and 120 may be planarized through a CMP process after deposition.

한편, 본 발명이 도 2e에서 도시된 금속배선(113, 116, 119, 122), 콘택 플러그(115, 118, 121)의 구조에 제한되는 것은 아니며, 다양한 구조로 적용 가능하다. Meanwhile, the present invention is not limited to the structures of the metal wires 113, 116, 119, and 122 and the contact plugs 115, 118, and 121 shown in FIG. 2E, and may be applied to various structures.

이어서, 최상부 층간 절연막(123) 상에 보호층(passivation layer, 124)을 형성한다. 이때, 보호층(124)은 BPSG, PSG, BSG, USG, TEOS 또는 HDP막 중 선택된 막으로 형성할 수 있다. 바람직하게는 TEOS막 또는 HDP막을 이용하여 1000~40000Å의 두께로 형성한다. 또한, 보호층(124)은 질화막 또는 산화막과 질화막의 적층막으로 형성할 수도 있다. Subsequently, a passivation layer 124 is formed on the uppermost interlayer insulating layer 123. In this case, the protective layer 124 may be formed of a film selected from among BPSG, PSG, BSG, USG, TEOS, or HDP. Preferably, the film is formed to a thickness of 1000 to 40000 kV using a TEOS film or an HDP film. The protective layer 124 may be formed of a nitride film or a laminated film of an oxide film and a nitride film.

이어서, 보호층(124)을 평탄화한다. 이때, 평탄화 공정은 CMP 공정으로 실시할 수 있다. Next, the protective layer 124 is planarized. At this time, the planarization process may be performed by a CMP process.

이어서, 보호층(124)의 치밀화를 도모하기 위해 열처리 공정을 실시할 수 있다. 이때, 열처리 공정은 퍼니스(furnace) 장비를 이용한 어닐링(annealing) 공정으로 실시할 수 있다. Subsequently, a heat treatment process can be performed to achieve densification of the protective layer 124. In this case, the heat treatment process may be performed by an annealing process using a furnace (furnace) equipment.

이어서, 도 2f에 도시된 바와 같이, 도 2a 내지 도 2e까지의 공정을 통해 제조된 제 1 기판(100A)과 제 2 기판(200)을 접합(bonding)한다. 이때, 접합공정은 산화막-산화막 접합, 산화막-실리콘 접합, 산화막-금속막 접합, 산화막-접착부재-산화막 접합 또는 산화막-접착부재-실리콘 접합 방식 중 선택된 어느 하나의 방식을 사용한다. Subsequently, as illustrated in FIG. 2F, the first substrate 100A and the second substrate 200 manufactured through the processes of FIGS. 2A to 2E are bonded. In this case, the bonding process may use any one method selected from among oxide film-oxide film bonding, oxide film-silicon bonding, oxide film-metal film bonding, oxide film-bonding member-oxide film bonding or oxide film-bonding member-silicon bonding.

예컨대, 산화막-산화막(제 2 기판(200)상에 형성됨) 접합과 산화막-실리콘(실리콘 기판) 접합은 양쪽의 플라즈마 처리(plasma treatment)-O2 또는 N2 사용-와, 워터 처리(water treatment) 후 접합한다. 또한, 워터 처리 후 접합하는 방식 외에 아민 등의 화학 처리 후에 접합하는 방식의 적용도 가능하다. 산화막-금속막(제 2 기판(200) 상에 형성됨) 접합 방법에서 금속막으로는 티타늄(Ti), 알루미늄(Al), 구리(Cu) 등의 금속을 사용할 수 있다. 산화막-접착부재-산화막 접합과 산화막-접착부재-실리콘 접합 방법에서 접착부재로는 BCB(Benzo Cyclo Butene)를 사용할 수 있다. For example, the oxide film-oxide film (formed on the second substrate 200) junction and the oxide film-silicon (silicon substrate) junction are both plasma treatment—O 2 or N 2 use—and water treatment. ) And then join. Moreover, in addition to the method of joining after water treatment, the application of the method of joining after chemical processing, such as an amine, is also possible. In the oxide film-metal film (formed on the second substrate 200), a metal such as titanium (Ti), aluminum (Al), copper (Cu), or the like may be used as the metal film. In the oxide film-adhesive member-oxide film bonding and the oxide film-adhesive member-silicon bonding method, BCB (Benzo Cyclo Butene) may be used as the adhesive member.

이어서, 도 2g에 도시된 바와 같이, 백 그라인딩 공정을 실시하여 제 1 기판(100B)의 배면을 그라인딩한다. 이때, 정렬 키(112A)가 매몰 산화층(BOX)을 관통하는 구조로 형성된 경우 백 그라인딩 공정은 매몰 산화층(BOX)이 노출될 때가지 실시하여 정렬 키(112A)를 노출시킨다. 이 과정에서 매몰 산화층(BOX)이 일부 그라인딩될 수도 있다. 한편, 정렬 키(112A)가 매몰 산화층(BOX)을 관통하지 않는 구조-매몰 산화층(BOX)의 일정 깊이까지 확장된 구조-로 형성된 경우, 정렬 키(112A)가 노출되도록 매몰 산화층(BOX) 또한 일부 또는 전부를 그라인딩하여 제거하거나, 별도의 식각공정을 실시하여 매몰 산화층(BOX)을 식각할 수도 있다. Subsequently, as shown in FIG. 2G, a back grinding process is performed to grind the back surface of the first substrate 100B. In this case, when the alignment key 112A is formed to penetrate the investment oxide layer BOX, the back grinding process is performed until the investment oxide layer BOX is exposed to expose the alignment key 112A. In this process, the buried oxide layer BOX may be partially ground. On the other hand, when the alignment key 112A is formed in a structure that does not penetrate the buried oxide layer BOX—the structure extended to a predetermined depth of the buried oxide layer BOX—the buried oxide layer BOX is also exposed so that the alignment key 112A is exposed. A part or all of the buried oxide layer BOX may be etched by grinding or removing it, or by performing a separate etching process.

이어서, 도 2h에 도시된 바와 같이, 제 1 기판(100C)의 배면으로부터 매몰 산화층(BOX), 제 1 기판(100C) 및 층간 절연막(108B)을 국부적으로 식각하여 최하부 배선층(113)의 배면을 국부적으로 노출시킨다. 이로써, 노출되는 최하부 배선층(113)은 패드(pad)로 기능하며, 후속 공정을 통해 와이어 본딩(wire bonding)된다.Subsequently, as illustrated in FIG. 2H, the buried oxide layer BOX, the first substrate 100C, and the interlayer insulating layer 108B are locally etched from the rear surface of the first substrate 100C to form the rear surface of the lowermost wiring layer 113. Local exposure. As a result, the lowermost wiring layer 113 exposed serves as a pad and is wire bonded through a subsequent process.

한편, 배선층(113)의 배면을 노출시킨 후, 노출되는 배선층(113)의 배면 상에 별도의 패드용 전도성 물질을 형성할 수도 있다. 이 경우 전도성 물질로는 금속 또는 적어도 2종류의 금속이 혼합된 혼합막으로 형성할 수 있다. 바람직하게는 알루미늄으로 형성한다. Meanwhile, after exposing the back surface of the wiring layer 113, a separate pad conductive material may be formed on the back surface of the wiring layer 113. In this case, the conductive material may be formed of a metal or a mixed film in which at least two kinds of metals are mixed. It is preferably formed of aluminum.

이어서, 도 2i에 도시된 바와 같이, 매몰 산화층(BOX)의 배면에 보호층(125) 을 형성한다. Subsequently, as shown in FIG. 2I, a protective layer 125 is formed on the rear surface of the buried oxide layer BOX.

이어서, 포토 다이오드(106)와 대응되는 보호층(125) 상부에 칼라필터(126)를 형성한다. Subsequently, the color filter 126 is formed on the passivation layer 125 corresponding to the photodiode 106.

한편, 칼라필터(126)를 형성하기 전에 보호층(125) 상에 하부 평탄화막을 형성할 수도 있다. Meanwhile, the lower planarization layer may be formed on the protective layer 125 before the color filter 126 is formed.

이어서, 칼라필터(126)를 덮도록 상부 평탄화막(127)을 형성한다. Next, the upper planarization film 127 is formed to cover the color filter 126.

이어서, 칼라필터(126)와 대응되도록 상부 평탄화막(127) 상에 마이크로 렌즈(128)를 형성한다. Subsequently, the microlens 128 is formed on the upper planarization layer 127 to correspond to the color filter 126.

이어서, 마이크로 렌즈(128)를 포함하는 보호막(125) 상에 저온 산화막(Low Temperature Oxide, 129)을 형성한다. Subsequently, a low temperature oxide layer 129 is formed on the passivation layer 125 including the microlens 128.

이어서, 패키징 공정을 실시하여 제 1 기판(100C)과 제 2 기판(200)을 패키징한다. 이때, 패키징 공정은 와이어 본딩 공정과 절단(sawing) 공정을 포함한다. 여기서, 와이어 본딩은 패드(배선층(113))와 외부 칩을 와이어로 접속하는 방식으로 이루어진다. Subsequently, a packaging process is performed to package the first substrate 100C and the second substrate 200. At this time, the packaging process includes a wire bonding process and a sawing process. Here, the wire bonding is made by connecting the pad (wiring layer 113) and the external chip with a wire.

상기에서 설명한 바와 같이, 본 발명의 기술적 사상은 바람직한 실시예에서 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주의하여야 한다. 특히, 본 발명의 실시예에서는 CMOS 이미지 센서를 일례로 들어 설명하였으나, 후면 조사 방식을 이용한 이미지 센서, 그리고 3D 구조의 집적 소자에 모두 적용할 수 있다. 또한, 본 발명은 이 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예들이 가능함을 이해 할 수 있을 것이다.As described above, although the technical spirit of the present invention has been described in detail in the preferred embodiments, it should be noted that the above-described embodiments are for the purpose of description and not of limitation. In particular, although the embodiment of the present invention has been described as an example of the CMOS image sensor, it can be applied to both the image sensor and the integrated device of the 3D structure using the back irradiation method. In addition, the present invention will be understood by those skilled in the art that various embodiments are possible within the scope of the technical idea of the present invention.

도 1은 본 발명의 실시예에 따른 후면 조사 이미지 센서를 도시한 단면도.1 is a cross-sectional view of a backside illuminated image sensor in accordance with an embodiment of the present invention.

도 2a 내지 도 2i는 본 발명의 실시예에 따른 후면 조사 이미지 센서의 제조방법을 도시한 공정 단면도.2A to 2I are cross-sectional views illustrating a method of manufacturing a backside illuminated image sensor according to an exemplary embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100, 100A, 100B, 100C : 제 1 기판(소자 웨이퍼)100, 100A, 100B, 100C: first substrate (element wafer)

101 : 소자 분리막101: device separator

102 : 게이트 절연막102: gate insulating film

103 : 게이트 도전막103: gate conductive film

104 : 게이트 전극104: gate electrode

105 : 스페이서105: spacer

106 : 포토 다이오드106: photodiode

107 : 소스 및 드레인 영역107: source and drain regions

108, 108A, 108B, 114, 117, 120, 123 : 층간 절연막108, 108A, 108B, 114, 117, 120, 123: interlayer insulating film

109 : 콘택홀109: contact hole

110 : 비아홀110: via hole

111, 115, 118, 121 : 콘택 플러그111, 115, 118, 121: contact plug

112 : 절연물질112: insulation material

112A : 정렬 키112A: Sort Key

113, 116, 119, 122 : 배선층113, 116, 119, 122: wiring layer

124, 125 : 보호층124, 125: protective layer

126 : 칼라필터126: color filter

127 : 평탄화막127: planarization film

128 : 마이크로 렌즈128: Micro Lens

129 : 저온 산화막129: low temperature oxide film

200 : 제 2 기판(핸들 웨이퍼)200: second substrate (handle wafer)

Claims (24)

제 1 기판 내에 형성된 수광 소자;A light receiving element formed in the first substrate; 상기 수광 소자를 덮도록 형성된 층간 절연막;An interlayer insulating film formed to cover the light receiving element; 상기 수광 소자와 이격되며 상기 층간 절연막과 상기 제 1 기판을 관통하여 형성된 정렬 키;An alignment key spaced apart from the light receiving element and formed through the interlayer insulating layer and the first substrate; 상기 층간 절연막 상에 형성되는 다수의 배선층들 ―상기 배선층들 중 최하부층의 배면은 노출됨―;A plurality of wiring layers formed on the interlayer insulating film, the back surface of the lowermost layer of the wiring layers being exposed; 상기 배선층들의 상면을 덮도록 형성된 보호층; 및A protective layer formed to cover upper surfaces of the wiring layers; And 상기 수광 소자와 대응되도록 상기 제 1 기판의 배면 상에 형성된 칼라필터 및 마이크로 렌즈The color filter and the micro lens formed on the rear surface of the first substrate so as to correspond to the light receiving element 를 포함하며, 상기 정렬 키는 상기 제 1 기판의 배면의 백 그라인딩시에, 그라인딩 타겟으로 이용되는, 후면 조사 이미지 센서.Wherein the alignment key is used as a grinding target upon back grinding of the back side of the first substrate. 제 1 항에 있어서, The method of claim 1, 상기 정렬 키의 상면은 상기 배선층들의 상기 최하부층의 배면과 국부적으로 접속되는, 후면 조사 이미지 센서. A top surface of the alignment key is locally connected with a rear surface of the bottom layer of the wiring layers. 제 1 항에 있어서, The method of claim 1, 상기 정렬 키는 절연물질을 포함하는, 후면 조사 이미지 센서.And the alignment key comprises an insulating material. 제 3 항에 있어서, The method of claim 3, wherein 상기 절연물질은 산화막 계열의 물질 또는 질화막 계열의 물질인, 후면 조사 이미지 센서.The insulating material is an oxide-based material or nitride-based material, back-illuminated image sensor. 제 1 항에 있어서, The method of claim 1, 상기 정렬 키는 복수 개로 제공되는, 후면 조사 이미지 센서.And the alignment keys are provided in plurality. 제 1 항에 있어서, The method of claim 1, 상기 정렬 키는 필라(pillar) 구조로 형성되는, 후면 조사 이미지 센서.And the alignment key is formed in a pillar structure. 제 1 항에 있어서, The method of claim 1, 상기 보호층과 접합되는 제 2 기판을 더 포함하는, 후면 조사 이미지 센서.And a second substrate bonded to the protective layer. 제 1 항에 있어서, The method of claim 1, 상기 제 1 기판은 벌크(bulk) 기판, 에피텍셜(epitaxial) 기판 또는 SOI(Silicon On Insulator) 기판으로 이루어진 그룹에서 선택되는 어느 하나의 기판을 사용하는, 후면 조사 이미지 센서.And the first substrate uses any one selected from the group consisting of a bulk substrate, an epitaxial substrate, or a silicon on insulator (SOI) substrate. 제 1 항에 있어서, The method of claim 1, 상기 정렬 키는 상기 제 1 기판의 매몰 산화층을 관통하여 형성되는, 후면 조사 이미지 센서.And the alignment key is formed through the buried oxide layer of the first substrate. 제 9 항에 있어서, The method of claim 9, 상기 매몰 산화층은 500~10000Å 두께로 형성되는, 후면 조사 이미지 센서.The buried oxide layer is formed to a thickness of 500 ~ 10000Å, back irradiation image sensor. 제 1 항에 있어서, The method of claim 1, 상기 제 1 기판상에 형성된 게이트 전극; 및A gate electrode formed on the first substrate; And 상기 수광 소자와 이격되고, 상기 게이트 전극의 양측면들에 노출되어 상기 제 1 기판 내에 형성되는 소스 및 드레인 영역Source and drain regions spaced apart from the light receiving element and exposed to both sides of the gate electrode and formed in the first substrate 을 더 포함하는 후면 조사 이미지 센서.Rear irradiation image sensor further including. 제 1 항에 있어서, The method of claim 1, 상기 배선층들의 상기 최하부층의 배면은 와이어 본딩되는, 후면 조사 이미지 센서.A backside of the bottom layer of the wiring layers is wire bonded. 제 1 기판 내에 수광 소자를 형성하는 단계―상기 제 1 기판상에는 매몰 산화층이 형성됨―;Forming a light receiving element in a first substrate, wherein a buried oxide layer is formed on the first substrate; 상기 수광 소자를 덮도록 상기 제 1 기판 상에 층간 절연막을 형성하는 단계;Forming an interlayer insulating film on the first substrate to cover the light receiving element; 상기 층간 절연막과 상기 제 1 기판을 부분적으로 식각하여 비아홀을 형성하는 단계;Partially etching the interlayer insulating layer and the first substrate to form via holes; 상기 비아홀이 매립되도록 정렬 키를 형성하는 단계;Forming an alignment key to bury the via hole; 상기 정렬 키를 포함하는 상기 제 1 기판상에 다수의 배선층들을 형성하는 단계;Forming a plurality of wiring layers on the first substrate including the alignment key; 상기 배선층들을 덮도록 보호층을 형성하는 단계;Forming a protective layer to cover the wiring layers; 상기 보호층에 제 2 기판을 접합하는 단계;Bonding a second substrate to the protective layer; 상기 제 1 기판의 배면에 상기 정렬 키의 배면을 노출하는 단계;Exposing a back side of the alignment key to a back side of the first substrate; 상기 제 1 기판과 상기 층간 절연막을 식각함으로써, 상기 배선층들 중 최하부층의 배면을 노출하는 단계; 및Exposing a bottom surface of a lowermost layer of the wiring layers by etching the first substrate and the interlayer insulating layer; And 상기 수광 소자와 대응되도록 상기 제 1 기판의 배면 상에 칼라필터 및 마이크로 렌즈를 형성하는 단계Forming a color filter and a micro lens on a rear surface of the first substrate so as to correspond to the light receiving element 를 포함하며, 상기 정렬 키의 배면을 노출하는 단계는,The method may further include exposing a rear surface of the alignment key. 상기 제 1 기판의 배면을 백 그라인딩(back grinding)하는 단계; 및Back grinding the back surface of the first substrate; And 상기 정렬 키의 배면이 노출되도록 상기 제 1 기판의 상기 매몰 산화층을 에칭하는 단계Etching the buried oxide layer of the first substrate so that the back side of the alignment key is exposed 를 포함하는, 후면 조사 이미지 센서의 제조방법.Including, the manufacturing method of the back irradiation image sensor. 제 13 항에 있어서, The method of claim 13, 상기 정렬 키의 상면은 상기 배선층들의 상기 최하부층의 배면과 국부적으로 접속되는, 후면 조사 이미지 센서의 제조방법. And an upper surface of the alignment key is locally connected to a rear surface of the lowermost layer of the wiring layers. 제 13 항에 있어서, The method of claim 13, 상기 정렬 키는 절연물질을 포함하는, 후면 조사 이미지 센서의 제조방법. And the alignment key comprises an insulating material. 제 15 항에 있어서, The method of claim 15, 상기 절연물질은 산화막 계열의 물질 또는 질화막 계열의 물질인, 후면 조사 이미지 센서의 제조방법.The insulating material is an oxide film-based material or nitride film-based material, manufacturing method of the back-illuminated image sensor. 제 13 항에 있어서, The method of claim 13, 상기 정렬 키는 복수 개로 제공되는, 후면 조사 이미지 센서의 제조방법.And a plurality of alignment keys are provided. 제 13 항에 있어서, The method of claim 13, 상기 정렬 키는 필라(pillar) 구조로 형성되는, 후면 조사 이미지 센서의 제조방법.And the alignment key is formed in a pillar structure. 제 13 항에 있어서, The method of claim 13, 상기 제 1 기판은 벌크(bulk) 기판, 에피텍셜(epitaxial) 기판 또는 SOI(Silicon On Insulator) 기판으로 이루어진 그룹에서 선택되는 어느 하나의 기판을 사용하는 후면 조사 이미지 센서의 제조방법.And the first substrate is any one selected from the group consisting of a bulk substrate, an epitaxial substrate, or a silicon on insulator (SOI) substrate. 제 13 항에 있어서, The method of claim 13, 상기 제 1 기판을 SOI(Silicon On Insulator) 기판으로 사용하는 경우, 상기 비아홀은 상기 SOI 기판의 매몰 산화층이 관통하는, 후면 조사 이미지 센서의 제조방법.When the first substrate is used as a silicon on insulator (SOI) substrate, the via hole penetrates the buried oxide layer of the SOI substrate. 제 13 항에 있어서, The method of claim 13, 상기 제 1 기판을 SOI(Silicon On Insulator) 기판으로 사용하는 경우, 상기 비아홀은 상기 SOI 기판의 매몰 산화층으로 국부적으로 연장되는, 후면 조사 이미지 센서의 제조방법.When using the first substrate as a silicon on insulator (SOI) substrate, the via hole extends locally to the buried oxide layer of the SOI substrate. 삭제delete 제 13 항에 있어서, The method of claim 13, 상기 칼라필터와 상기 마이크로 렌즈를 형성하는 단계 이후,After forming the color filter and the micro lens, 상기 제 1 및 제 2 기판을 패키징하는 단계를 더 포함하는, 후면 조사 이미지 센서의 제조방법.And packaging the first and second substrates. 제 23 항에 있어서, The method of claim 23, 상기 패키징하는 단계는 상기 배선층들의 상기 최하부층의 배면상에서의 와이어 본딩을 포함하는, 후면 조사 이미지 센서의 제조방법. And the packaging step includes wire bonding on the back side of the bottom layer of the wiring layers.
KR1020070125506A 2007-12-05 2007-12-05 Backside illuminated image sensor and method for manufacturing the same KR100938951B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020070125506A KR100938951B1 (en) 2007-12-05 2007-12-05 Backside illuminated image sensor and method for manufacturing the same
US12/289,901 US8212328B2 (en) 2007-12-05 2008-11-06 Backside illuminated image sensor
US13/525,851 US8772072B2 (en) 2007-12-05 2012-06-18 Backside illuminated image sensor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070125506A KR100938951B1 (en) 2007-12-05 2007-12-05 Backside illuminated image sensor and method for manufacturing the same

Publications (2)

Publication Number Publication Date
KR20090058761A KR20090058761A (en) 2009-06-10
KR100938951B1 true KR100938951B1 (en) 2010-01-26

Family

ID=40989084

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070125506A KR100938951B1 (en) 2007-12-05 2007-12-05 Backside illuminated image sensor and method for manufacturing the same

Country Status (1)

Country Link
KR (1) KR100938951B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101261745B1 (en) 2011-05-20 2013-05-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device having a bonding pad and method of manufacturing the same

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101324084B1 (en) 2012-05-14 2013-10-31 주식회사 동부하이텍 Image sensor and manufacturing method therefor
KR101379844B1 (en) * 2012-06-05 2014-04-01 주식회사 동부하이텍 Backside illumination cmos image sensor and method of manufacturing the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050042729A (en) * 2003-11-04 2005-05-10 소니 가부시끼 가이샤 Solid state imaging device and method of manufacturing the same
KR20050047481A (en) * 2003-11-17 2005-05-20 소니 가부시끼 가이샤 Solid-state imaging device and method of manufacturing solid-state imaging device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050042729A (en) * 2003-11-04 2005-05-10 소니 가부시끼 가이샤 Solid state imaging device and method of manufacturing the same
KR20050047481A (en) * 2003-11-17 2005-05-20 소니 가부시끼 가이샤 Solid-state imaging device and method of manufacturing solid-state imaging device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101261745B1 (en) 2011-05-20 2013-05-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device having a bonding pad and method of manufacturing the same

Also Published As

Publication number Publication date
KR20090058761A (en) 2009-06-10

Similar Documents

Publication Publication Date Title
US8772072B2 (en) Backside illuminated image sensor
JP5731024B2 (en) Back-illuminated image sensor and manufacturing method thereof
US11817470B2 (en) Stacked substrate structure with inter-tier interconnection
US10020338B2 (en) Backside illuminated image sensor
US8710563B2 (en) Image sensor and method for fabricating the same
KR101053768B1 (en) Back-illuminated image sensor and its manufacturing method
KR100938951B1 (en) Backside illuminated image sensor and method for manufacturing the same
TWI717795B (en) Image sensor and method for forming the same
KR100938723B1 (en) Backside illuminated image sensor and method for manufacturing the same
KR101038807B1 (en) Image Sensor and Method for Manufacturing the same
KR20090022329A (en) Method of forming a metal line for an image sensor
US8946796B2 (en) Image sensor
KR20080059924A (en) Method for fabricating cmos image sensor
KR20100055737A (en) Image sensor and method for fabricating the same
KR20060077244A (en) Cmos image sensor and method for manufacturing the same
KR20080097713A (en) Image sensor and method for manufacturing thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee