KR20090044914A - Method for manufacturing a nonvolatile memory device - Google Patents

Method for manufacturing a nonvolatile memory device Download PDF

Info

Publication number
KR20090044914A
KR20090044914A KR1020070111201A KR20070111201A KR20090044914A KR 20090044914 A KR20090044914 A KR 20090044914A KR 1020070111201 A KR1020070111201 A KR 1020070111201A KR 20070111201 A KR20070111201 A KR 20070111201A KR 20090044914 A KR20090044914 A KR 20090044914A
Authority
KR
South Korea
Prior art keywords
film
trench
insulating film
manufacturing
memory device
Prior art date
Application number
KR1020070111201A
Other languages
Korean (ko)
Inventor
진규안
양기홍
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020070111201A priority Critical patent/KR20090044914A/en
Publication of KR20090044914A publication Critical patent/KR20090044914A/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 발명은 트렌치(trench) 구조를 갖는 소자 분리막을 포함하는 비휘발성 메모리 소자의 제조방법에 있어서, 매립 특성을 개선시켜 소자 분리막 내부에 보이드(void) 발생을 억제하고, 이를 통해 소자의 신뢰성을 향상시킬 수 있는 비휘발성 메모리 소자의 제조방법을 제공하기 위한 것으로, 이를 위해 본 발명은 기판 상에 터널링 절연막과 플로팅 게이트용 도전막을 형성하는 단계와, 상기 도전막, 상기 터널링 절연막 및 상기 기판을 일부 식각하여 트렌치를 형성하는 단계와, 이온 빔 처리공정을 실시하여 불균일한 상기 트렌치 내측벽의 격자결합을 파괴하는 단계와, 세정공정을 실시하여 상기 격자결합이 파괴된 상기 트렌치 내측벽을 식각하여 균일화하는 단계와, 상기 트렌치가 매립되도록 소자 분리막용 절연막을 형성하는 단계를 포함하는 비휘발성 메모리 소자의 제조방법을 제공한다.SUMMARY OF THE INVENTION The present invention provides a method of manufacturing a nonvolatile memory device including a device isolation film having a trench structure, thereby improving buried characteristics to suppress voids in the device isolation film, thereby improving device reliability. To provide a method of manufacturing a non-volatile memory device that can be made, the present invention to form a tunneling insulating film and a conductive film for the floating gate on the substrate, and to partially etch the conductive film, the tunneling insulating film and the substrate Forming trenches, performing an ion beam treatment process to break the non-uniform lattice bonds, and performing a cleaning process to etch and homogenize the trench inner walls where the lattice bonds are broken. And forming an insulating film for device isolation so that the trench is buried. A method of manufacturing a memory device is provided.

비휘발성 메모리 소자, 낸드 플래시 메모리 소자, 소자 분리막 Nonvolatile Memory Devices, NAND Flash Memory Devices, Device Separators

Description

비휘발성 메모리 소자의 제조방법{METHOD FOR MANUFACTURING A NONVOLATILE MEMORY DEVICE}Manufacturing method of nonvolatile memory device {METHOD FOR MANUFACTURING A NONVOLATILE MEMORY DEVICE}

본 발명은 반도체 제조 기술에 관한 것으로, 특히 비휘발성 메모리 소자의 제조방법, 더욱 구체적으로는 비휘발성 메모리 소자의 소자 분리막 형성방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to semiconductor manufacturing technology, and more particularly, to a method of manufacturing a nonvolatile memory device, and more particularly, a method of forming an isolation layer of a nonvolatile memory device.

비휘발성 메모리 소자인 낸드 플래시 메모리 소자(NAND type flash memory device)는 고집적을 위해 복수의 셀이 직렬 연결되어 단위 스트링(string)을 구성하며, 주로 메모리 스틱(memory stick), USB 드라이버(Universal Serial Bus driver), 하드 디스크(hard disk)를 대체할 수 있는 소자로 그 적용 분야를 넓혀 가고 있다.NAND type flash memory device, which is a nonvolatile memory device, is composed of a plurality of cells connected in series to form a unit string for high integration. A memory stick and a USB driver (Universal Serial Bus) are mainly used. Drivers and hard disk (hard disk) to replace the device is expanding the field of application.

현재, 낸드 플래시 메모리 소자의 제조방법에 있어서 플로팅 게이트 형성방법은 활성영역(active region)과 플로팅 게이트 간의 중첩 자유도(overlay margin)의 감소에 따라 ASA-STI(Advanced Self Aligned-Shallow Trench Isolation) 공정을 적용하고 있다.Currently, in the method of manufacturing a NAND flash memory device, a floating gate forming method is an ASA-STI (Advanced Self Aligned-Shallow Trench Isolation) process according to a reduction in an overlay margin between an active region and a floating gate. Is applying.

도 1a 내지 도 1d는 종래기술에 따른 ASA-STI 공정을 설명하기 위하여 도시 한 공정 단면도이다.1A to 1D are cross-sectional views illustrating a conventional ASA-STI process.

먼저, 도 1a에 도시된 바와 같이, 반도체 기판(100) 상에 터널링 절연막(101), 플로팅 게이트용 도전막(102) 및 하드 마스크(hard mask)(103)를 순차적으로 형성한다.First, as shown in FIG. 1A, a tunneling insulating film 101, a floating gate conductive film 102, and a hard mask 103 are sequentially formed on the semiconductor substrate 100.

이어서, 도 1b에 도시된 바와 같이, 하드 마스크(103A), 도전막(102A), 터널링 절연막(101A) 및 기판(100A)을 순차적으로 일부 식각하여 트렌치(trench)(104)를 형성한다.Subsequently, as illustrated in FIG. 1B, a trench 104 is formed by partially etching the hard mask 103A, the conductive film 102A, the tunneling insulating film 101A, and the substrate 100A.

이어서, 도 1c에 도시된 바와 같이, 트렌치(104, 도 1b참조)의 내부면을 따라 측벽 보상막(105)을 형성한다. Subsequently, as shown in FIG. 1C, a sidewall compensation film 105 is formed along the inner surface of the trench 104 (see FIG. 1B).

이어서, 도 1d에 도시된 바와 같이, 트렌치(104, 도 1b참조)가 매립되도록 소자 분리막용 절연막(106)을 증착한다. Subsequently, as shown in FIG. 1D, an insulating film 106 for device isolation film is deposited to fill the trench 104 (see FIG. 1B).

이어서, 도시되진 않았지만 절연막(106)을 평탄화하여 소자 분리막을 형성한다. Subsequently, although not shown, the insulating film 106 is planarized to form an element isolation film.

그러나, 이러한 종래기술에 따른 ASA-STI 공정은 다음과 같은 문제점이 발생한다.However, the ASA-STI process according to the prior art has the following problems.

먼저, 도 1b에서와 같이, 트렌치(104) 형성공정시 각 층(100A, 101A, 102A, 103A) 간의 식각 선택비 차이에 의해 각 층의 경계에서 단턱부가 발생된다. 즉, 하부층이 상부층보다 더 큰 폭으로 잔류되어 전체적으로 트렌치(104)의 내측벽이 각 층(100A, 101A, 102A, 103A)의 경계, 특히 'A' 부위에서와 같이 터널링 절연막(101A)과 기판(100A)의 경계에서 단턱부를 갖는 네가티브 슬로프(negative slop) 를 갖는다. First, as shown in FIG. 1B, a stepped portion is generated at the boundary of each layer due to the difference in etching selectivity between the layers 100A, 101A, 102A, and 103A during the trench 104 forming process. That is, the lower layer remains larger than the upper layer so that the inner wall of the trench 104 as a whole has the tunneling insulating film 101A and the substrate as in the boundary of each of the layers 100A, 101A, 102A, 103A, particularly at the 'A' site. It has a negative slop having a step at the boundary of 100A.

이런 구조에서, 도 1c에서와 같이 산화공정을 실시하여 측벽 보상막(105)을 형성하는 경우 터널링 절연막(101A)과 기판(100A)의 경계에 형성된 단턱부가 산소(O2)와 더 많이 반응하여 기판(100A)의 산화율이 다른 부위에 비해 증대된다. 이에 따라, 도 1c와 같이 트렌치(104) 저부보다 'B'부위에서 측벽 보상막(105)이 두껍게 형성되는 오버행(overhang) 현상이 발생되어 트렌치(104) 입구가 닫히는 문제가 발생된다.In this structure, when the sidewall compensation film 105 is formed by performing an oxidation process as shown in FIG. 1C, the stepped portions formed at the boundary between the tunneling insulating film 101A and the substrate 100A react more with oxygen (O 2 ). The oxidation rate of the substrate 100A is increased compared to other portions. Accordingly, as shown in FIG. 1C, an overhang phenomenon occurs in which the sidewall compensation layer 105 is formed thicker at the 'B' portion than the bottom of the trench 104, thereby causing the trench 104 to be closed.

이에 따라, 도 1d에서 실시되는 소자 분리막용 절연막(106) 증착공정시 오버행에 의해 입구가 닫힌 트렌치(104) 내부에는 절연막(106)이 완전히 매립되지 않게되어, 그 내부에 보이드(void, C)가 발생된다. 이러한 보이드는 소자의 분리 특성을 저하시켜 셀 간 누설전류를 유발시키고, 결국 소자의 신뢰성을 저하시키게 된다.As a result, the insulating film 106 is not completely buried in the trench 104 which is closed by the overhang during the deposition process of the device isolation film 106 performed in FIG. 1D, and thus voids C are formed therein. Is generated. These voids degrade the isolation characteristics of the device, causing leakage current between cells, which in turn degrades the reliability of the device.

도 2는 종래기술에 따른 비휘발성 메모리 소자의 제조방법을 통해 제조된 소자의 단면을 도시한 SEM(Scanning Electron Microscope) 사진이다. 도 2의 (a)는 측벽 보상막(105)을 형성한 후 단면도이고, (b)는 절연막(106) 증착 후 단면도이다. FIG. 2 is a SEM (Scanning Electron Microscope) photograph showing a cross section of a device manufactured by a method of manufacturing a nonvolatile memory device according to the prior art. FIG. 2A is a cross-sectional view after the sidewall compensation film 105 is formed, and FIG. 2B is a cross-sectional view after the insulating film 106 is deposited.

도 2의 (a)에 도시된 바와 같이, 측벽 보상막(105)은 트렌치의 내측벽 중 터널링 절연막과 기판의 경계(B참조)에서 비교적 두껍게 형성된 것을 알 수 있으며, 이로 인해 (b)에 도시된 바와 같이 절연막(106) 내부에 보이드(C)가 발생된 것을 알 수 있다.As shown in (a) of FIG. 2, the sidewall compensation film 105 may be formed relatively thick at the boundary (see B) of the tunneling insulating film and the substrate among the inner walls of the trench, which is illustrated in (b). As can be seen, voids C are generated in the insulating film 106.

따라서, 본 발명은 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 트렌치 구조를 갖는 소자 분리막을 포함하는 비휘발성 메모리 소자의 제조방법에 있어서, 매립 특성을 개선시켜 소자 분리막 내부에 보이드 발생을 억제하고, 이를 통해 소자의 신뢰성을 향상시킬 수 있는 비휘발성 메모리 소자의 제조방법을 제공하는데 그 목적이 있다. Therefore, the present invention is proposed to solve the problems of the prior art, in the manufacturing method of a nonvolatile memory device including a device isolation film having a trench structure, by improving the buried characteristics to suppress the generation of voids in the device isolation film Accordingly, an object of the present invention is to provide a method of manufacturing a nonvolatile memory device, which can improve device reliability.

상기한 목적을 달성하기 위한 일 측면에 따른 본 발명은, 기판 상에 터널링 절연막과 플로팅 게이트용 도전막을 형성하는 단계와, 상기 도전막, 상기 터널링 절연막 및 상기 기판을 일부 식각하여 트렌치를 형성하는 단계와, 이온 빔 처리공정을 실시하여 불균일한 상기 트렌치 내측벽의 격자결합을 파괴하는 단계와, 세정공정을 실시하여 상기 격자결합이 파괴된 상기 트렌치 내측벽을 식각하여 균일화하는 단계와, 상기 트렌치가 매립되도록 소자 분리막용 절연막을 형성하는 단계를 포함하는 비휘발성 메모리 소자의 제조방법을 제공한다.According to an aspect of the present invention, a tunneling insulating layer and a floating gate conductive layer are formed on a substrate, and the conductive layer, the tunneling insulating layer, and the substrate are partially etched to form trenches. And performing an ion beam treatment process to destroy the non-uniform lattice coupling of the trench inner wall, and performing a cleaning process to etch and homogenize the trench inner wall where the lattice bonding is broken, to uniformize the trench. It provides a method of manufacturing a nonvolatile memory device comprising the step of forming an insulating film for a device isolation film to be buried.

상기한 구성을 포함하는 본 발명에 의하면, 트렌치 내측벽에 대해 이온 빔 처리 공정을 실시하여 트렌치 내측벽의 격자결합을 일부 파괴시킨 다음 세정공정을 실시하여 격자결합이 파괴된 부위, 즉 트렌치에 형성된 단턱부를 제거하여 내측벽이 완만(균일)한 프로파일(profile)을 형성하여 소자 분리막의 매립 특성을 개선시 키고, 이를 통해 소자 분리막 내에 보이드 발생을 억제시켜 소자의 신뢰성을 향상시킬 수 있다. According to the present invention having the above-described configuration, an ion beam treatment process is performed on the trench inner wall to partially destroy the lattice bonds of the trench inner wall, and then a cleaning process is performed to form the portion where the lattice bond is broken, that is, formed in the trench. By removing the stepped portion, the inner wall forms a smooth (uniform) profile to improve the embedding characteristics of the device isolation layer, thereby suppressing the generation of voids in the device isolation layer, thereby improving the reliability of the device.

이하에서는, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 설명한다. 또한, 도면들에 있어서, 층 및 영역들의 두께와 간격은 설명의 편의와 명확성을 기하기 위하여 과장되어진 것이며, 층이 다른 층 또는 기판 '상' 또는 '상부'에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나, 또는 그들 사이에 제3의 층이 개재될 수도 있다. 또한, 명세서 전체에 걸쳐서 동일한 도면번호로 표시된 부분은 동일한 층을 나타내며, 각 도면번호에 영문을 포함하는 경우 동일층이 식각 또는 연마공정 등을 통해 일부가 변형된 것을 의미한다.Hereinafter, with reference to the accompanying drawings, the most preferred embodiment of the present invention will be described. In addition, in the drawings, the thicknesses and spacings of layers and regions are exaggerated for ease of explanation and clarity, and when referred to as being on or above another layer or substrate, it is different. It may be formed directly on the layer or the substrate, or a third layer may be interposed therebetween. In addition, the parts denoted by the same reference numerals throughout the specification represent the same layer, and when the reference numerals include the English, it means that the same layer is partially modified through an etching or polishing process.

실시예Example

도 3a 내지 도 3h는 본 발명의 실시예에 따른 비휘발성 메모리 소자의 제조방법을 설명하기 위하여 제조공정 순서대로 도시한 공정 단면도이다. 여기서는 일례로 ASA-STI 공정을 적용한 낸드 플래시 메모리 소자의 제조방법에 대해 설명하기로 한다.3A through 3H are cross-sectional views illustrating manufacturing processes in order to explain a method of manufacturing a nonvolatile memory device according to an exemplary embodiment of the present invention. As an example, a method of manufacturing a NAND flash memory device using the ASA-STI process will be described.

먼저, 도 3a에 도시된 바와 같이, 반도체 기판(200), 예컨대 p형 기판 내에 트리플 n-웰(triple n-type well)(미도시)을 형성한 후 p-웰(p-type well)(미도시)을 형성한다.First, as shown in FIG. 3A, triple n-type wells (not shown) are formed in a semiconductor substrate 200, for example, a p-type substrate, and then p-type wells ( Not shown).

이어서, p-웰 내의 채널 영역 내에 문턱전압 조절용 이온주입 공정을 실시한 다.Subsequently, an ion implantation process for adjusting the threshold voltage is performed in the channel region in the p-well.

이어서, 기판(200) 상에 터널링 절연막(201)을 형성한다. 이때, 터널링 절연막(201)은 산화막, 예컨대 실리콘산화막(SiO2)으로 형성하거나, 실리콘산화막을 형성한 후 질소, 예컨대 N2 가스를 이용한 열처리 공정을 실시하여 실리콘산화막과 기판(200) 계면에 질화층을 형성할 수도 있다. 이외에도, 금속 산화물, 예컨대 알루미늄산화막(Al2O3), 하프늄산화막(HfO2), 지르코늄산화막(ZrO2)과 같이 유전율이 3.9 이상인 고유전막으로 형성할 수도 있다. 이러한 터널링 절연막(201)은 50~100Å 정도의 두께로 형성할 수 있다.Subsequently, a tunneling insulating film 201 is formed on the substrate 200. In this case, the tunneling insulating film 201 may be formed of an oxide film, for example, silicon oxide film (SiO 2 ), or after the silicon oxide film is formed, a heat treatment process using nitrogen, for example, N 2 gas, is performed to nitride the silicon oxide film and the substrate 200. It may also form a layer. In addition, it may be formed of a high dielectric film having a dielectric constant of 3.9 or more, such as a metal oxide such as an aluminum oxide film (Al 2 O 3 ), a hafnium oxide film (HfO 2 ), or a zirconium oxide film (ZrO 2 ). The tunneling insulating film 201 may be formed to a thickness of about 50 ~ 100Å.

예컨대, 터널링 절연막(201)을 실리콘산화막으로 형성하는 경우, 그 제조방법으로는 건식 산화, 습식 산화 공정 또는 라디컬 이온(radical ion)을 이용한 산화 공정을 이용할 수도 있으나, 터널링 절연막(201) 특성 측면에서 라디컬 이온을 이용한 산화 공정 대신에 건식 산화, 습식 산화 공정으로 실시하는 것이 바람직하다. 한편, 질소 가스를 이용한 열처리 공정은 퍼니스(furnace) 장비를 이용하여 실시할 수 있다.For example, when the tunneling insulating film 201 is formed of a silicon oxide film, the manufacturing method may be a dry oxidation, a wet oxidation process or an oxidation process using radical ions. It is preferable to carry out dry oxidation or wet oxidation instead of the oxidation process using radical ions. On the other hand, the heat treatment process using nitrogen gas can be carried out using a furnace (furnace) equipment.

이어서, 터널링 절연막(201) 상에 플로팅 게이트용 도전막(202)을 형성한다. 이때, 도전막(202)은 도전성을 갖는 물질은 모두 사용가능하며, 예컨대 다결정실리콘, 전이 금속 또는 희토류 금속 중 선택된 어느 하나의 물질로 형성할 수 있다. 예컨대, 다결정실리콘막은 불순물 이온이 도핑되지 않은 언-도프트(un-doped) 다결정실리콘막 또는 불순물 이온이 도핑된 도프트(doped) 다결정실리콘막 모두 사용가 능하며, 언-도프트 다결정실리막의 경우 후속 이온주입공정을 통해 별도로 불순물 이온을 주입한다. 이러한 다결정실리콘막은 저압화학기상증착(Low Pressure Chemical Vapor Deposition, 이하 LPCVD라 함) 방식으로 형성하고, 이때 소스 가스로는 실란(SiH4) 가스를 사용하며, 도핑 가스로는 포스핀(PH3), 3염화불소(BCl3) 또는 지보란(B2H6) 가스를 사용한다. 전이 금속으로는 철(Fe), 코발트(Co), 텅스텐(W), 니켈(Ni), 팔라듐(Pd), 백금(Pt), 몰리브덴(Mo) 또는 티타늄(Ti) 등을 사용하고, 희토류 금속으로는 어븀(Er), 이터륨(Yb), 사마륨(Sm), 이트륨(Y), 란탄(La), 세륨(Ce), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 톨륨(Tm) 및 루테튬(Lu) 등을 사용한다.Subsequently, a floating gate conductive film 202 is formed on the tunneling insulating film 201. In this case, the conductive film 202 may be made of any conductive material. For example, the conductive film 202 may be formed of any one material selected from polycrystalline silicon, transition metal, and rare earth metal. For example, the polysilicon film may be an un-doped polysilicon film that is not doped with impurity ions or a doped polysilicon film that is doped with impurity ions, and in the case of an undoped polysilicon film, Impurity ions are implanted separately through an ion implantation process. The polysilicon film is formed by a low pressure chemical vapor deposition (LPCVD) method, wherein a silane (SiH 4 ) gas is used as a source gas, and phosphine (PH 3 ), 3 is used as a doping gas. Fluorine chloride (BCl 3 ) or giborane (B 2 H 6 ) gas is used. As the transition metal, iron (Fe), cobalt (Co), tungsten (W), nickel (Ni), palladium (Pd), platinum (Pt), molybdenum (Mo) or titanium (Ti) and the like are used. Erbium (Er), Ytterium (Yb), Samarium (Sm), Yttrium (Y), Lanthanum (La), Cerium (Ce), Terbium (Tb), Dysprosium (Dy), Holmium (Ho), and Tolium ( Tm), lutetium (Lu) and the like.

이어서, 도전막(202) 상에 하드 마스크(206)를 형성할 수도 있다. 이때, 하드 마스크(206)는 후속 공정을 통해 형성될 감광막 패턴(미도시)의 두께 부족을 보상하기 위한 것으로, 단층 또는 적층 구조로 형성할 수 있다. 단층의 경우 질화막, 예컨대 실리콘질화막(Si3N4)으로 형성할 수 있다. 적층의 경우 실리콘질화막(203), 실리콘산화막(204) 및 실리콘산화질화막(SiON, 205)의 적층 구조로 형성할 수 있다.Subsequently, a hard mask 206 may be formed on the conductive film 202. At this time, the hard mask 206 is to compensate for the lack of thickness of the photoresist pattern (not shown) to be formed through a subsequent process, it may be formed in a single layer or a laminated structure. In the case of a single layer, it may be formed of a nitride film such as silicon nitride film (Si 3 N 4 ). In the case of lamination, the silicon nitride film 203, the silicon oxide film 204, and the silicon oxynitride film (SiON) 205 may be formed in a stacked structure.

한편, 도전막(202)과 하드 마스크(206) 사이에 완충막(미도시)을 더 형성할 수도 있는데, 이때, 완충막(미도시)은 후속 공정을 통해 형성될 하드 마스크(206) 증착공정 및 제거공정시 도전막(202)이 손상되는 것을 방지하기 위해 형성하며, 하드 마스크(206)와 높은 식각 선택비를 갖는 물질로 형성하는 것이 바람직하다. 예 컨대, 하드 마스크(206)가 실리콘질화막 단층으로 형성된 경우 실리콘산화막으로 형성한다.Meanwhile, a buffer film (not shown) may be further formed between the conductive film 202 and the hard mask 206. In this case, the buffer film (not shown) may be formed by a subsequent process of depositing the hard mask 206. And to prevent the conductive film 202 from being damaged during the removal process, and may be formed of a material having a high etching selectivity with the hard mask 206. For example, when the hard mask 206 is formed of a silicon nitride film single layer, the hard mask 206 is formed of a silicon oxide film.

이어서, 도 3b에 도시된 바와 같이, 하드 마스크(206A), 도전막(202A) 및 터널링 절연막(201A)을 일부 식각하여 기판(200)을 노출시킨다. 구체적으로, 하드 마스크(206A)를 먼저 식각한 후, 식각된 하드 마스크(206A)를 식각 마스크로 도전층(202A)과 터널링 절연막(201A)을 식각한다. 이때, 기판(200) 또한 일부 식각될 수도 있다. Subsequently, as illustrated in FIG. 3B, the hard mask 206A, the conductive film 202A, and the tunneling insulating film 201A are partially etched to expose the substrate 200. Specifically, after the hard mask 206A is etched first, the conductive layer 202A and the tunneling insulating film 201A are etched using the etched hard mask 206A as an etching mask. In this case, the substrate 200 may also be partially etched.

이어서, 노출된 기판(200)에 대해 STI 이온주입공정(207)을 실시하여 기판(200) 내에 일정 깊이로 이온주입층(208)을 형성할 수 있다. 이때, STI 이온주입공정(207)은 전 또는 후속 공정에서 손실되는 채널영역의 도핑농도를 보상하기 위함이다. 예컨대, STI 이온주입공정은 비소(As), 붕소(B), 인(P)을 사용하여 20~30keV의 에너지로 실시한다.Subsequently, the STI ion implantation process 207 may be performed on the exposed substrate 200 to form the ion implantation layer 208 at a predetermined depth in the substrate 200. At this time, the STI ion implantation process 207 is to compensate for the doping concentration of the channel region lost in the previous or subsequent process. For example, the STI ion implantation process is carried out with an energy of 20 to 30 keV using arsenic (As), boron (B), and phosphorus (P).

이어서, 도 3c에 도시된 바와 같이, 기판(200A)을 식각하여 기판(200A) 내에 트렌치(209)를 형성한다. 이때, 트렌치(209)의 깊이와 폭은 소자 간의 분리 특성을 고려하여 적절히 제어될 수 있다. Subsequently, as shown in FIG. 3C, the substrate 200A is etched to form the trench 209 in the substrate 200A. At this time, the depth and width of the trench 209 may be appropriately controlled in consideration of the separation characteristics between the devices.

이어서, 도 3d에 도시된 바와 같이, 트렌치(209)의 내측벽에 대해 이온 빔 처리(beam treatment) 공정(210)을 실시한다. 이때, 이온 빔 처리 공정(210)은 각도 없이 실시할 수 있으나, 효과 측면에서는 0°를 초과하면서 30°를 넘지 않는 범위의 각도, 바람직하게는 10°의 이온 빔 조사 각도로 실시한다. 이때, 이온 빔 으로는 비소(As), 인(P), 붕소(B) 또는 산소(O2) 중 선택된 어느 하나를 사용한다. Next, as shown in FIG. 3D, an ion beam treatment process 210 is performed on the inner wall of the trench 209. In this case, the ion beam treatment process 210 may be performed without an angle, but in view of effect, the ion beam treatment may be performed at an angle within a range not exceeding 30 °, preferably at an ion beam irradiation angle of 10 °. At this time, any one selected from arsenic (As), phosphorus (P), boron (B) or oxygen (O 2 ) is used as the ion beam.

이어서, 도 3e에 도시된 바와 같이, 트렌치(209, 도 3d참조)의 내측벽에 대해 세정공정을 실시한다. 그 이유는 이온 빔 처리 공정(210)을 통해 1차로 내구성이 저하된 트렌치(209)의 내측벽, 특히 단턱부를 식각하여 트렌치(209)의 내측벽을 완만(균일)하게 형성하기 위함이다. 즉, 트렌치(209)의 단턱부는 다른 부위에 비해 이온 빔 처리 공정(210)에 의해 그 내구성이 많이 저하되어 후속 세정공정시 다른 부위에 비해 상대적으로 쉽게 식각된다. 이로써, 도 3c의 'A'와 도 3e의 'B'와 같이 트렌치(209A)의 내측벽에 형성된 단턱부를 제거하여 네가티브 슬로프를 완만하게 형성할 수 있다.Next, as shown in FIG. 3E, a cleaning process is performed on the inner wall of the trench 209 (see FIG. 3D). The reason for this is to form an inner wall of the trench 209 smoothly (evenly) by etching the inner wall of the trench 209, in particular, the stepped portion, which is primarily degraded through the ion beam treatment process 210. That is, the stepped portion of the trench 209 is much lower in durability by the ion beam treatment process 210 than other portions, and is easily etched relative to other portions in subsequent cleaning processes. As a result, the negative slope may be smoothly formed by removing the stepped portion formed on the inner wall of the trench 209A as shown in FIG. 3C and B in FIG. 3E.

이때, 세정공정은 B, F, R, N 용액 또는 이들 용액 중 적어도 2 이상의 용액을 이용하여 순차적으로 실시할 수도 있다. 예컨대, B 용액은 H2SO4 및 H2O2가 혼합된 용액으로서, 이들의 혼합비(H2SO4:H2O2)를 4:1로 하여 110~130℃, 바람직하게는 120℃의 온도에서 실시한다. F 용액은 HF와 탈이온수(De-ionized water, DIW)가 혼합된 용액으로서, 이들의 혼합비(HF:DIW)를 100:1로 하여 25℃의 온도에서 실시한다. R 용액은 H2SO4 및 H2O2가 혼합된 용액으로서, 이들의 혼합비(H2SO4:H2O2)를 50:1로 하여 80~100℃, 바람직하게는 90℃의 온도에서 실시한다. N 용액은 NH4OH, H2O2 및 H2O가 혼합된 용액으로서, 이들의 혼합비(NH4OH:H2O2:H2O)를 1:4:2로 하여 25℃의 온도에서 실시한다. At this time, the washing step may be performed sequentially using a B, F, R, N solution or at least two or more of these solutions. For example, the B solution is a solution in which H 2 SO 4 and H 2 O 2 are mixed, and their mixing ratio (H 2 SO 4 : H 2 O 2 ) is 4: 1 to 110 to 130 ° C, preferably 120 ° C. At a temperature of. The F solution is a solution in which HF and de-ionized water (DIW) are mixed, and their mixing ratio (HF: DIW) is 100: 1, and is performed at a temperature of 25 ° C. The R solution is a solution in which H 2 SO 4 and H 2 O 2 are mixed, and the mixing ratio (H 2 SO 4 : H 2 O 2 ) of 50: 1 is 80 to 100 ° C, preferably 90 ° C. To be carried out in The N solution is a solution in which NH 4 OH, H 2 O 2 and H 2 O are mixed, and their mixing ratio (NH 4 OH: H 2 O 2 : H 2 O) is 1: 4: 2 at a temperature of 25 ° C. To be carried out in

이어서, 도 3f에 도시된 바와 같이, 트렌치(209A, 도 3e참조) 내부에 측벽 보상막(211)을 형성할 수 있다. 이때, 측벽 보상막(211)은 산화공정, 예컨대 라디컬 이온을 이용한 산화공정으로 형성할 수 있으며, 그 두께는 매립 특성을 고려하여 10~100Å로 형성한다. Subsequently, as shown in FIG. 3F, a sidewall compensation film 211 may be formed in the trench 209A (see FIG. 3E). In this case, the sidewall compensation film 211 may be formed by an oxidation process, for example, an oxidation process using radical ions, and the thickness thereof may be formed in a range of 10 to 100 μm in consideration of buried characteristics.

이어서, 도 3g에 도시된 바와 같이, 트렌치(209A, 도 3e참조)의 내부면을 따라 측벽 보상막(211) 상에 소자 분리막용 제1 절연막(212)을 형성할 수 있다. 이때, 제1 절연막(212)은 트렌치(209A)의 저부가 측벽보다 두껍게 증착되는 라이너(liner) 형태로 800~1200Å 정도의 두께(트렌치 저부 기준)로 형성한다. 이러한 제1 절연막(212)은 높은 종횡비에서도 매립 특성이 우수한 HDP-CVD(High Density Plasma-Chemical Vapor Deposition) 방식을 이용한 USG(Un-doped Silicate Glass)막(이하, HDP막이라 함)으로 형성하거나, HTO(High Temperature Oxide)막으로 형성할 수 있다.Subsequently, as illustrated in FIG. 3G, the first insulating layer 212 for the isolation layer may be formed on the sidewall compensation layer 211 along the inner surface of the trench 209A (see FIG. 3E). In this case, the first insulating layer 212 is formed to have a thickness of about 800 to 1200 Å (based on the trench bottom) in the form of a liner in which the bottom of the trench 209A is thicker than the sidewall. The first insulating film 212 may be formed of a USG (Un-doped Silicate Glass) film (hereinafter referred to as HDP film) using a high density plasma-chemical vapor deposition (HDP-CVD) method having excellent embedding characteristics even at a high aspect ratio. , HTO (High Temperature Oxide) film can be formed.

이어서, 제1 절연막(212)의 막질을 조밀하게 개선시키기 위해 플라즈마 처리 공정을 실시할 수도 있다. 이때, 플라즈마 처리공정은 산소(O2) 플라즈마를 이용하여 20~30초 동안 실시한다. Subsequently, a plasma treatment process may be performed to densely improve the film quality of the first insulating film 212. At this time, the plasma treatment process is performed for 20-30 seconds using an oxygen (O 2 ) plasma.

이어서, 도 3h에 도시된 바와 같이, 트렌치(209A, 도 3e참조)가 매립되도록 소자 분리막용 제2 절연막(213)을 형성한다. 이때, 제2 절연막(213)은 HDP막으로 형성할 수 있으며, 매립 특성을 향상시키기 위해 DED(Deposition-Etch-Deposition) 또는 DEDED(Deposition-Etch-Deposition-Etch-Deposition) 방식으로 형성할 수도 있다. 즉, 한번에 HDP막을 증착하는 것이 아니라, HDP막을 일부 증착한 후, HDP막을 일부 식각하여 트렌치(209A)의 입구를 확장시킨 다음 다시 HDP막을 증착하는 방식으로 형성한다. Subsequently, as shown in FIG. 3H, the second insulating film 213 for the isolation layer is formed to fill the trench 209A (see FIG. 3E). In this case, the second insulating film 213 may be formed of an HDP film, and may be formed in a deposition-etch-deposition (DED) or deposition-etch-deposition-etch-deposition (DEDED) method to improve the embedding characteristics. . That is, instead of depositing the HDP film at once, the HDP film is partially deposited, and then the HDP film is partially etched to extend the inlet of the trench 209A, and then the HDP film is deposited again.

이어서, 도시되진 않았지만, 제2 절연막(213)을 평탄화한다. 이때, 평탄화 공정은 식각공정(예컨대, 에치백(etch back)) 또는 화학적기계적연마(Chemical Mechanical Polishing, 이하, CMP라 함) 공정으로 실시할 수 있다. 예컨대, CMP 공정은 하드 마스크(206A)를 연마 정지막으로 선택적으로 제2 절연막(213)을 연마한다. Next, although not shown, the second insulating film 213 is planarized. In this case, the planarization process may be performed by an etching process (eg, etch back) or chemical mechanical polishing (hereinafter, referred to as CMP). For example, in the CMP process, the second insulating film 213 is selectively polished using the hard mask 206A as the polishing stop film.

이어서, 하드 마스크(206A)를 제거한다.Next, the hard mask 206A is removed.

이어서, 도시되진 않았지만, 셀 영역의 제1 및 제2 절연막(213, 212)을 리세스(recess)시켜 소자 분리막의 유효높이, 즉 EFH(Effective Field oxide Height)를 조절한다. 이때, EFH를 조절하기 위한 식각공정은 건식식각 또는 습식식각방식 모두 가능하다.Next, although not shown, the first and second insulating layers 213 and 212 in the cell region are recessed to adjust the effective height of the device isolation layer, that is, the effective field oxide height (EFH). At this time, the etching process for adjusting the EFH is possible both dry etching or wet etching.

이후, 공정은 일반적인 공정과 동일하기 때문에 그에 대한 설명은 생략하기로 한다.Since the process is the same as the general process, description thereof will be omitted.

본 발명의 기술 사상은 바람직한 실시예에서 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주의하여야 한다. 특히, 본 발명은 ASA-STI 공정을 예로 들어 기술되었으나, STI(Shallow Trench Isolation), SA-FG(Self Aligned-Floating Gate) 또는 SA-STI(Self Aligned-STI) 공정에도 적용할 수 있다. 또한, 본 발명은 이 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예들이 가능함을 이해할 수 있을 것이다.Although the technical spirit of the present invention has been described in detail in the preferred embodiments, it should be noted that the above-described embodiments are for the purpose of description and not of limitation. In particular, although the present invention has been described using the ASA-STI process as an example, the present invention may also be applied to a shallow trench isolation (STI), a self-aligned-floating gate (SA-FG), or a self-aligned-sti (SA-STI) process. In addition, it will be understood by those skilled in the art that various embodiments are possible within the scope of the technical idea of the present invention.

도 1a 내지 도 1d는 종래기술에 따른 비휘발성 메모리 소자의 제조방법을 도시한 공정 단면도.1A to 1D are cross-sectional views illustrating a method of manufacturing a nonvolatile memory device according to the prior art.

도 2는 종래기술에 따른 비휘발성 메모리 소자의 제조방법을 통해 제조된 소자의 단면을 도시한 SEM(Scanning Electron Microscope) 사진이다.FIG. 2 is a SEM (Scanning Electron Microscope) photograph showing a cross section of a device manufactured by a method of manufacturing a nonvolatile memory device according to the prior art.

도 3a 내지 도 3h는 본 발명의 실시예에 따른 비휘발성 메모리 소자의 제조방법을 도시한 공정 단면도.3A to 3H are cross-sectional views illustrating a method of manufacturing a nonvolatile memory device in accordance with an embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100, 100A, 200, 200A : 반도체 기판100, 100A, 200, 200A: semiconductor substrate

101, 101A, 201, 201A : 터널링 절연막101, 101A, 201, 201A: tunneling insulating film

102, 102A, 202, 202A : 플로팅 게이트용 도전막102, 102A, 202, 202A: conductive film for floating gate

103, 103A, 206, 206A : 하드 마스크103, 103A, 206, 206A: Hard Mask

104, 209 : 트렌치104, 209: Trench

105, 211 : 측벽 보호막105, 211: sidewall protective film

106 : 소자 분리막용 절연막106: insulating film for device isolation film

208 : 208: 이온주입층Ion implantation layer

203, 203A : 질화막(실리콘질화막)203, 203A: nitride film (silicon nitride film)

204, 204A : 산화막(실리콘산화막)204, 204A: oxide film (silicon oxide film)

205, 205A : 산화질화막(실리콘산화질화막)205 and 205A: oxynitride film (silicon oxynitride film)

212 : 소자 분리막용 제1 절연막212: first insulating film for device isolation film

213 : 소자 분리막용 제2 절연막213: second insulating film for device isolation film

Claims (10)

기판 상에 터널링 절연막과 플로팅 게이트용 도전막을 형성하는 단계;Forming a tunneling insulating film and a floating gate conductive film on the substrate; 상기 도전막, 상기 터널링 절연막 및 상기 기판을 일부 식각하여 트렌치를 형성하는 단계;Etching a portion of the conductive layer, the tunneling insulating layer, and the substrate to form a trench; 이온 빔 처리공정을 실시하여 불균일한 상기 트렌치 내측벽의 격자결합을 파괴하는 단계; Performing an ion beam treatment process to break the lattice bond of the non-uniform trench inner wall; 세정공정을 실시하여 상기 격자결합이 파괴된 상기 트렌치 내측벽을 식각하여 균일화하는 단계; 및Performing a cleaning process to etch and equalize the trench inner wall where the lattice bond is broken; And 상기 트렌치가 매립되도록 소자 분리막용 절연막을 형성하는 단계Forming an insulating film for a device isolation layer to fill the trench 를 포함하는 비휘발성 메모리 소자의 제조방법.Method of manufacturing a nonvolatile memory device comprising a. 제 1 항에 있어서, The method of claim 1, 상기 이온 빔 처리 공정은 비소, 인, 붕소 또는 산소 중 선택된 어느 하나의 이온 빔을 사용하여 실시하는 비휘발성 메모리 소자의 제조방법.The ion beam treatment process is performed by using any one of the ion beam selected from arsenic, phosphorus, boron or oxygen. 제 2 항에 있어서, The method of claim 2, 상기 이온 빔의 조사 각도는 O°를 초과하면서 30°를 넘지 않는 범위 내에 서 실시하는 비휘발성 메모리 소자의 제조방법.A method of manufacturing a nonvolatile memory device, wherein the irradiation angle of the ion beam is performed within a range not exceeding 30 ° while exceeding O °. 제 1 항에 있어서, The method of claim 1, 상기 세정공정은 B, F, R, N 용액 또는 이들 용액 중 선택된 용액을 순차적으로 이용하여 실시하는 비휘발성 메모리 소자의 제조방법.The cleaning process is a method of manufacturing a non-volatile memory device using a B, F, R, N solution or a solution selected from these solutions sequentially. 제 1 항에 있어서, The method of claim 1, 상기 소자 분리막용 절연막을 형성하는 단계는, Forming the insulating film for the device isolation film, 상기 트렌치의 내부면에 라이너 형태로 제1 절연막을 증착하는 단계;Depositing a first insulating film in a liner shape on an inner surface of the trench; 상기 트렌치가 매립되도록 상기 제1 절연막 상에 제2 절연막을 증착하는 단계; 및Depositing a second insulating film on the first insulating film to fill the trench; And 상기 제1 및 제2 절연막을 평탄화하는 단계Planarizing the first and second insulating layers 를 포함하는 비휘발성 메모리 소자의 제조방법.Method of manufacturing a nonvolatile memory device comprising a. 제 5 항에 있어서, The method of claim 5, wherein 상기 제1 절연막은 HTO막으로 형성하고, 상기 제2 절연막은 HDP막으로 형성하는 비휘발성 메모리 소자의 제조방법.And the first insulating film is formed of an HTO film, and the second insulating film is formed of an HDP film. 제 5 항에 있어서, The method of claim 5, wherein 상기 제1 절연막을 증착하는 단계 후, After depositing the first insulating film, 상기 제1 절연막에 대해 플라즈마 처리 공정을 실시하는 단계를 더 포함하는 비휘발성 메모리 소자의 제조방법.And performing a plasma treatment process on the first insulating film. 제 1 항에 있어서, The method of claim 1, 상기 트렌치 내측벽을 식각하는 단계 후,After etching the trench inner wall, 상기 트렌치 내측벽에 산화공정을 실시하여 측벽 보상막을 형성하는 단계를 포함하는 비휘발성 메모리 소자의 제조방법. And forming a sidewall compensation layer by performing an oxidation process on the inner wall of the trench. 제 1 항에 있어서, The method of claim 1, 상기 도전막을 형성하는 단계 후, After forming the conductive film, 상기 도전막 상에 하드 마스크를 형성하는 단계를 더 포함하는 비휘발성 메모리 소자의 제조방법.And forming a hard mask on the conductive layer. 제 9 항에 있어서, The method of claim 9, 상기 하드 마스크는 실리콘질화막으로 형성하거나, 실리콘질화막, 실리콘산화막 및 실리콘산화질화막이 적층된 적층 구조로 형성하는 비휘발성 메모리 소자의 제조방법.The hard mask is formed of a silicon nitride film, or a silicon nitride film, a silicon oxide film and a silicon oxynitride film manufacturing method of a non-volatile memory device to form a stacked structure laminated.
KR1020070111201A 2007-11-01 2007-11-01 Method for manufacturing a nonvolatile memory device KR20090044914A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070111201A KR20090044914A (en) 2007-11-01 2007-11-01 Method for manufacturing a nonvolatile memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070111201A KR20090044914A (en) 2007-11-01 2007-11-01 Method for manufacturing a nonvolatile memory device

Publications (1)

Publication Number Publication Date
KR20090044914A true KR20090044914A (en) 2009-05-07

Family

ID=40855405

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070111201A KR20090044914A (en) 2007-11-01 2007-11-01 Method for manufacturing a nonvolatile memory device

Country Status (1)

Country Link
KR (1) KR20090044914A (en)

Similar Documents

Publication Publication Date Title
KR101050454B1 (en) Device Separation Film of Semiconductor Device and Formation Method Thereof
US9034707B2 (en) Nonvolatile memory device and method for fabricating the same
US7563689B2 (en) Method for fabricating nonvolatile memory device
US20070232019A1 (en) Method for forming isolation structure in nonvolatile memory device
KR100956599B1 (en) Method for manufacturing a nonvolatile memory device
KR100809338B1 (en) Semiconductor device and method of fabricating the same
JP2006108605A (en) Wall oxide film forming method and element isolation film forming method of flash memory element
KR100572329B1 (en) Method of forming field isolation layer and method of forming semiconductor device using the method
KR20090074536A (en) Method for manufacturing a nonvolatile memory device
JP2010045239A (en) Method of manufacturing nonvolatile semiconductor storage device
KR20090012831A (en) Method for manufacturing a nonvolatile memory device
KR20090044914A (en) Method for manufacturing a nonvolatile memory device
KR100909798B1 (en) Manufacturing method of nonvolatile memory device
KR20090044918A (en) Method for manufacturing a nonvolatile memory device
KR100864629B1 (en) An isolation layer in semiconductor device and method for forming the same
KR100871642B1 (en) Method for manufacturing a nonvolatile memory device
KR100967098B1 (en) Method for manufacturing a nonvolatile memory device
KR20100131719A (en) Gate pattern for nonvolatile memory device and manufacturing method of the same
KR20090044917A (en) Method for manufacturing a nonvolatile memory device
KR19990017051A (en) Device Separation Method of Semiconductor Device
KR20090074539A (en) Nonvolatile memory device and method for manufacturing the same
KR20090002617A (en) Method for manufacturing a semiconductor device
KR20090092031A (en) Method for manufacturing a nonvolatile memory device
US20080194093A1 (en) Method for fabricating a nonvolatile memory device
KR20100013969A (en) Method of forming a isolation layer in semiconductor device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid