KR20090009788A - Topography directed patterning - Google Patents

Topography directed patterning Download PDF

Info

Publication number
KR20090009788A
KR20090009788A KR1020087023691A KR20087023691A KR20090009788A KR 20090009788 A KR20090009788 A KR 20090009788A KR 1020087023691 A KR1020087023691 A KR 1020087023691A KR 20087023691 A KR20087023691 A KR 20087023691A KR 20090009788 A KR20090009788 A KR 20090009788A
Authority
KR
South Korea
Prior art keywords
block
pattern
forming
spacers
features
Prior art date
Application number
KR1020087023691A
Other languages
Korean (ko)
Other versions
KR101428820B1 (en
Inventor
구르테즈 에스. 산두
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Priority claimed from PCT/US2007/011524 external-priority patent/WO2007127496A2/en
Publication of KR20090009788A publication Critical patent/KR20090009788A/en
Application granted granted Critical
Publication of KR101428820B1 publication Critical patent/KR101428820B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects

Abstract

A pattern having exceptionally small features is formed on a partially fabricated integrated circuit (102) during integrated circuit fabrication. The pattern comprises features (162), (164) formed by self-organizing material, such as diblock copolymers. The organization of the copolymers is directed by spacers (152) which have been formed by a pitch multiplication process in which the spacers (152) are formed at the sides of sacrificial mandrels (142), which are later removed to leave the spaced-apart, free-standing spacers (152). Diblock copolymers, composed of two immiscible block species, are deposited over and in the space between the spacers (152). The copolymers are made to self-organize, with each block species aggregating with other block species of the same type.

Description

토포그래피 지향 패터닝{TOPOGRAPHY DIRECTED PATTERNING}Topography Oriented Patterning {TOPOGRAPHY DIRECTED PATTERNING}

본 발명은 일반적으로 집적회로 제조에 관한 것이고, 보다 구체적으로, 인쇄 기법에 대한 것인다.The present invention generally relates to integrated circuit fabrication and, more particularly, to printing techniques.

증가된 이동성, 연산 능력, 메모리 용량 및 에너지 효율에 대한 요구를 포함하는 다수의 요인들의 결과로서, 집적회로들은 끊임 없이 고밀도로 되고 있다. 집적회로들을 형성하는 구성 피쳐들(constituent features), 예컨대 전자 디바이스들 및 상호연결 선들은, 이러한 스케일링을 용이하게 하기 위해 끊임없이 축소되고 있다.As a result of a number of factors, including increased mobility, computational power, memory capacity, and the need for energy efficiency, integrated circuits are constantly becoming dense. Constitutive features forming integrated circuits, such as electronic devices and interconnect lines, are constantly shrinking to facilitate such scaling.

축소되는 피쳐 크기의 경향은, 예를 들어, DRAM(dynamic random access memory), 플래시 메모리, SRAM(static random access memory), 강유전성(FE) 메모리 등의 메모리 회로들 또는 디바이스들에서 명확하다. 일례를 들면, DRAM은 통상적으로 메모리 셀이라고 알려진, 수백만 개의 동일한 회로 소자들을 포함한다. 일반적으로, 종래의 DRAM에서와 같은 캐패시터 기반의 메모리 셀은, 통상 두개의 전기 디바이스들: 스토리지 캐패시터 및 액세스 전계 효과 트랜지스터를 포함한다. 각각의 메모리 셀은 1비트(이진 숫자)의 데이터를 저장할 수 있는 어드레스가능한 장소이다. 비트는 트랜지스터를 통해 셀에 기입될 수 있고 캐패시터 내의 전하를 검지함으로써 판독될 수 있다. 일부 메모리 기법들은 스토리지 디바이스와 스위치 양쪽으로 동작할 수 있는 소자들을 이용하고(예컨대, 은 도핑된 칼코게나이드 글래스(chalcogenide glass)를 이용하는 수지상(dendritic) 메모리), 일부 비휘발성 메모리는 각각의 셀에 대한 스위치를 필요로 하지 않거나(예컨대, 자기저항 RAM) 또는 스위치를 메모리 소자 내에 통합한다(예컨대, EEPROM). 메모리 셀을 구성하는 전기 디바이스들의 크기 및 메모리 셀들을 액세스하는 도전성 라인들의 크기를 축소시킴으로써, 메모리 디바이스들은 더 작게 만들어질 수 있다. 또한, 저장 능력은, 메모리 디바이스에에서 주어진 영역 상에 더 많은 메모리 셀들을 끼워넣음으로써, 증가될 수 있다. 그러나 피쳐 크기의 감소에 대한 필요는, 범용의 프로세서들 및 전문 프로세서들을 포함하는 집적회로들에 보다 일반적으로 적용가능하다.The tendency of feature size to be reduced is evident in memory circuits or devices such as, for example, dynamic random access memory (DRAM), flash memory, static random access memory (SRAM), ferroelectric (FE) memory, and the like. For example, DRAMs include millions of identical circuit elements, commonly known as memory cells. In general, capacitor-based memory cells, such as in conventional DRAM, typically include two electrical devices: a storage capacitor and an access field effect transistor. Each memory cell is an addressable location that can store one bit (binary number) of data. The bit can be written to the cell through the transistor and read by detecting the charge in the capacitor. Some memory techniques use devices that can act as both a storage device and a switch (eg, dendritic memory using silver-doped chalcogenide glass), and some non-volatile memory in each cell. No switch is required (e.g., magnetoresistive RAM) or the switch is integrated into the memory element (e.g., EEPROM). By reducing the size of the electrical devices that make up the memory cell and the conductive lines that access the memory cells, the memory devices can be made smaller. In addition, the storage capacity can be increased by embedding more memory cells on a given area in the memory device. However, the need for reducing feature size is more generally applicable to integrated circuits including general purpose processors and specialized processors.

피쳐 크기의 지속적인 감소로 인해 피쳐를 형성하는데 이용되는 기법 상에 더 큰 요구가 주어지고 있다. 예를 들어, 포토리소그래피는 이러한 피쳐를 패터닝하는데 일반적으로 이용된다. 통상, 포토리소그래피는 광을 레티클(reticle)에 통과시키고 그 광을 광화학적으로 활성인 포토레지스트 재료 상에 집중시키는 것을 수반한다. 슬라이드가 스크린 상에 투영될 화상을 갖는 것과 마찬가지로, 레티클은 통상 기판에 전사될 패턴을 갖는다. 광 또는 래디에이션(radition)이 레티클을 통하게 함으로써, 레티클 내의 패턴은 포토레지스트 상에 집중될 수 있다. 광 또는 래디에이션은 포토레지스트의 조명된 부분에 화학적 변화를 일으켜서, 이 부분들을 그늘에 있었던 부분들에 대하여, 원하는 바에 따라, 선택적으로 제거되거나 유지되게 한다. 따라서, 노출된 부분과 노출되지 않은 부분은 포토레지스트 내에 패턴을 형성한다. 이러한 패턴은, 도전성 라인들 또는 전기 디바이스의 부분들을 포함하는 집적회로의 다양한 피쳐들을 형성하기 위한 마스크로서 사용될 수 있다는 것이 이해될 것이다.There is a greater demand on the techniques used to form features due to the continuous reduction in feature size. Photolithography, for example, is commonly used to pattern such features. Typically, photolithography involves passing light through a reticle and concentrating the light on a photochemically active photoresist material. Just as the slide has an image to be projected on the screen, the reticle typically has a pattern to be transferred to the substrate. By allowing light or radiation to pass through the reticle, the pattern in the reticle can be concentrated on the photoresist. Light or radiation causes chemical changes in the illuminated portions of the photoresist, allowing them to be selectively removed or retained, as desired, for the portions that were in the shade. Thus, the exposed and unexposed portions form a pattern in the photoresist. It will be appreciated that such a pattern can be used as a mask to form various features of an integrated circuit including conductive lines or portions of an electrical device.

리소그래피는 통상 광 또는 래디에이션을 표면 상에 투영함으로써 달성되기 때문에, 특정 리소그래피 기법의 최종적인 해상도는 렌즈 및 광 또는 래디에이션 파장 등의 요인들에 의존한다. 예를 들어, 잘 정의된(well-defined) 패턴들을 레지스트 상에 집중시키는 능력은 피쳐들의 크기 및 레티클을 통해 투영된 래디에이션의 파장에 의존한다. 다른 많은 것들 중, 회절에 의해, 파장이 증가하면 해상도가 감소한다는 것이 이해될 것이다. 따라서, 피쳐들의 크기가 감소함에 따라, 잘 해상된(well-resolved) 피쳐들을 형성하기 위해 짧은 파장의 래디에이션이 통상 요구된다. 따라서, 피쳐 크기의 감소를 용이하게 하기 위하여, 점점 더 낮은 파장의 시스템이 제안되어 왔다.Since lithography is usually achieved by projecting light or radiation onto a surface, the final resolution of a particular lithography technique depends on factors such as the lens and the light or radiation wavelength. For example, the ability to focus well-defined patterns on the resist depends on the size of the features and the wavelength of the radiation projected through the reticle. Among other things, it will be understood that by diffraction, the resolution decreases with increasing wavelength. Thus, as features decrease in size, short wavelength radiation is usually required to form well-resolved features. Thus, in order to facilitate the reduction of feature size, increasingly lower wavelength systems have been proposed.

예를 들어, 피쳐 크기가 감소됨에 따라, 365㎚, 248㎚, 193㎚ 및 157㎚ 파장의 시스템들이 개발되어 왔다. 피쳐 크기의 추가적인 감소, 예를 들어 20㎚까지의 피쳐는 더 짧은 파장의 시스템을 필요로 한다. 예를 들어, 광 대신에 X-선 래디에이션을 사용하는 X-선 기반 리소그래피가, 20㎚ 피쳐와 같은 매우 작은 피쳐를 형성하기 위해 제안되어 왔다. 제안된 다른 기법은, 예컨대 13.7㎚ 래디에이션을 이용하는 극자외선(extreme ultraviolet; EUV) 리소그래피이다. 그러나 X-선 및 EUV 리소그래피는 구현하는데 엄청난 비용이 들 것으로 예상된다. 비용 외에도, 이 기법들은 다양한 기술적 장애에 직면한다. 예를 들어, X-선 리소그래피에 있어서, 이러한 장애들은 X-선에 대하여 충분히 불투명한 고품질 레티클을 형성하는 것의 어려움 및 X-선에 대하여 충분히 민감한 레지스트를 고안하는 것의 어려움을 포함한다. 더욱이, 레지스트 상에 래디에이션을 집중시키기 위한 렌즈를 이용하는 대신에, 레티클을 통과하는 X-선에 레지스트를 직접 노출시키기 위해, X-선 시스템은 레티클을 레지스트에 가까이 위치시킨다. 이것은 레티클을 레지스트와 정렬시키는데 있어 복잡함을 야기하고, 또한 레티클과 레지스트 모두가 편평할 것을 크게 요구한다. 또한, X-선 리소그래피는 굴절 렌즈에 대립하는 것으로서 반사 렌즈를 사용하고, 이는 광학 소자들 및 관련 시스템의 완전한 재설계를 요구할 수 있다. 마찬가지로, 이온 빔 및 전자 빔 리소스래피를 포함하는, 다른 고 해상도 리소그래피 기법들은, 높은 복잡성 및 비용을 포함하는, 그들 나름의 기술적 및 실제적 장애를 갖는다.For example, as feature size is reduced, systems of 365 nm, 248 nm, 193 nm and 157 nm wavelengths have been developed. Further reduction of feature size, for example features up to 20 nm, requires a shorter wavelength system. For example, X-ray based lithography using X-ray radiation instead of light has been proposed to form very small features, such as 20 nm features. Another technique proposed is extreme ultraviolet (EUV) lithography using, for example, 13.7 nm radiation. However, X-ray and EUV lithography are expected to be enormously expensive to implement. In addition to cost, these techniques also face various technical hurdles. For example, in X-ray lithography, these obstacles include the difficulty of forming a high quality reticle that is sufficiently opaque to X-rays and the difficulty of designing resists that are sufficiently sensitive to X-rays. Moreover, instead of using a lens to focus radiation on the resist, the X-ray system places the reticle close to the resist to directly expose the resist to the X-ray passing through the reticle. This causes complexity in aligning the reticle with the resist, and also greatly requires both the reticle and the resist to be flat. X-ray lithography also uses reflective lenses as opposed to refractive lenses, which may require a complete redesign of optical elements and associated systems. Likewise, other high resolution lithography techniques, including ion beam and electron beam resourcerapies, have their own technical and practical obstacles, including high complexity and cost.

따라서, 반도체 기판 상에 작은 피쳐들을 패터닝하기 위한 고 해상도 방법에 대한 계속적인 필요가 있다.Thus, there is a continuing need for a high resolution method for patterning small features on a semiconductor substrate.

본 발명의 일 양태에 따르면, 반도체 기판 위에 패턴을 형성하기 위한 방법이 제공된다. 본 방법은 반도체 기판을 덮는 복수의 피치 증배된(pitch multiplied) 피쳐를 제공하는 단계를 포함한다. 그 후, 자기-조직화(self-organization) 재료가 피치 증배된 피쳐들 사이에 제공된다. 자기-조직화 재료를 형성하는 화학종들(chemical species)의 분리가 시작된다.According to one aspect of the present invention, a method for forming a pattern on a semiconductor substrate is provided. The method includes providing a plurality of pitch multiplied features covering a semiconductor substrate. A self-organization material is then provided between the pitch multiplied features. Separation of chemical species forming a self-organizing material begins.

본 발명의 다른 양태에 따르면, 마스크 패턴을 형성하기 위한 방법이 제공된다. 본 방법은 피치 증배에 의해 복수의 스페이서를 형성하는 단계를 포함한다. 스페이서들 사이에 막이 성막된다. 막은 어닐링(annealing)되어 막 내에 피쳐들의 반복하는 패턴을 형성한다.According to another aspect of the present invention, a method for forming a mask pattern is provided. The method includes forming a plurality of spacers by pitch multiplication. A film is formed between the spacers. The film is annealed to form a repeating pattern of features in the film.

본 발명의 또 다른 양태에 따르면, 반도체 제조를 위한 방법이 제공된다. 본 방법은 약 200㎚ 또는 그 미만의 피치를 갖는 복수의 라인을 제공하는 단계를 포함한다. 블럭 공중합체들(block copolymers)을 포함하는 패턴이 복수의 라인 사이에 형성된다.According to another aspect of the invention, a method for semiconductor manufacturing is provided. The method includes providing a plurality of lines having a pitch of about 200 nm or less. A pattern comprising block copolymers is formed between the plurality of lines.

본 발명의 다른 양태에 따르면, 마스크 형성을 위한 방법이 제공된다. 본 방법은 반도체 기판 위에 스페이서들의 패턴을 제공하는 단계를 포함한다. 본 방법은 또한 스페이서들 사이에서 연장하는 균질의 마스크 재료를 제공하는 단계를 포함한다. 마스크 재료는 식각제에 노출되어 노출된 마스크 재료 내에 공극들(voids)의 패턴을 형성한다.According to another aspect of the present invention, a method for forming a mask is provided. The method includes providing a pattern of spacers over a semiconductor substrate. The method also includes providing a homogeneous mask material extending between the spacers. The mask material is exposed to the etchant to form a pattern of voids in the exposed mask material.

본 발명의 또 다른 양태에 따르면, 반도체 처리의 방법이 제공된다. 본 방법은 반도에 기판 위에 블럭 도메인들의 제1 세트를 형성하는 단계를 포함한다. 블럭 공중합체들의 제1 세트는 블럭 도메인들의 복수의 분리된 그룹을 포함한다. 블럭 도메인들의 제2 세트는 블럭 도메인들의 분리된 그룹들 사이의 공간들에 후속하여 형성된다.According to another aspect of the present invention, a method of semiconductor processing is provided. The method includes forming a first set of block domains on a substrate on a peninsula. The first set of block copolymers comprises a plurality of separate groups of block domains. The second set of block domains is formed subsequent to the spaces between the separated groups of block domains.

본 발명의 다른 양태에 따르면, 부분적으로 제조된 집적회로가 제공된다. 부분적으로 제조된 집적회로는 반도체 기판을 덮는 복수의 공중합체 가이드를 포함하고, 가이드들은 약 200㎚ 또는 그 미만의 피치를 갖는다. 부분적으로 제조된 집적회로는 또한 복수의 공중합체 가이드 사이에 배치된 블럭 공중합체들을 포함한다.According to another aspect of the present invention, a partially manufactured integrated circuit is provided. A partially fabricated integrated circuit includes a plurality of copolymer guides covering a semiconductor substrate, the guides having a pitch of about 200 nm or less. Partially fabricated integrated circuits also include block copolymers disposed between a plurality of copolymer guides.

본 발명의 바람직한 실시예들의 상세한 설명 및 첨부된 도면들로부터 더 잘 이해될 것이고, 첨부된 도면들은 본 발명을 예시하기 위한 것이고 본 발명을 제한하려는 것은 아니다.It will be better understood from the following detailed description of the preferred embodiments of the invention and the accompanying drawings, which are intended to illustrate the invention and not to limit the invention.

도 1은 본 발명의 바람직한 실시예들에 따른, 부분적으로 형성된 집적회로의 개략적인 측단면도.1 is a schematic side cross-sectional view of a partially formed integrated circuit, in accordance with preferred embodiments of the present invention.

도 2는 본 발명의 바람직한 실시예들에 따른, 포토레지스트 층에 피쳐들을 형성한 후의, 도 1의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 2 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 1 after forming features in the photoresist layer, in accordance with preferred embodiments of the present invention. FIG.

도 3은 본 발명의 바람직한 실시예들에 따른, 하드마스크 층을 통해 에칭한 후의, 도 2의 부분적으로 형성된 집적회로의 개략적인 측단면도.3 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 2 after etching through a hardmask layer, in accordance with preferred embodiments of the present invention.

도 4는 본 발명의 바람직한 실시예들에 따른, 포토레지스트를 제거하고 패턴을 하드마스크 층으로부터 임시층으로 전사한 후의, 도 3의 부분적으로 형성된 집적회로의 개략적인 측단면도.4 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 3 after removing the photoresist and transferring the pattern from the hardmask layer to the temporary layer in accordance with preferred embodiments of the present invention.

도 5는 본 발명의 바람직한 실시예들에 따른, 하드마스크 층 제거 후의, 도 4의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 5 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 4 after removal of the hard mask layer, in accordance with preferred embodiments of the present invention. FIG.

도 6은 본 발명의 바람직한 실시예들에 따른, 스페이서 재료의 층을 성막한 후의, 도 5의 부분적으로 형성된 집적회로의 개략적인 측단면도.6 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 5 after depositing a layer of spacer material, in accordance with preferred embodiments of the present invention.

도 7은 본 발명의 바람직한 실시예들에 따른, 스페이서 에칭 후의, 도 6의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 7 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 6 after spacer etching, in accordance with preferred embodiments of the present invention. FIG.

도 8은 본 발명의 바람직한 실시예들에 따른, 임시층의 잔여 부분을 제거하여 스페이서의 패턴을 남긴 후의, 도 7의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 8 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 7 after removing the remaining portion of the temporary layer to leave a pattern of spacers in accordance with preferred embodiments of the present invention. FIG.

도 9는 본 발명의 바람직한 실시예들에 따른, 블럭 공중합체 용액의 층을 성막한 후의, 도 8의 부분적으로 형성된 집적회로의 개략적인 측단면도.9 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 8 after depositing a layer of a block copolymer solution, in accordance with preferred embodiments of the present invention.

도 10은 본 발명의 바람직한 실시예들에 따른, 블럭 공중합체의 자기-조직화 후의, 도 9의 부분적으로 형성된 집적회로의 개략적인 측단면도.10 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 9 after self-organization of the block copolymer, in accordance with preferred embodiments of the present invention.

도 11 및 도 12는 본 발명의 바람직한 실시예들에 따른, 블럭 공중합체의 자기-조직화에서 발생한 2개의 예시적인 공중합체 정렬을 도시하는, 도 10의 부분적으로 형성된 집적회로의 개략적인 측단면도.11 and 12 are schematic side cross-sectional views of the partially formed integrated circuit of FIG. 10 showing two exemplary copolymer alignments resulting from self-organization of block copolymers, in accordance with preferred embodiments of the present invention.

도 13은 본 발명의 바람직한 실시예들에 따른, 2개의 블럭 공중합체의 블럭들 중 하나를 선택적으로 제거한 후의, 도 12의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 13 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 12 after selectively removing one of the blocks of two block copolymers, in accordance with preferred embodiments of the present invention. FIG.

도 14는 본 발명의 바람직한 실시예들에 따른, 블럭 공중합체에 의해 정의되는 패턴을 하부 기판으로 전사한 후의, 도 13의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 14 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 13 after transferring a pattern defined by a block copolymer to a lower substrate, in accordance with preferred embodiments of the present invention. FIG.

도 15는 본 발명의 바람직한 실시예들에 따른, 블럭 공중합체에 의해 정의되는 패턴을 하드마스크 층으로, 그리고 그 후에는 하부 기판으로 전사한 후의, 부분적으로 형성된 집적회로의 개략적인 측단면도.Figure 15 is a schematic side cross-sectional view of a partially formed integrated circuit after transferring a pattern defined by a block copolymer to a hardmask layer and then to a lower substrate, in accordance with preferred embodiments of the present invention.

도 16은 본 발명의 바람직한 실시예들에 따른, 맨드릴들의 측벽들에 스페이서들을 형성한 후의, 부분적으로 형성된 집적회로의 개략적인 측단면도.16 is a schematic side cross-sectional view of a partially formed integrated circuit after forming spacers in the sidewalls of the mandrels, in accordance with preferred embodiments of the present invention.

도 17은 본 발명의 바람직한 실시예들에 따른, 블럭 공중합체 용액의 층을 성막한 후의, 도 16의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 17 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 16 after depositing a layer of a block copolymer solution, in accordance with preferred embodiments of the present invention. FIG.

도 18은 본 발명의 바람직한 실시예들에 따른, 블럭 공중합체의 자기-조직화 후의, 도 17의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 18 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 17 after self-organization of the block copolymer, in accordance with preferred embodiments of the present invention. FIG.

도 19는 본 발명의 바람직한 실시예들에 따른, 2개의 공중합체 블럭들 중 하나를 선택적으로 제거하고, 잔여 공중합체 블럭들에 의해 형성된 패턴을 하부의 하드마스크 층으로 전사하고, 잔여 공중합체 블럭들을 제거한 후의, 도 18의 부분적으로 형성된 집적회로의 개략적인 측단면도.19 selectively removes one of the two copolymer blocks, transfers the pattern formed by the remaining copolymer blocks to the underlying hardmask layer, and retains the remaining copolymer blocks, according to preferred embodiments of the present invention. Schematic side cross-sectional view of the partially formed integrated circuit of FIG. 18 after removal thereof.

도 20은 본 발명의 바람직한 실시예들에 따른, 필러 재료의 층을 성막하여 스페이서들 사이이 공간들을 채우게 하고, 맨드릴들을 노출시키기 위한 평탄화 후의, 도 19의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 20 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 19 after planarization to deposit a layer of filler material to fill spaces between spacers and to expose mandrels in accordance with preferred embodiments of the present invention.

도 21은 본 발명의 바람직한 실시예들에 따른, 맨드릴들을 제거한 후의, 도 20의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 21 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 20 after removing mandrels, in accordance with preferred embodiments of the present invention. FIG.

도 22는 본 발명의 바람직한 실시예들에 따른, 블럭 공중합체 용액의 제2 층을 성막한 후의, 도 21의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 22 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 21 after depositing a second layer of a block copolymer solution, in accordance with preferred embodiments of the present invention. FIG.

도 23은 본 발명의 바람직한 실시예들에 따른, 블럭 공중합체의 자기-조직화 후의, 도 22의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 23 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 22 after self-organization of the block copolymer, in accordance with preferred embodiments of the present invention. FIG.

도 24는 본 발명의 바람직한 실시예들에 따른, 2개의 공중합체 블럭 종들 중 의 하나를 다른 블럭 종들에 대하여 그리고 필러 재료에 대하여 선택적으로 제거한 후의, 도 23의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 24 is a schematic side view of the partially formed integrated circuit of FIG. 23 after selectively removing one of two copolymer block species with respect to the other block species and with respect to the filler material, in accordance with preferred embodiments of the present invention. Cross-section.

도 25는 본 발명의 바람직한 실시예들에 따른, 잔여 블럭들에 의해 형성된 패턴을 하부의 하드마스크 층으로 전사하고 필러 재료를 제거한 후의, 도 24의 부분적으로 형성된 집적회로의 개략적인 측단면도.25 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 24 after transferring the pattern formed by the remaining blocks to the underlying hardmask layer and removing the filler material, in accordance with preferred embodiments of the present invention.

도 26은 본 발명의 바람직한 실시예들에 따른, 공중합체 블럭들에 의해 형성된 패턴을 하부 기판으로 전사한 후의, 도 25의 부분적으로 형성된 집적회로의 개략적인 측단면도.FIG. 26 is a schematic side cross-sectional view of the partially formed integrated circuit of FIG. 25 after transferring a pattern formed by copolymer blocks to a lower substrate, in accordance with preferred embodiments of the present invention. FIG.

블럭 공중합체들이 자기-조직화하는 능력은 마스크 패턴을 형성하는데 이용될 수 있다. 블럭 공중합체들은 둘 이상의 화학적으로 다른 블럭들로 형성된다. 예를 들어, 각각의 블럭은 상이한 단량체(monomer)로 형성될 수 있다. 블럭들은 바람직하게는 혼합될 수 없거나 또는 열역학적으로(thermodynamically) 양립할 수 없고, 예컨대, 하나의 블럭은 극성이고 다른 블럭은 무극성일 수 있다. 열역학적 효과 때문에, 공중합체들은 용액 내에서 자기-조직화되어, 시스템의 에너지를 전체로서 최소화시킬 것이고, 통상, 이것은 공중합체들이 서로에 대하여 이동하기 쉽게 만들어주고, 예컨대, 동일한 블럭들이 서로 응집하여, 각각의 블럭 유형 또는 종들을 포함하는 교호의(alternating) 영역들을 형성하게 한다. 예를 들어, 공중합체들이 극성 및 무극성 블럭들로 형성되면, 블럭들은 분리되어 무극성 블럭들은 다른 무극성 블럭들과 응집하고 극성 블럭들은 다른 극성 블럭들과 응집한다. 개별적인 분자들의 이동을 지시하는 외부의 힘의 인가 없이 블럭들이 패턴을 형성할 수 있기 때문에 블럭 공중합체들은 자기-조직화 재료로서 설명될 수 있지만, 이하에서 설명하는 바와 같이 이동의 속도를 증가히시키 위하여 열이 가해질 수도 있다는 것이 이해될 것이다.The ability of the block copolymers to self-organize can be used to form a mask pattern. Block copolymers are formed of two or more chemically different blocks. For example, each block may be formed of a different monomer. The blocks are preferably not mixed or thermodynamically incompatible, for example one block may be polar and the other block may be nonpolar. Because of the thermodynamic effect, the copolymers will self-organize in solution, minimizing the energy of the system as a whole, which usually makes the copolymers easier to move relative to one another, for example, the same blocks aggregate together, To form alternating regions containing block types or species of. For example, if copolymers are formed of polar and nonpolar blocks, the blocks are separated so that nonpolar blocks aggregate with other nonpolar blocks and polar blocks aggregate with other polar blocks. Block copolymers can be described as self-organizing materials because blocks can form patterns without the application of external forces that direct the movement of individual molecules, but to increase the speed of migration as described below. It will be appreciated that heat may be applied.

블럭 종들 사이의 상호작용 외에도, 블럭 공중합체들의 자기-조직화는 블럭 공중합체가 성막되는 표면의 단차들(steps)과 같은 지형학적 피쳐들에 의해서도 영향을 받을 수 있다. 예를 들어, 2개의 상이한 블럭 종들로 형성된 이블럭(diblock) 공중합체는, 각각이 실질적으로 상이한 블럭 종들로 형성된 교호의 영역들을 형성할 수 있다. 단차의 벽들 사이에 있는 영역에서 자기-조직화가 발생할 경우, 단차들은, 블럭들에 의해 형성된 교호의 영역들의 각각이 벽들에 평행하게 연장될 수 있도록, 블럭들과 상호작용한다.In addition to the interactions between the block species, self-organization of the block copolymers can also be affected by topographical features such as steps of the surface on which the block copolymer is deposited. For example, a diblock copolymer formed of two different block species may form alternating regions, each formed of substantially different block species. When self-organization occurs in the area between the walls of the step, the steps interact with the blocks such that each of the alternating areas formed by the blocks can extend parallel to the walls.

이러한 자기-조직화는 반도체 제조 공정 중에 피쳐들을 패터닝하기 위한 마스크들을 형성하는데 유용할 수 있다. 예를 들어, 교호의 영역들 중 하나가 제거되어, 그에 의해 다른 영역들이 마스크로서 기능하도록 남겨질 수 있다. 마스크는 하부의 반도체 기판에서 전지 디바이스 등의 피쳐들을 패터닝하는데 이용될 수 있다.Such self-organization can be useful for forming masks for patterning features during semiconductor manufacturing processes. For example, one of the alternating regions can be removed, thereby leaving other regions to function as a mask. The mask can be used to pattern features, such as battery devices, in the underlying semiconductor substrate.

교호의 영역들의 크기는 블럭 공중합체들의 크기에 관련되고 수 나노미터 또는 수십 나노미터의 단위일 수 있다는 것이 이해될 것이다. 근접 거리의 단차 피쳐들을 형성하는데 있어, X-선, EUV, 이온 빔 및 전자 빔 리소그래피 등의 다양한 리소그래피 방법들이 가능한 후보들이다. 그러나 이들 방법을 적용하는 것은, 상 기에서 살펴본 바와 같이, 그 사용을 비현실적이고 과도하게 비싸게 만들수 있는, 다양한 기술적 및 실제적 장애를 갖는다.It will be appreciated that the size of the alternating regions is related to the size of the block copolymers and may be in the unit of several nanometers or tens of nanometers. In forming near-distance stepped features, various lithography methods such as X-ray, EUV, ion beam and electron beam lithography are possible candidates. However, applying these methods has various technical and practical obstacles, as discussed above, that can make their use unrealistically and excessively expensive.

본 발명의 바람직한 실시예들에서, 단일 리소그래피 단계에서 단차들을 정의하는 대신에, 상대적으로 큰 피쳐들이 처음으로 정의되고 그 후 더 작은 단차 피쳐들이 상대적으로 큰 피쳐들로부터 유도된다. 그 후 블럭 공중합체들이 단차들의 주위에 적용되어, 단차, 또는 가이드, 피쳐들 사이의 공간에서 자기-조직화가 가능하게 된다. 블럭들의 일부는 후속하여 선택적으로 제거된다. 잔여 블럭 종들은, 예컨대 집적회로의 제조 중에, 하부의 재료의 후속적인 패터닝에 대하여 마스크로서 이용될 수 있다.In preferred embodiments of the present invention, instead of defining steps in a single lithography step, relatively large features are first defined and then smaller step features are derived from the relatively large features. Block copolymers are then applied around the steps, allowing self-organization in the steps, or in the spaces between the guides, features. Some of the blocks are subsequently selectively removed. Residual block species may be used as a mask for subsequent patterning of underlying material, such as during fabrication of integrated circuits.

바람직하게는, 작은 단차 피쳐들을 형성하기 위해 피치 증배가 사용된다. 예를 들어, 상대적으로 큰 피쳐는 종래의 포토리소그래피에 의해 패터닝되어 임시의 플레이스홀더들(placeholders) 또는 맨드릴들(mandrels)의 패턴을 형성할 수 있다. 맨드릴들의 측면 상에 스페이서들이 형성되고 그 후 맨드릴들이 제거되어, 블럭 공중합체들의 자기-조직화를 유도하는 가이드들로서 기능하는 자립형 스페이서들(free-standing spacers)의 패턴을 남긴다.Preferably, pitch multiplication is used to form small stepped features. For example, relatively large features can be patterned by conventional photolithography to form a pattern of temporary placeholders or mandrels. Spacers are formed on the sides of the mandrels and then the mandrels are removed, leaving a pattern of free-standing spacers that function as guides to induce self-organization of the block copolymers.

피치 증배는 유익하게도, 이를 사용하지 않는다면, 새롭고, 상대적으로 복잡하고 비싼 리소그래피 기법을 이용하여 형성될, 작고 근접 거리의 단차 피쳐들의 형성을 가능하게 한다. 유익하게도, 종래의, 인증되고 상대적으로 저렴한 리소그래피 기법들이 이용되어, 그에 의해 비요을 줄이고 처리 신뢰도를 증가시킬 수 있다. 더욱이, 블럭 공중합체들의 자기-조직화 행동은 매우 작은 피쳐들의 신뢰성 있는 형성을 가능하게 하여, 매우 작은 피쳐 크기의 마스크 형성을 용이하게 한다. 예를 들어, 약 50㎚ 또는 그 미만, 더 바람직하게는 30㎚ 또는 그 미만, 더 바람직하게는 20㎚ 또는 그 미만의 임계 치수를 갖는 피쳐들이 형성될 수 있다.Pitch multiplication advantageously enables the formation of small, close-range stepped features that, if not used, will be formed using new, relatively complex and expensive lithography techniques. Advantageously, conventional, certified and relatively inexpensive lithography techniques can be used, thereby reducing costs and increasing processing reliability. Moreover, the self-organizing behavior of block copolymers allows for the reliable formation of very small features, facilitating the formation of masks of very small feature sizes. For example, features having a critical dimension of about 50 nm or less, more preferably 30 nm or less, more preferably 20 nm or less, can be formed.

이제 도면을 참조할 것이고, 도면에서 전체에 걸쳐 유사한 숫자들은 유사한 부분들을 지칭한다. 도면들이 반드시 크기에 비례하여 그려진 것은 아니라는 것이 이해될 것이다.Reference will now be made to the drawings, wherein like numerals refer to like parts throughout. It will be appreciated that the drawings are not necessarily drawn to scale.

바람직한 실시예들에 따른 방법의 제1 단계에서는 복수의 스페이서가 피치 증배에 의해 기판 위에 형성된다. 적절한 피치 증배 기법들은, Lowrey 등에게 허여된 미국 특허 제5,328,810호 및 Tran 등에 의해 2005년 8월 29일 출원된 미국 특허 출원 제11/214,544호 등에 설명되어 있다. 이들 레퍼런스들의 전체 개시내용은 본 명세서에 참조로서 통합되어 있다. 이 바람직한 실시예들은 다양한 집적회로들의 제조에서 사용되는 마스크들을 형성하는데 이용될 수 있다는 것이 이해될 것이다. 이들 집적회로는, 예컨대 메모리 칩 또는 컴퓨터 프로세서를 포함할 수 있다.In a first step of the method according to the preferred embodiments a plurality of spacers are formed on the substrate by pitch multiplication. Suitable pitch multiplication techniques are described in US Pat. No. 11 / 214,544, filed Aug. 29, 2005, by US Pat. No. 5,328,810 to Tran, et al. The entire disclosure of these references is incorporated herein by reference. It will be appreciated that these preferred embodiments can be used to form masks used in the manufacture of various integrated circuits. These integrated circuits may include, for example, memory chips or computer processors.

도 1을 참조하면, 부분적으로 형성된 집적회로(100)의 측단면도가 도시되어 있다. 다양한 층들(120-140)이 기판(110) 위에 바람직하게 제공되어 피치 증배를 용이하게 한다. 기판(110)을 덮는 층들(120-140)에 대한 재료는 그 층들과 사용될 블럭 공중합체 재료들의 상호작용, 및 여기서 논의되는 다양한 패턴 형성 및 패턴 전사 단계들에 대한 화학반응(chemistry) 및 처리 조건들을 고려하여 바람직하게 선택된다. 피치 증배 중에 상부 층들의 패턴들이 하부 층들로 바람직하게 전사되기 때문에, 선택적으로 정의가능한(definable) 층(120)과 기판(110) 사이의 하부의 마스킹 층(130, 140)은, 그들이 다른 노출된 재료들에 대하여 선택적으로 에칭될 수 있도록 바람직하게 선택된다. 재료에 대한 에칭 속도가 주변 재료에 비하여 적어도 약 2-3배 클 때, 바람직하게는 적어도 약 10배 클 때, 더 바람직하게는 적어도 약 10배 클 때, 그리고 가장 바람직하게는 적어도 약 40배 클 때, 재료가 선택적으로, 또는 바람직하게 에칭된다는 것이 이해될 것이다. 층들(120-140)에 대한 목적이 기판(110) 위에 잘 형성된 패턴의 형성을 가능하게 하는 것이기 때문에, 다른 적절한 재료들, 화학반응들 및/또는 처리 조건들이 이용된다면, 층들(120-140)의 하나 이상은 삭제되거나 또는 대체될 수 있고, 또는 추가의 층들이 추가될 수 있다는 것이 이해될 것이다.Referring to FIG. 1, a side cross-sectional view of a partially formed integrated circuit 100 is shown. Various layers 120-140 are preferably provided over the substrate 110 to facilitate pitch multiplication. The material for the layers 120-140 covering the substrate 110 is the interaction of the block copolymer materials with the layers and the chemistry and processing conditions for the various pattern formation and pattern transfer steps discussed herein. Are preferably selected in view of these considerations. Since the patterns of the upper layers are preferably transferred to the lower layers during the pitch multiplication, the lower masking layers 130, 140 between the selectively definable layer 120 and the substrate 110 are exposed to other exposed parts. It is preferably selected so that it can be selectively etched with respect to the materials. When the etch rate for the material is at least about 2-3 times larger than the surrounding material, preferably at least about 10 times larger, more preferably at least about 10 times larger, and most preferably at least about 40 times larger It will be understood that when the material is selectively or preferably etched. Since the purpose for layers 120-140 is to enable the formation of a well formed pattern on substrate 110, layers 120-140 may be used if other suitable materials, chemical reactions and / or processing conditions are used. It will be appreciated that one or more of may be deleted or replaced, or additional layers may be added.

패턴들이 전사되는 "기판"은 단일 재료의 층, 상이한 재료들의 복수의 층, 그 안에 상이한 재료들 또는 구조들의 영역들을 갖는 층 또는 층들 등을 포함할 수 있다는 것이 이해될 것이다. 이들 재료는 반도체, 절연체, 도전체 또는 이들의 결합을 포함할 수 있다. 에를 들어, 기판은 도핑된 폴리실리콘, 전기 디바이스 활성 영역, 실리사이드(silicide), 또는 텅스텐, 알루미늄 또는 구리 층 또는 이들의 결합들과 같은 금속 층을 포함할 수 있다. 일부 실시예들에서, 이하에서 논의되는 마스크 피쳐들은 기판 내에 상호연결들과 같은 도전성 피쳐들의 바람직한 배치에 직접 대응할 수 있다. 다른 실시예들에서, 기판은 절연체일 수 있고 마스크 피쳐들의 배치는, 다마신 금속화(damascene metallization)에서와 같이, 도전체 피쳐들 사이의 절연체의 바람직한 배치에 대응할 수 있다.It will be appreciated that the “substrate” to which patterns are transferred may include a layer of a single material, a plurality of layers of different materials, a layer or layers having regions of different materials or structures therein, and the like. These materials may include semiconductors, insulators, conductors or combinations thereof. For example, the substrate may comprise a metal layer, such as doped polysilicon, an electrical device active region, silicide, or a tungsten, aluminum or copper layer or combinations thereof. In some embodiments, the mask features discussed below can correspond directly to the desired placement of conductive features, such as interconnects, in the substrate. In other embodiments, the substrate may be an insulator and the placement of the mask features may correspond to a preferred placement of the insulator between the conductor features, such as in damascene metallization.

도 1을 계속하여 참조하면, 선택적으로 정의가능한 층(120)이 하드마스크, 또는 에창 스토퍼(stch stop) 층(130)을 덮고, 하드마스크 또는 에칭 스토퍼 층(130)은 임시층(140)을 덮고, 임시층(140)은 기판(110)을 덮는다. 선택적으로 정의가능한 층(120)은 바람직하게는 포토디파이너블(photodefinable)이고, 예컨대, 기술 분야에서 알려진 임의의 포토레지스트를 포함하는 포토레지스트로 형성된다. 예를 들어, 포토레지스트는 157㎚, 193㎚, 248㎚ 또는 365㎚ 파장 시스템, 193㎚ 파장 몰입(immersion) 시스템과 호환가능한 임의의 포토레지스트일 수 있다. 바람직한 포토레지스트 재료들의 예들은 아르곤 불화물(ArF) 반응 포토레지스트, 즉, ArF 광원과 함께 사용하기에 적절한 포토레지스트, 및 크립톤 불화물(KrF) 반응 포토레지스트, 즉, KrF 광원과 함께 사용하기에 적절한 포토레지스트를 포함한다. ArF 포토레지스트들은 바람직하게는, 상대적으로 짧은 파장 광, 예컨대 193㎚의 파장 광을 이용하는 포토리소그래피 시스템과 함께 사용된다. KrF 포토레지스트들은 바람직하게는, 248㎚ 시스템에서와 같이, 더 긴 파장 광의 포토리소그래피 시스템들과 함께 사용된다. 또한, 피치 증배를 이용함으로써, 극자외선 시스템(13.7㎚ 파장 시스템을 포함함) 또는 전자 빔 리소그래피 시스템 등의 비싸고, 상대적으로 새로운 직접 형성 기법으로 매우 작은 피쳐들을 정의해야할 필요는 없어졌지만, 이러한 시스템들은 원한다면 사용될 수도 있다. 또한, 선택적으로 정의가능한 층(120)을 정의하기 위해, 마스크 없는 리소그래피, 또는 마스크 없는 포토리소그래피가 사용될 수 있다. 다른 실시예들에서, 층(120) 및 임의의 후속하는 레지스트 층들은 나노-임프린트 리소그래피를 이용하여, 예컨대 몰드 또는 기계적 힘을 이용하여 레지스트 내에 패턴을 형성함으로써 패터닝될 수 있다.With continued reference to FIG. 1, an optionally definable layer 120 covers the hardmask, or etch stop, layer 130, and the hardmask or etch stopper layer 130 provides a temporary layer 140. The temporary layer 140 covers the substrate 110. The selectively definable layer 120 is preferably photodefinable and is formed of a photoresist including, for example, any photoresist known in the art. For example, the photoresist may be any photoresist compatible with a 157 nm, 193 nm, 248 nm or 365 nm wavelength system, a 193 nm wavelength immersion system. Examples of preferred photoresist materials are argon fluoride (ArF) reactive photoresist, ie photoresist suitable for use with ArF light sources, and krypton fluoride (KrF) reactive photoresist, ie photoresist suitable for use with KrF light sources. It includes a resist. ArF photoresists are preferably used with photolithography systems that utilize relatively short wavelength light, such as wavelength light of 193 nm. KrF photoresists are preferably used with longer wavelength photolithography systems, such as in 248 nm systems. In addition, the use of pitch multiplication eliminates the need to define very small features with expensive, relatively new direct forming techniques such as extreme ultraviolet systems (including 13.7 nm wavelength systems) or electron beam lithography systems. It can be used if you want. In addition, maskless lithography, or maskless photolithography, may be used to define the selectively definable layer 120. In other embodiments, layer 120 and any subsequent resist layers may be patterned using nano-imprint lithography, such as by forming a pattern in the resist using a mold or mechanical force.

하드마스크 층(130)을 위한 재료는 바람직하게는 무기성 재료를 포함한다. 예시적인 재료는 실리콘 산화물(SiO2), 실리콘 또는 실리콘-풍부 실리콘 옥시나이트라이드와 같은 DARC(dielectric anti-reflective coating)를 포함한다. 바람직하게는 하드마스크 층(130)은 DARC이다. DARC를 하드마스크 층(130)에 사용하는 것은 포토리소그래피 기법의 해상도 제한에 가까운 피치들을 갖는 패턴들을 형성하는데 특히 유익하다. DARC는 광 반사를 최소화시켜 해상도를 향상시킬 수 있고, 따라서 포토리소그래피가 패턴의 엣지(edge)를 정의할 수 있는 정확도를 증가시킨다.The material for hardmask layer 130 preferably includes an inorganic material. Exemplary materials include a dielectric anti-reflective coating (DARC) such as silicon oxide (SiO 2 ), silicon or silicon-rich silicon oxynitride. Preferably, hard mask layer 130 is DARC. Using DARC for hardmask layer 130 is particularly beneficial for forming patterns with pitches that are close to the resolution limitations of photolithographic techniques. DARC can improve resolution by minimizing light reflections, thus increasing the accuracy with which photolithography can define the edges of a pattern.

임시층(140)은 바람직하게는 비결정질의(amorphous) 탄소로 형성되고, 이것은 바람직한 하드마스크 재료들에 비하여 매우 높은 에칭 선택도를 제공한다. 더 바람직하게는, 이 비결정질의 탄소는 광에 대하여 매우 투명하고, 광 정렬에 사용되는 광의 파장들에 대하여 투명하여 광 정렬에 대한 더 나은 개선을 제공하는 비결정질의 탄소로 형성된다. 이러한 투명 탄소(transparent carbon)를 형성하기 위한 기법들은 A. Helmbold, D. Meissner, Thin Solid Films, 283 (1996) 196-203에서 찾을 수 있다. 이 레퍼런스의 전체 개시내용은 참조에 의해 본 명에서에 통합된다.Temporary layer 140 is preferably formed of amorphous carbon, which provides very high etch selectivity over preferred hardmask materials. More preferably, this amorphous carbon is formed of amorphous carbon which is very transparent to light and transparent to the wavelengths of light used for the light alignment to provide a better improvement in the light alignment. Techniques for forming such transparent carbon can be found in A. Helmbold, D. Meissner, Thin Solid Films, 283 (1996) 196-203. The entire disclosure of this reference is incorporated herein by reference.

도 2를 참조하면, 포토디파이너블 층(120)이 레티클을 통해 래디에이션에 노출되고 그 후 현상되어, 포토디파이너블 재료로 형성되는 피쳐들(122)을 포함하는 패턴을 남긴다. 결과로서 생기는 피쳐들(122), 예컨대 라인들의 피치는 라인(122)의 폭과 이웃하는 공간(124)의 폭의 합과 동일하다는 것이 이해될 것이다. 원하는 경우에, 라인(122)의 치수는, 예컨대 등방성 에칭에 의해 조정되어, 라인(122)의 높이와 폭 모두를 감소시킬 수 있다. 피쳐들(122)의 피치는, 예컨대 약 200㎚ 또는 약 120㎚일 수 있다.With reference to FIG. 2, photodefinable layer 120 is exposed to radiation through a reticle and then developed to leave a pattern comprising features 122 formed of photodefinable material. It will be appreciated that the resulting features 122, such as the pitch of the lines, are equal to the sum of the width of the line 122 and the width of the neighboring space 124. If desired, the dimension of the line 122 may be adjusted, for example by isotropic etching, to reduce both the height and width of the line 122. The pitch of the features 122 can be, for example, about 200 nm or about 120 nm.

도 3을 참조하면, 포토디파이너블 층(120)의 패턴이 하드마스크 층(130)으로 전사됨으로써 하드마스크 층(130)에 피쳐들(132)을 형성한다. 특히, 도 3은 피쳐들(122 및 132)을 분리하여 도시한다. 패턴 전사는, 하드마스크 층(130)이 충분히 얇다면, 습식(등방성) 에칭이 적절할 수도 있지만, 탄화 플루오르(fluorocarbon) 플라즈마를 이용하는 에칭과 같은 이방성 에칭을 이용하여 바람직하게 달성된다. 바람직한 탄화 플루오르 플라즈마 에칭 화학반응들은 CFH3, CF2H2, CF3H 및 CF4/HBr을 포함한다. 포토디파이너블 층(120)을 형성하는 레지스트는, 예를 들면, 플라즈마 애싱(ashing)에 의해 선택적으로 제거될 수 있다. 도시된 실시예에서, 레지스트 제거는 지연될 수 있으며, 임시층(140)의 에칭을 이용하여 단일 단계에서 효율적으로 행해지는 장점이 있다.Referring to FIG. 3, the pattern of the photodefinable layer 120 is transferred to the hard mask layer 130 to form features 132 in the hard mask layer 130. In particular, FIG. 3 shows features 122 and 132 separately. Pattern transfer is preferably achieved using anisotropic etching, such as etching using fluorocarbon plasma, although wet (isotropic) etching may be appropriate if the hardmask layer 130 is sufficiently thin. Preferred fluorocarbon plasma etch chemistries include CFH 3 , CF 2 H 2 , CF 3 H and CF 4 / HBr. The resist forming the photodefinable layer 120 may be selectively removed by, for example, plasma ashing. In the illustrated embodiment, resist removal can be delayed and has the advantage of being efficiently performed in a single step using the etching of the temporary layer 140.

도 4를 참조하면, 포토디파이너블 층(120) 및 하드마스크 층(130)의 패턴이 임시층(140)으로 전사되어 스페이서 재료(도 6)의 층(150)의 성막을 허용한다. 스페이서 재료 성막에 이용되는 온도는 통상적으로 너무 높아서 포토레지스트는 견딜 수 없다. 따라서, 패턴은 포토디파이너블 층(120)의 피쳐들(122)(도 3)로부터, 이하에 논의된 스페이서 재료 성막 및 에칭에 대한 처리 조건들을 견딜 수 있는 재료로 형성되는 임시층(140)으로 바람직하게 전사된다. 포토레지스트보다 더 높은 열 저항을 갖는 것 이외에, 임시층(140)을 형성하는 재료는, 형성되는 스페이서들(152)(도 7) 및 임의의 하부 재료, 예를 들어, 기판(110)에 대한 재료와 관련하여 선택적으로 제거될 수 있도록 바람직하게 선택된다. 전술한 바와 같이, 층(140)은 바람직하게는 비결정질 탄소로 형성되며, 더욱 바람직하게는 투명한 탄소로 형성된다.Referring to FIG. 4, the patterns of the photodefinable layer 120 and the hard mask layer 130 are transferred to the temporary layer 140 to allow the deposition of the layer 150 of the spacer material (FIG. 6). The temperature used for spacer material deposition is typically too high to withstand photoresists. Thus, the pattern is formed from the features 122 (FIG. 3) of the photodefinable layer 120 from a material that can withstand the processing conditions for spacer material deposition and etching discussed below. Is preferably transferred. In addition to having a higher thermal resistance than the photoresist, the material forming the temporary layer 140 may be formed on the spacers 152 (FIG. 7) formed and any underlying material, for example, the substrate 110. It is preferably selected so that it can be selectively removed in relation to the material. As mentioned above, layer 140 is preferably formed of amorphous carbon, more preferably of transparent carbon.

변경된 포토디파이너블 층(120)에서의 피쳐들(122)의 패턴은 O2-함유 플라즈마, 예를 들어, 이산화황(SO2), 산소(O2) 및 아르곤(Ar)을 포함하는 플라즈마를 이용하여 임시층(140)으로 바람직하게 전사된다. 그외의 적절한 에칭 화학반응들은 Cl2/O2/SiCl4 또는 SiCl4/O2/N2 또는 /HBr/O2/N2/SiCl4를 포함하는 플라즈마를 포함한다. 하드마스크 층(130)이 에칭되는 속도보다 20배 빠른, 더욱 바람직하게는, 40배 빠른 속도로 바람직한 임시층(140)의 탄소를 에칭할 수 있기 때문에 SO2-함유 플라즈마가 이용된다. 적절한 SO2-함유 플라즈마는, Abatchev 등에 의해 2004년 8월 31일 출원된, 미국 특허 출원 제10/931,772호에 설명되며, 그 전체 개시내용은 본 명세서에서 참조로서 통합되었다. SO2-함유 플라즈마는 동시에 임시층(140)을 에칭하고 포토디파이너블 층(120)으로부터 형성된 피쳐들(122)을 또한 제거할 수 있음이 이해될 것이다. 임시층(140) 내의 결과적인 라인들(142)은, 스페이서들(152)의 패턴(도 7)이 그에 따라 형성될 플레이스홀더들 또는 맨드릴들을 구성한다.The pattern of features 122 in the modified photodefinable layer 120 may comprise an O 2 -containing plasma, such as a plasma comprising sulfur dioxide (SO 2 ), oxygen (O 2 ), and argon (Ar). Is preferably transferred to the temporary layer 140. Other suitable etching chemistries include plasma comprising Cl 2 / O 2 / SiCl 4 or SiCl 4 / O 2 / N 2 or / HBr / O 2 / N 2 / SiCl 4 . The SO 2 -containing plasma is used because it is possible to etch carbon in the desired temporary layer 140 at a rate 20 times faster, more preferably 40 times faster than the rate at which the hardmask layer 130 is etched. Suitable SO 2 -containing plasmas are described in US patent application Ser. No. 10 / 931,772, filed August 31, 2004 by Abatchev et al., The entire disclosure of which is incorporated herein by reference. It will be appreciated that the SO 2 -containing plasma may simultaneously etch the temporary layer 140 and remove features 122 formed from the photodefinable layer 120. The resulting lines 142 in the temporary layer 140 constitute placeholders or mandrels in which a pattern of spacers 152 (FIG. 7) will be formed accordingly.

도 5를 참조하면, 하드마스크 층(130)으로부터의 재료(132)(도 4)는 후속하 는 에칭(도 8)에 대해 임시층(140)을 노출된 채 남겨둠으로써 추후의 스페이서 형성을 용이하게 하도록 제거될 수 있다. 바람직한 하드마스크 층(130)은, HF 및 NH4F를 포함하는 습식 에칭인 BOE(buffered oxide etch)를 이용하여 제거될 수 있다.Referring to FIG. 5, the material 132 (FIG. 4) from the hardmask layer 130 leaves later the formation of the spacer 140 for subsequent etching (FIG. 8), resulting in later spacer formation. Can be removed to facilitate. Preferred hardmask layer 130 may be removed using a buffered oxide etch (BOE), which is a wet etch comprising HF and NH 4 F.

다음으로, 도 6에 도시된 바와 같이, 스페이서 재료의 층(150)이, 하드마스크 층(130)(남아있다면) 및 맨드릴들(142)의 최상부 및 측벽들을 포함하는, 노출된 표면들에 대해 등각으로 바람직하게 블랭킷 성막된다. 스페이서 재료는, 하부 기판(110)에 패턴을 전사하는 마스크로서 기능할 수 있고, 이하에 논의되는 바와 같이, 블럭 공중합체(block copolymer)의 하나 이상의 블럭 종들과 관련하여 에칭 선택성을 허용하게 하는 임의의 재료일 수 있다. 스페이서 재료는 바람직하게, 1) 우수한 스텝 커버리지(step coverage)를 갖고 성막될 수 있으며, 2) 임시층(140)과 호환가능한 온도에서 성막될 수 있다. 바람직한 재료들은, 실리콘, 실리콘 산화물들 및 실리콘 질화물들을 포함한다. 도시된 실시예에서, 스페이서 재료는, 마스킹 스택의 그외의 선택된 재료들과 결합하는데 있어서 특정의 장점들을 제공하는, 실리콘 산화물이다.Next, as shown in FIG. 6, the layer 150 of spacer material includes the hardmask layer 130 (if remaining) and the top and sidewalls of the mandrels 142. Blank film is preferably formed at a conformal angle. The spacer material may function as a mask to transfer the pattern to the underlying substrate 110 and, as discussed below, to allow for etching selectivity with respect to one or more block species of the block copolymer. It may be a material of. The spacer material may preferably be 1) deposited with good step coverage, and 2) deposited at a temperature compatible with the temporary layer 140. Preferred materials include silicon, silicon oxides and silicon nitrides. In the embodiment shown, the spacer material is silicon oxide, which provides certain advantages in combining with other selected materials of the masking stack.

스페이서 재료 성막을 위한 바람직한 방법들은, 예를 들면 O3 및 TEOS를 이용하여 실리콘 산화물을 형성하는 화학적 기상 증착, 및 예를 들어, 산소 또는 질소 전구체(precursor)를 갖는 실리콘 전구체를 이용하여 실리콘 산화물들 또는 질화물들을 각각 형성하는 원자층 성막을 포함한다. 층(150)의 두께는 스페이서 들(152)(도 8)의 원하는 폭에 기초하여 바람직하게 결정된다. 바람직하게, 스텝 커버리지는 약 80% 이상이며, 더욱 바람직하게는, 약 90% 이상이다. 원자층 성막은 성막된 층(150)의 두께에 대해 높은 제어도를 허용한다. 이러한 제어는 블럭 공중합체에 대해 가이드들(guides)을 형성하는 경우 특히 이점이 있는데, 이는 블럭 공중합체의 자기-조직화가 성막된 블럭 공중합체 재료의 두께에 의해 영향을 받고, 스페이서들(152)의 높이가 (성막되어질) 블럭 공중합체 재료의 두께에 바람직하게 충분히 근접하여 일부 블럭 공중합체 재료가 스페이서들(152) 위에 놓이는 한편, 스페이서들(152) 간의 공중합체 재료와 함께 연결되어 있기 때문이다. 바람직하게, 이하에 언급한 바와 같이, 스페이서들(152) 위에 놓인 공중합체 재료를 갖게 되면, 공중합체의 자기-조직화 동안에 공중합체들의 고갈에 대하여 보호할 수 있는 공중합체 재료의 저장소(reservoir)를 제공할 수 있다.Preferred methods for spacer material deposition include chemical vapor deposition to form silicon oxide using, for example, O 3 and TEOS, and silicon oxides using silicon precursor with, for example, oxygen or nitrogen precursors. Or atomic layer deposition which respectively forms nitrides. The thickness of layer 150 is preferably determined based on the desired width of spacers 152 (FIG. 8). Preferably, the step coverage is at least about 80%, more preferably at least about 90%. Atomic layer deposition allows for a high degree of control over the thickness of the deposited layer 150. This control is particularly advantageous when forming guides for the block copolymer, which self-organization of the block copolymer is affected by the thickness of the deposited block copolymer material and the spacers 152 This is because some block copolymer material overlies the spacers 152 and is connected with the copolymer material between the spacers 152 so that the height of the is preferably sufficiently close to the thickness of the block copolymer material (to be deposited). . Preferably, as mentioned below, having a copolymer material overlying spacers 152 provides a reservoir of copolymer material that can protect against depletion of copolymers during self-organization of the copolymer. Can provide.

도 7을 참조하면, 다음으로 실리콘 산화물 스페이서층(150)이 이방성 에칭을 받아서, 부분적으로 형성된 집적회로(100)의 수평 표면들(154)로부터 스페이서 재료를 제거한다. 스페이서 에칭이라고도 알려진, 이러한 에칭은, 예를 들면, CF4/CHF3, C4F8/CH2F2 또는 CHF3/Ar 플라즈마를 포함하는 탄화 플루오르 플라즈마를 이용하여 행해질 수 있다.Referring to FIG. 7, the silicon oxide spacer layer 150 is then subjected to an anisotropic etch to remove the spacer material from the horizontal surfaces 154 of the partially formed integrated circuit 100. Such etching, also known as spacer etching, can be performed using a fluorocarbon plasma including, for example, a CF 4 / CHF 3 , C 4 F 8 / CH 2 F 2 or CHF 3 / Ar plasma.

도 8을 참조하면, 맨드릴들 및 임시 플레이스홀더들(142)(도 7)은 그 다음에 제거되고 자립형 스페이서들(152)을 남긴다. 맨드릴들(142)은 유기적 스트립(strip) 공정을 이용하여 선택적으로 제거된다. 바람직한 에칭 화학반응들은 SO2 를 이용하는 에칭과 같이, 산소-함유 플라즈마 에칭을 포함한다.Referring to FIG. 8, the mandrels and temporary placeholders 142 (FIG. 7) are then removed and leave free standing spacers 152. Mandrels 142 are selectively removed using an organic strip process. Preferred etching chemistries include oxygen-containing plasma etching, such as etching with SO 2 .

전술한 바와 같이, 스페이서들(152)의 높이는 이하에 언급하는 바와 같은 블럭 공중합체들의 조직화에 영향을 줄 수 있다. 결과로서, 스페이서들(152)은, 예를 들어, 이방성 에칭을 이용하여 부가적으로 트리밍(trimmed)될 수 있다. 다른 실시예들에서, 맨드릴들(142)(도 6)의 높이 및/또는 임시층(140)(도 1)의 두께는 원하는 높이의 스페이서들(152)을 형성하도록 선택될 수 있다. As mentioned above, the height of the spacers 152 may affect the organization of the block copolymers as discussed below. As a result, the spacers 152 may be additionally trimmed using, for example, an anisotropic etch. In other embodiments, the height of the mandrels 142 (FIG. 6) and / or the thickness of the temporary layer 140 (FIG. 1) may be selected to form spacers 152 of the desired height.

도 8을 계속 참조하면, 피치 증배가 달성되었다. 도시된 실시예에서, 스페이서들(152)의 피치는, 포토리소그래피에 의해 원래 형성된 포토레지스트 라인들(122) 및 스페이서들(124)(도 2)의 피치의 대략 절반이다. 예를 들어, 포토레지스트 라인들(122)이 약 400nm의 피치를 갖는 경우, 약 200nm 또는 그 미만의 피치를 갖는 스페이서들(152)이 형성될 수 있다. 일부 실시예들에서, 포토레지스트 라인들(122)이 약 200nm의 피치를 갖는 경우, 약 100nm 또는 그 미만의 피치를 갖는 스페이서들(152)이 형성될 수 있다.With continued reference to FIG. 8, pitch multiplication was achieved. In the illustrated embodiment, the pitch of the spacers 152 is approximately half the pitch of the photoresist lines 122 and spacers 124 (FIG. 2) originally formed by photolithography. For example, when the photoresist lines 122 have a pitch of about 400 nm, spacers 152 having a pitch of about 200 nm or less may be formed. In some embodiments, when the photoresist lines 122 have a pitch of about 200 nm, spacers 152 having a pitch of about 100 nm or less may be formed.

다음으로 블럭 공중합체들이 인가되고 블럭 공중합체 자기-조직화가 용이하게 되어 기판(110)에 대한 마스크 패턴을 형성한다. 자기-조직화된 블럭 공중합체 패턴들을 위한 적절한 방법이, Block, IEE Transactions in Nanotechnology, Vol3, No. 3, September 2004의 문헌에 개시된다. 이 레퍼런스의 전체 개시내용은 본원에서 참조로써 포함되었다.Next, block copolymers are applied and block copolymer self-organization is facilitated to form a mask pattern for the substrate 110. Suitable methods for self-organized block copolymer patterns are described in Block, IEE Transactions in Nanotechnology, Vol 3, No. 3, September 2004. The entire disclosure of this reference is incorporated herein by reference.

도 9를 참조하면, 블럭 공중합체 재료의 막(160)이 스페이서들(152) 사이에 그리고 그 위에 성막된다. 공중합체는, 서로에 대해서 선택적으로 에칭될 수 있고 원하는, 그리고 예측가능한 방식으로 자기-조직화될 수 있는 중합체 재료의 블럭들을 포함하며, 예를 들어, 블럭들은 바람직하게는 혼합될 수 없고, 단일 블럭 종들을 주로 포함하는 영역들을 형성하는 적절한 조건들 하에서 분리될 것이다. 도시된 예시적인 실시예에서, 공중합체는, 예를 들어, 전체 분자량 64kg/mol을 갖도록 폴리스티렌(polystyrene)(PS) 및 폴리-메틸메타크릴레이트(poly-methylmethacrylate)(PMMA)를 70:30 PS:PMMA 비로 포함하는 이블럭 공중합체이다. 이블럭 공중합체는 예를 들어, 톨루엔과 같은 용매에 용해되어 제공될 수 있다. 바람직하게는, 공중합체들은, 공중합체들의 자기-조직화에 의해 형성되는 패턴들의 예측성 및 규칙성을 증가시키기 위해 실질적으로 모두 동일한 크기 및 구성을 갖는다. 각각의 이블럭 공중합체의 전체 크기 및 구성 블럭들과 단량체들(monomers)의 비는, 자기-조직화를 용이하게 하고, 원하는 치수들을 갖는 조직화된 블럭 영역들을 형성하도록 바람직하게 선택됨이 이해될 것이다. 블럭 공중합체들은, 블럭 영역들의 크기를 지배하는, 임의의 감김(coiling) 또는 얽힘(kinking)을 포함하는 막에서의 고유의 중합체 길이 스케일, 공중합체의 종단으로부터 종단까지의 평균 길이를 갖는다. 더 긴 공중합체는 더 큰 영역들을 형성하는데 이용될 수 있고, 더 짧은 공중합체는 더 작은 영역들을 형성하는데 사용될 수 있다. 블럭 공중합체는, 예를 들어, 스핀-온 코팅(spin-on coating), 스핀 캐스팅(spin casting), 브러시 코팅(brush coating) 또는 기상 증착(vapor deposition)을 포함하는 다양한 방법들로 성막될 수 있다.With reference to FIG. 9, a film 160 of block copolymer material is deposited between and over the spacers 152. The copolymer comprises blocks of polymeric material that can be selectively etched with respect to one another and can be self-organized in a desired and predictable manner, for example, the blocks are preferably not mixed and are a single block. Will be separated under appropriate conditions to form regions containing predominantly species. In the exemplary embodiment shown, the copolymer is 70:30 PS, for example polystyrene (PS) and poly-methylmethacrylate (PMMA) to have a total molecular weight of 64 kg / mol. It is a diblock copolymer included in a: PMMA ratio. The diblock copolymer may be provided dissolved in a solvent such as, for example, toluene. Preferably, the copolymers have substantially the same size and configuration in order to increase the predictability and regularity of the patterns formed by self-organization of the copolymers. It will be appreciated that the overall size and ratio of constituent blocks and monomers of each diblock copolymer is preferably chosen to facilitate self-organization and form organized block regions with the desired dimensions. Block copolymers have an inherent polymer length scale in the membrane, including any coiling or kinking, which governs the size of the block regions, the average length from end to end of the copolymer. Longer copolymers can be used to form larger regions and shorter copolymers can be used to form smaller regions. The block copolymer can be deposited in a variety of ways, including, for example, spin-on coating, spin casting, brush coating or vapor deposition. have.

공중합체 막(160)의 두께는 공중합체들에 의해 형성되도록 원하는 패턴에 기 초하여 선택될 수 있다. 중합체 길이 스케일 및 중합체들이 배치되는 환경, 예를 들어, 스페이서들(152) 간의 거리 및 스페이서들(152)의 높이와 관련되는 특정 두께까지는, 혼성 중합체들은, 평면도(도 11)에 도시되는 바와 같이, 평행한 라인들을 형성하는, 교호하는 실질적으로 박판 영역들을 형성하도록 통상적으로 지향할 것이다. 그러한 박판은, 예를 들면, 상호 연결들을 패터닝하는데 이용될 수 있거나, 박판의 횡방향으로의 확장은 분리된 피쳐들, 예를 들면, 트랜지스터 게이트들을 형성하도록 제한될 수 있다. 위에서의 특정 두께는 중합체 길이 스케일 및 중합체들이 배치되는 환경과 관련되고, 공중합체들은 통상적으로, 실린더들, 구체들(도 12)과 같은, 수직-확장하는 기둥들을 형성하도록 지향할 것이다. 실린더들이 분리된 피쳐들, 예를 들어, 비아들 또는 트랜지스터 게이트들을 패터닝하는데 이용될 수 있다는 장점이 있다. 따라서, 형성되는 패턴은 공중합체 막 두께의 적절한 선택에 의해 선택될 수 있다는 장점이 있다. 대안적으로, 공중합체 합성 또는 공정 조건들과 같은 그외의 변수들이 변경되어, 기판 표면들 및 공중합체의 블럭들 간의 인터페이스 상호작용의 적절한 선택을 통해 주어진 두께에 대해서 수직으로 확장하는 기둥들 또는 수평으로 확장하는 박판의 형성을 용이하게 할 수 있다. 막(160)의 두께는 스페이서(152)의 높이보다 크거나, 그와 동일하거나, 또는 그보다 작을 수 있다. 이하에 언급되는 바와 같이, 스페이서들의 높이보다 큰 두께는 공중합체 저장소를 제공하는 장점을 갖는다. 다른 실시예에서, 스페이서들의 높이와 동일하거나 또는 더욱 바람직하게는 그보다 작은 두께가 스페이서들(152) 간의 공중합체들의 분리된 섬들(islands)을 형성함으로써 이점이 있을 수 있고, 그럼으 로써 섬들 간의 공중합체의 교차-확산(cross-diffusion)을 방지한다.The thickness of the copolymer film 160 may be selected based on the desired pattern to be formed by the copolymers. Hybrid polymers, as shown in the plan view (FIG. 11), up to a polymer length scale and the environment in which the polymers are disposed, for example, the distance between the spacers 152 and a certain thickness associated with the height of the spacers 152. It will typically be directed to form alternating substantially thin plate regions, forming parallel lines. Such a thin plate can be used, for example, to pattern interconnects, or the transverse extension of the thin plate can be limited to form discrete features, eg transistor gates. The specific thickness above relates to the polymer length scale and the environment in which the polymers are placed, and the copolymers will typically be directed to form vertically expanding pillars, such as cylinders, spheres (FIG. 12). The advantage is that the cylinders can be used to pattern discrete features, for example vias or transistor gates. Therefore, there is an advantage that the pattern to be formed can be selected by appropriate selection of the copolymer film thickness. Alternatively, other variables, such as copolymer synthesis or process conditions, may be altered such that pillars or horizontally extending columns perpendicular to a given thickness through appropriate selection of the interface interaction between substrate surfaces and blocks of the copolymer. It is possible to facilitate the formation of a thin plate extending to. The thickness of the film 160 may be greater than, equal to, or less than the height of the spacer 152. As mentioned below, a thickness greater than the height of the spacers has the advantage of providing a copolymer reservoir. In another embodiment, a thickness equal to or more preferably less than the height of the spacers may be advantageous by forming separate islands of copolymers between the spacers 152, whereby air between islands Prevent cross-diffusion of coalescence.

박판을 형성하기 위해서, 공중합체 막 두께는 바람직하게는 대략 공중합체의 길이 스케일보다 작다. 예를 들어, 도시된 실시예에서, 공중합체 길이 스케일은 약 35nm이고, 막들의 두께는 바람직하게는 약 35nm 이하, 더욱 바람직하게는 약 30nm 이하, 가장 바람직하게는 약 25nm 이하이다. 일 실시예에서, 두께는 약 20nm이다.In order to form a thin plate, the copolymer film thickness is preferably approximately smaller than the length scale of the copolymer. For example, in the illustrated embodiment, the copolymer length scale is about 35 nm, and the thickness of the films is preferably about 35 nm or less, more preferably about 30 nm or less, most preferably about 25 nm or less. In one embodiment, the thickness is about 20 nm.

도 10을 참조하면, 공중합체 막(160)의 블럭 공중합체들은 자기-조직화되도록 허용된다. 자기-조직화는 부분적으로 제조된 집적회로(100)를 어닐링함으로써 용이하게 되고 가속될 수 있다. 어닐링의 온도는 블럭 공중합체들 또는 부분적으로 제조된 집적회로(100)에 악영향을 주는 것을 방지하기 위해서 바람직하게 충분히 낮도록 선택된다. 도시된 실시예에서, 어닐링이 약 250℃보다 낮은 온도에서 바람직하게 수행되고, 더욱 바람직하게는, 약 200℃보다 낮고, 가장 바람직하게는 약 180℃보다 낮다. 어닐링은 또한 공중합체들의 크로스-링킹(cross-linking)을 야기할 수 있고, 그럼으로써 추후의 에칭 및 패턴 전사 단계들에 대해 공중합체들을 안정시킨다.Referring to FIG. 10, block copolymers of copolymer film 160 are allowed to self-organize. Self-organization can be facilitated and accelerated by annealing a partially fabricated integrated circuit 100. The temperature of the annealing is preferably chosen to be low enough to prevent adverse effects on the block copolymers or the partially fabricated integrated circuit 100. In the illustrated embodiment, the annealing is preferably performed at a temperature lower than about 250 ° C., more preferably lower than about 200 ° C., and most preferably lower than about 180 ° C. Annealing can also cause cross-linking of the copolymers, thereby stabilizing the copolymers for later etching and pattern transfer steps.

어닐링 후의 결과적인 박판 패턴이 도 10에 도시된다. 하나의 블럭 종들의 영역들(162), 예를 들면, PS의 영역들(162) 및 다른 블럭 종들, 예를 들면, PMMA의 영역들(164)은 스페이서들(152) 간에서 교호한다. 블럭 영역들의 크기들은 그들을 형성하는 블럭 종들의 크기들에 의해 결정됨이 이해될 것이다.The resulting thin plate pattern after annealing is shown in FIG. 10. Regions 162 of one block species, eg, regions 162 of PS, and other block species, eg, regions 164 of PMMA, alternate between spacers 152. It will be appreciated that the sizes of the block regions are determined by the sizes of the block species forming them.

도 11을 참조하면, 도 10의 부분적으로 제조된 집적회로의 평면도가 도시된 다. PS 도메인들(162)은 PMMA 도메인들(164)과 교호하여 나타낼 수 있다. 도메인들(162 및 164) 모두는 스페이서들(152)의 길이를 따라 확장된다.Referring to FIG. 11, a plan view of the partially fabricated integrated circuit of FIG. 10 is shown. PS domains 162 may be represented alternately with PMMA domains 164. Both domains 162 and 164 extend along the length of the spacers 152.

그외의 실시예들에서, 도 12를 참조하면, 공중합체 막(160)(도 9)의 두께는 PS 및 PMMA를 포함하는 수직으로 확장되는 원통들(또는 사각형 또는 입방 수평 횡단면 영역들을 갖는 기둥들을 포함하는 그외의 분리된 기둥 형태들)을 형성하도록 선택된다. 평면도로부터, 결과적인 구조는, PMMA의 영역들(164a)에 의해 둘러싸인 PS의 영역들(162a)을 갖는다. 그 구조는, 예를 들어, 콘택트 비아(vias)를 형성하는데 유용할 수 있다. 또한, 기둥들은 일부의 구조들에서 DRAM을 포함하는, 메모리 애플리케이션용 캐패시터들과 같은 피쳐들의 어레이들, 특히 피쳐들의 조밀한 어레이들을 패터닝하는데 유리하게 적용될 수 있다. 그 구조들에서, 기둥들은 사각형 또는 입방 수평 횡단면 영역들을 가질 수 있으며, 이것들은 높은 표면 영역 구조를 제공함으로써 장점을 가질 수 있다.In other embodiments, referring to FIG. 12, the thickness of copolymer film 160 (FIG. 9) may be defined as columns having vertically extending cylinders (or square or cubic horizontal cross-sectional areas) including PS and PMMA. And other separate pillar shapes). From the top view, the resulting structure has regions of PS 162a surrounded by regions 164a of PMMA. The structure can be useful, for example, in forming contact vias. In addition, the pillars may be advantageously applied to patterning arrays of features, particularly dense arrays of features, such as capacitors for memory applications, including DRAM in some structures. In the structures, the columns may have rectangular or cubic horizontal cross-sectional areas, which may have advantages by providing a high surface area structure.

도 13을 참조하면, 도 10 및 도 11의 PMMA 도메인들(164)은 선택적으로 제거되어 스페이서들(152) 및 PS 도메인들(162)을 남기게 된다. 그 제거는, 예를 들어, 에칭제(etchant)로 아세트산(asetic acid)을 사용하는 습식 에칭(wet etching)을 실행함으로써 구현될 수 있다. 그외의 실시예들에서, 도메인들 중 하나가 다른 하나보다 더 빠른 속도로 에칭될 수 있는 건식(dry) 또는 이방성(anisotropic) 에칭이 적절할 수 있다. 사용되는 공중합체의 크기 및 프로세스 조건들에 따라, 결과적인 피쳐들의 치수들이 변경될 수 있다는 점이 이해될 것이다. 일부의 실시예들에서, 결과적인 패턴은 약 20nm의 공간들로 분리된 약 20nm의 임계 치수를 갖는 PS 도메인들을 유리하게 포함할 수 있다. 그외의 실시예들에서, PS 도메인들(162) 및/또는 스페이서들(152)이 대신 제거될 수 있고, 이로 인해 스페이서들(152)이 있거나 또는 스페이서들(152)이 없는 PMMA 도메인(164)을 남기게 된다는 점이 이해될 것이다. Referring to FIG. 13, the PMMA domains 164 of FIGS. 10 and 11 are selectively removed to leave spacers 152 and PS domains 162. The removal can be implemented, for example, by performing wet etching using acetic acid as an etchant. In other embodiments, dry or anisotropic etching may be appropriate where one of the domains may be etched at a faster rate than the other. It will be appreciated that depending on the size and process conditions of the copolymer used, the dimensions of the resulting features may vary. In some embodiments, the resulting pattern can advantageously include PS domains having a critical dimension of about 20 nm separated by spaces of about 20 nm. In other embodiments, the PS domains 162 and / or spacers 152 may instead be removed, thereby causing the PMMA domain 164 with or without spacers 152. Will be understood.

도 14를 참조하면, 스페이서들(152) 및 도메인들(162)은 하부 기판(110)의 처리를 위한 마스크로서 사용될 수 있다. 예를 들어, 기판(110)은, 예를 들어, 스페이서들(152) 및 도메인들(162) 모두에 대해 기판을 선택적으로 에칭하여 마스크의 패턴을 기판(110)으로 전사하는 이방성 에칭을 사용하여 마스크를 통해 에칭될 수 있다. 일 예시에서, 스페이서들(152)은 실리콘 산화물로 형성되고 기판(110)은 실리콘으로 형성되는 경우에, 기판(110)은 예를 들어, 포토레지스트(photoresist)에 대해 실리콘 층들을 선택적으로 제거하는데 사용되는 불소계(fluorine-based) 건식 에칭 화학반응을 사용하여 스페이서들(152) 및 블럭 도메인들(162)에 대하여 선택적으로 에칭될 수 있다. 기판(110)이 상이한 재료들의 층들을 포함하는 경우, 단일 화학반응이 모든 상이한 재료들을 에칭하는데 충분하지 않으면, 이 상이한 층들을 통해 연속적으로 에칭하는데 연속적인 상이한 화학반응들, 바람직하게는 건식 에칭 화학반응들이 사용될 수 있다는 것이 이해될 것이다. 사용된 화학반응 또는 화학반응들에 따라, 스페이서들(152) 및 도메인들(162)이 에칭될 수 있다는 것이 또한 이해될 것이다. 따라서, 도 15를 참조하면, 일부의 실시예들에서, 스페이서들(152) 및 도메인들(162)에 의해 형성된 패턴은, 기판(110)을 에칭하기 전에, 기판 재료들에 대하여 양호한 에칭 선택도를 갖는 하부 하드마스크 층(170)으로 전사 될 수 있다.Referring to FIG. 14, the spacers 152 and the domains 162 may be used as a mask for processing the lower substrate 110. For example, the substrate 110 may be, for example, using an anisotropic etch to selectively etch the substrate for both the spacers 152 and the domains 162 to transfer the pattern of the mask to the substrate 110. It can be etched through the mask. In one example, where the spacers 152 are formed of silicon oxide and the substrate 110 is formed of silicon, the substrate 110 selectively removes the silicon layers, for example with respect to the photoresist. It may be selectively etched against the spacers 152 and the block domains 162 using the fluorine-based dry etch chemistry used. If the substrate 110 includes layers of different materials, if a single chemistry is not sufficient to etch all the different materials, then different chemistries, preferably dry etch chemistry, are performed to etch continuously through these different layers. It will be appreciated that reactions can be used. It will also be appreciated that depending on the chemical reaction or chemical reactions used, the spacers 152 and domains 162 may be etched. Thus, referring to FIG. 15, in some embodiments, the pattern formed by the spacers 152 and the domains 162 may provide good etch selectivity with respect to the substrate materials prior to etching the substrate 110. It may be transferred to the lower hard mask layer 170 having a.

본 발명은 이론에 한정되지 않지만, 상이한 블럭 종들(species)은 재료들의 상 분리와 유사한 프로세스의 열역학적(thermodynamic) 고려사항들로 인해 자기 응집(self-aggregate)될 수 있다. 스페이서들(152)(도 9)에 의해 자기 조직화(self-organization)가 가이드되고, 블럭 공중합체들의 구성 블럭들이 스페이서들(152)의 길이를 따라 그 자신들을 배향하는 것을 촉진한다. 자기 조직화는, 공중합체 막(160)이 매우 큰 영역으로 확장되면, 자기 조직화에 사용가능한 공중합체들이 공핍될 수 있어, 확장된 부분의 가운데의 영역들이 조직된 공중합체들 없이 형성되게 하도록, 공중합체 종들의 더욱 효율적인 패킹을 만들 수 있다는 것이 이해될 것이다. 일부의 실시예들에서, 공중합체 막(160)은 스페이서들(152) 사이에 발생하는 자기 조직화를 위해 공중합체들의 저장소를 제공하기 위해 스페이서들(152) 상에 확장되도록 바람직하게 충분히 두껍다는 것 또한 이해될 것이다. 또한, 스페이서들(152) 사이의 거리는 바람직하게는 큰 팽창들에 대해 발생할 수 있는 공핍 현상(depletion effect)을 최소화하도록 충분히 작게 선택된다.Although the present invention is not limited to theory, different block species may self-aggregate due to thermodynamic considerations of a process similar to phase separation of materials. Self-organization is guided by the spacers 152 (FIG. 9), and the building blocks of the block copolymers promote their orientation along the length of the spacers 152. Self-organization may cause the copolymers usable for self-organization to be depleted if copolymer film 160 is extended to very large areas, such that regions in the middle of the expanded portion are formed without organized copolymers. It will be appreciated that more efficient packing of coalescing species can be made. In some embodiments, the copolymer film 160 is preferably thick enough to expand on the spacers 152 to provide a reservoir of copolymers for self-organization that occurs between the spacers 152. It will also be understood. In addition, the distance between the spacers 152 is preferably selected small enough to minimize the depletion effect that may occur for large expansions.

그외의 실시예들에서, 스페이서들(152) 상의 저장소 영역은 스페이서들(152)을 넘어서 확장될 수 있다. 그 확장은 상대적으로 넓게 분리된 스페이서들(152) 사이에 잘 정의된 블럭 도메인들을 형성하는데 장점들을 가질 수 있다.In other embodiments, the reservoir region on the spacers 152 may extend beyond the spacers 152. The expansion can have advantages in forming well defined block domains between the relatively wide separated spacers 152.

도 16을 참조하면, 도 1 내지 도 6을 참조하여 도시된 단계들은, 부분적으로 제조된 집적회로(102)가 되는 맨드릴(142)의 측면들에 스페이서들(152)을 형성하는데 사용될 수 있다. 스페이서들(152) 및 맨드릴(142)들은 기판(110)을 덮는 하드마 스크 층(112)을 덮는다. Referring to FIG. 16, the steps illustrated with reference to FIGS. 1 through 6 may be used to form spacers 152 on the sides of the mandrel 142, which becomes a partially manufactured integrated circuit 102. The spacers 152 and the mandrels 142 cover the hard mask layer 112 covering the substrate 110.

도 17을 참조하면, 블럭 공중합체 재료의 제1 층(162)은 스페이서들(152) 사이에 성막되고 또한 스페이서들(152) 및 맨드릴(142) 위에 성막된다. 유리하게, 맨드릴(142)들은 블럭 공중합체 재료의 큰 저장소(164)의 형성을 가능하게 하는 비교적 큰 표면 영역을 제공한다. 블럭 공중합체 재료는, 예를 들어, PS 및 PMMA를 포함하는, 전술된 블럭 공중합체 재료와 유사할 수 있고, 상기 기재된 바와 같이 선택된 두께를 가질 수 있다.Referring to FIG. 17, a first layer 162 of block copolymer material is deposited between the spacers 152 and over the spacers 152 and the mandrel 142. Advantageously, the mandrels 142 provide a relatively large surface area that enables the formation of a large reservoir 164 of block copolymer material. The block copolymer material may be similar to the block copolymer material described above, including, for example, PS and PMMA, and may have a thickness selected as described above.

도 18을 참조하면, 공중합체들은 자기 조직화가 가능하여, 예를 들어, 부분적으로 제조된 집적회로(102)를 어닐링함으로써 가속될 수 있다. 자기 조직화 후에, 하나의 블럭 종들, 예를 들어, PS의 교호하는 도메인들 중 제1 세트(162) 및 그외의 블럭 종들, 예를 들어, PMMA의 도메인들(164)은 스페이서들(152) 사이의 개구부 공간에 형성된다.Referring to FIG. 18, copolymers are self-organizing and can be accelerated, for example, by annealing a partially fabricated integrated circuit 102. After self-organization, the first set 162 of alternating domains of one block species, eg, PS, and the other block species, eg, domains 164 of PMMA, are interposed between spacers 152. Is formed in the opening space of the.

도 19를 참조하면, 일부의 블럭 도메인들, 예를 들어, 블럭 도메인들(164)은 선택적으로 제거되고, 나머지 도메인들(162)에 의해 정의된 패턴은 하부 하드마스크 층(112)으로 (예를 들어, 하드마스크 층(112)에 대하여 선택적인 이방성 에칭에 의해) 전사되며 도메인들(162)은 또한 제거되어, 하드마스크 층(112)에 피쳐들(114)의 패턴을 남기게 된다. 평면도에서, 도 11 및 도 12에 의해 도시된 바와 같이, 피쳐들(114)은 라인들 또는 원통들이 될 수 있다는 것이 이해될 것이다.Referring to FIG. 19, some block domains, for example block domains 164 are selectively removed, and the pattern defined by the remaining domains 162 is transferred to the lower hardmask layer 112 (eg, For example, by anisotropic etching selective to the hardmask layer 112) and the domains 162 are also removed, leaving a pattern of features 114 in the hardmask layer 112. In the plan view, it will be appreciated that the features 114 can be lines or cylinders, as shown by FIGS. 11 and 12.

도 20을 참조하면, 필 층(fill layer)(116)을 피쳐들(114) 주위 및 상부에 성막하여 스페이서들(152) 사이의 공간들을 채운다. 필 층(116)은, 스핀될(spun) 수 있고 피쳐들(114) 사이의 갭(gap)들을 채울 수 있는 평탄화 재료로 바람직하게 형성된다. 필 층(116)을 위한 평탄화 재료들의 예시들은 포토레지스트 또는 SOD(spin on dielectric)를 포함한다. 필 층(116)은, 도시된 바와 같이, 예를 들어, 화학적 기계적 연마(chemical mechanical polishing)에 의해 평탄화되거나, 또는 에치백되어 맨드릴(142)이 노출되는 것을 확보할 수 있다.Referring to FIG. 20, fill layer 116 is deposited around and on top of features 114 to fill the spaces between spacers 152. The fill layer 116 is preferably formed of a planarization material that can be spun and fill the gaps between the features 114. Examples of planarization materials for the fill layer 116 include photoresist or spin on dielectric (SOD). The fill layer 116 can be planarized by, for example, chemical mechanical polishing, or etched back to ensure that the mandrel 142 is exposed, as shown.

도 21을 참조하면, 맨드릴들(142)은 선택적으로 제거된다. 도 22를 참조하면, 공중합체 재료의 제2 층(180)이 성막된다. 공중합체 재료는 스페이서들(152) 사이의 개구부 공간에 바람직하게 채워지고 또한 층(116)의 나머지 부분들을 덮어 그 영역들 위에 공중합체 재료의 저장소(184)를 형성한다. 일부의 실시예들에서는 층들(180 및 162)을 위한 재료가 상이하지만, 공중합체 재료는 층(162)을 형성하기 위해 성막된 것과 동일할 수 있다는 것(도 17)이 이해될 것이다. Referring to FIG. 21, the mandrels 142 are optionally removed. Referring to FIG. 22, a second layer 180 of copolymer material is deposited. The copolymer material is preferably filled in the opening spaces between the spacers 152 and also covers the remaining portions of the layer 116 to form a reservoir 184 of copolymer material over the regions. While in some embodiments the materials for layers 180 and 162 are different, it will be understood that the copolymer material may be the same as that deposited to form layer 162 (FIG. 17).

도 23을 참조하면, 공중합체 층(180)의 공중합체들은, 예를 들어, 어닐링 중에, 자기 조직화하여 교호하는 블럭 도메인들(186 및 188)의 제2 세트를 형성한다. 도 24를 참조하면, 도메인들 중 하나, 예를 들어, 도메인들(186)이 선택적으로 제거되어 도메인들(188)을 남기게 된다.Referring to FIG. 23, copolymers of copolymer layer 180 self-organize to form a second set of alternating block domains 186 and 188, for example, during annealing. Referring to FIG. 24, one of the domains, for example, domains 186, is selectively removed to leave domains 188.

도 25를 참조하면, 도메인들(188)에 의해 정의된 패턴은 (예를 들어, 하드마스크 층(112)에 대해 선택적인 이방성 에칭에 의해) 하부 하드마스크 층(112)으로 전사되고 필 층(116)의 나머지가 제거된다. 프로세스 파라미터들 및 블럭 공중합체 재료 서로간 및 블럭 공중합체 재료와 노출된 표면들 간의 블럭들의 상호작용들에 따라, 피쳐들(114 및 188)은, 평면도에서, 라인들 또는 분리된 원통들(각각 도 11 및 도 12에서)로 나타날 수 있다. Referring to FIG. 25, the pattern defined by the domains 188 is transferred to the lower hardmask layer 112 (eg, by anisotropic etching selective to the hardmask layer 112) and the fill layer ( The remainder of 116 is removed. Depending on the process parameters and the interactions of the blocks with each other and between the block copolymer material and the exposed surfaces, features 114 and 188 may, in plan view, form lines or separate cylinders (respectively, respectively). 11 and 12).

유리하게, 그외의 실시예들에서, 피쳐들(114 및 188)이 개별적으로 형성되기 때문에, 그 피쳐들은 원하는 형태의 패턴의 적절한 조건들을 구현함으로써 상이한 패턴들을 구성하도록 만들어질 수 있다. 예를 들어, 피쳐들(114)은 원통들의 패턴을 형성하는 한편, 피쳐들(188)은 라인들의 패턴을 형성할 수 있다. 이것은, 예를 들어, 각각의 피쳐들(114 또는 188)을 형성하기 위해 상이한 공중합체 조성들을 사용함으로써, 또는, 예를 들어, 맨드릴들(142)을 노출하기 위한 CMP 또는 에칭 프로세스(도 20) 중에 스페이서들(152)의 높이를 감소시켜 라인들을 형성하는 블럭들의 경향을 증가시킴으로써 구현될 수 있다. 또한, 라인들 및/또는 수직 필러들 어느 쪽이든지, 피쳐들(114 또는 188) 간의 크기 및/또는 공간은, 예를 들어, 화학종들 및 프로세스 조건들의 적절한 선택에 의해 유용하게 변경될 수 있다. Advantageously, in other embodiments, because features 114 and 188 are formed separately, the features can be made to construct different patterns by implementing the appropriate conditions of the desired type of pattern. For example, features 114 may form a pattern of cylinders, while features 188 may form a pattern of lines. This can be done, for example, by using different copolymer compositions to form the respective features 114 or 188, or, for example, a CMP or etching process to expose the mandrels 142 (FIG. 20). Can be implemented by decreasing the height of the spacers 152 to increase the tendency of the blocks to form lines. In addition, either the lines and / or the vertical pillars, the size and / or spacing between the features 114 or 188 can be usefully changed, for example, by appropriate choice of species and process conditions. .

도 26을 참조하면, 스페이서들(152) 및 도메인들(114 및 152)은 하부 기판(110)의 처리를 위한 마스크로서 사용될 수 있다. 도시된 바와 같이, 도메인들(114 및 152)에 의해 정의된 패턴은, 예를 들어, 기판(110)을 형성하는 재료에 대해 선택적인 이방성 에칭을 사용하여 기판(110)으로 전사될 수 있다. 전술된 바와 같이, 일부의 실시예들에서, 패턴은 기판(110)으로 전사되기 전에 먼저 하나 이상의 중간(intervening) 하드마스크 층들(도시되지 않음)에 전사될 수 있다.Referring to FIG. 26, the spacers 152 and the domains 114 and 152 may be used as masks for processing the lower substrate 110. As shown, the pattern defined by the domains 114 and 152 can be transferred to the substrate 110 using, for example, an anisotropic etch selective to the material forming the substrate 110. As described above, in some embodiments, the pattern can be transferred to one or more intervening hardmask layers (not shown) first before being transferred to the substrate 110.

바람직한 실시예들의 다양한 수정들이 가능하다는 것이 이해될 것이다. 예를 들어, 공중합체들은 이블럭 공중합체들의 컨텍스트로 기술되는 한편, 두개 이상의 블럭 종들로 형성될 수 있다. 또한, 도시된 실시예의 블럭 종들은 각각 상이한 단량체로 형성되는 한편, 블럭 종들은 단량체(들)을 공유할 수 있다. 예를 들어, 블럭 종들은 단량체 중 일부는 동일한 상이한 세트의 단량체들로 형성될 수 있거나, 또는 각각의 블럭에서 상이한 분배를 제외하고는, 동일한 단량체로 형성될 수 있다. 바람직하게는, 상이한 세트의 단량체들은 공중합체들의 자기 조직화를 구동할 수 있는 상이한 특성들을 갖는 상이한 블럭들을 형성한다.It will be understood that various modifications of the preferred embodiments are possible. For example, copolymers may be formed of two or more block species while described in the context of diblock copolymers. In addition, the block species of the illustrated embodiment are each formed of different monomers, while the block species may share monomer (s). For example, block species may be formed from the same different set of monomers, some of the monomers, or from the same monomer, except for different distributions in each block. Preferably, different sets of monomers form different blocks with different properties that can drive self-organization of the copolymers.

일부의 실시예들에서, 기판을 덮는 하드마스크 및/또는 임시층은 생략될 수 있다. 예를 들어, 포토디파이너블 재료는 공간 형성을 위한 온도들 및 그외의 조건들과 호환되는 재료로 구성되거나 또는 대체될 수 있다. 그러나, 도시된 실시예에서, 고품질 패턴의 전사를 가능하게 하고 고품질 스페이서들을 형성하기 위한 하드마스크 및 스페이서 층들이 선호된다.In some embodiments, the hardmask and / or the temporary layer covering the substrate can be omitted. For example, the photodefinable material may be composed of or replaced with a material that is compatible with temperatures and other conditions for space formation. However, in the illustrated embodiment, hardmask and spacer layers are preferred to enable the transfer of high quality patterns and to form high quality spacers.

또한, 마스크 층을 통한 "프로세싱"은 바람직하게는 하부 층을 에칭하는 것을 포함하는 한편, 마스크 층들을 통한 프로세싱은 마스크 층들의 하부 층들에 임의의 반도체 제조 프로세스를 행하는 것을 포함할 수 있다. 예를 들어, 프로세싱은 마스크 층들을 통해 하부 층들 위로의 이온 주입, 확산 도핑, 성막, 또는 습식 에칭 등을 포함할 수 있다. 또한, 마스크 층들은 화학적 기계적 연마(CMP)를 위한 스토퍼(stop) 또는 배리어로서 사용될 수 있거나 또는, 그 명세서 전체가 참조로 본원에 포함되는, 2005년 3월 28일 출원된 미국 가특허출원 제60/666,031호에 기술된 바와 같이, 하부 층들의 평탄화 및 에칭을 모두 가능하게 하도록 CMP가 임의의 층들 위에서 수행될 수 있다.Also, “processing” through the mask layer preferably includes etching the underlying layer, while processing through the mask layers may include performing any semiconductor manufacturing process on the underlying layers of the mask layers. For example, the processing may include ion implantation, diffusion doping, deposition, or wet etching over the underlying layers through the mask layers. Further, US Provisional Patent Application No. 60, filed Mar. 28, 2005, which may be used as a stop or barrier for chemical mechanical polishing (CMP), or incorporated herein by reference in its entirety. As described in / 666,031, CMP may be performed on any layers to enable both planarization and etching of the underlying layers.

또한, 바람직한 실시예들은 집적회로들을 제조하기 위한 예시적인 시퀀스들 에 적용되도록 예시되었지만, 매우 작은 피쳐들을 갖는 패턴들의 형성을 원하는 경우 다양한 그외의 애플리케이션들에 적용될 수 있다는 것이 이해될 것이다. 예를 들어, 바람직한 실시예들은 격자(grating)들, 디스크 드라이브들, 저장 매체들 또는 템플릿들, 또는 X-레이 또는 임프린트 리소그래피(imprint lithography)를 포함하는 그외의 리소그래피 기술들을 위한 마스크들을 형성하도록 적용될 수 있다.In addition, while the preferred embodiments have been illustrated to apply to exemplary sequences for manufacturing integrated circuits, it will be appreciated that they may be applied to a variety of other applications where the formation of patterns with very small features is desired. For example, preferred embodiments may be applied to form masks for gratings, disk drives, storage media or templates, or other lithography techniques including X-ray or imprint lithography. Can be.

따라서, 본 발명의 범주를 벗어나지 않고, 이들 및 그외의 다양한 생략들, 추가들 및 수정들을 전술된 방법들 및 구조들에 행할 수 있다는 것이 본 기술 분야의 당업자들에 의해 이해될 것이다. 모든 그러한 수정들 및 변경들은, 첨부된 청구범위에 의해 정의된 본 발명의 범주 내에서 포함되는 것을 의도한다.Accordingly, it will be understood by those skilled in the art that these and other various omissions, additions and modifications may be made to the methods and structures described above without departing from the scope of the invention. All such modifications and variations are intended to be included within the scope of the invention as defined by the appended claims.

Claims (86)

반도체 기판 위에 패턴을 형성하기 위한 방법으로서,As a method for forming a pattern on a semiconductor substrate, 상기 반도체 기판을 덮는(overlying) 복수의 피치 증배된(pitch multiplied) 피쳐(feature)를 제공하는 단계;Providing a plurality of pitch multiplied features overlying the semiconductor substrate; 상기 피치 증배된 피쳐들 사이에 자기-조직화(self-organizing) 재료를 제공하는 단계; 및Providing a self-organizing material between the pitch multiplied features; And 상기 자기-조직화 재료를 형성하는 화학종들(chemical species)을 분리하는 단계Separating chemical species forming the self-organizing material 를 포함하는 패턴 형성 방법.Pattern forming method comprising a. 제1항에 있어서,The method of claim 1, 상기 복수의 피치 증배된 피쳐를 제공하는 단계는,Providing the plurality of pitch multiplied features includes: 상기 기판 위에 복수의 맨드릴(mandrel)을 제공하는 단계;Providing a plurality of mandrels over the substrate; 상기 맨드릴들의 측벽들에 스페이서들을 형성하는 단계; 및Forming spacers on sidewalls of the mandrels; And 상기 맨드릴들을 제거하여, 상기 복수의 피치 증배된 피쳐를 구성하는 자립형(free-standing) 스페이서들을 남기는 단계Removing the mandrels, leaving free-standing spacers that make up the plurality of pitch multiplied features. 를 포함하는 패턴 형성 방법.Pattern forming method comprising a. 제2항에 있어서,The method of claim 2, 상기 복수의 맨드릴을 제공하는 단계는,Providing the plurality of mandrel, 포토레지스트 층에 패턴을 형성하는 단계; 및Forming a pattern in the photoresist layer; And 상기 포토레지스트 패턴을 상기 기판 위의 임시층으로 전사하는 단계 - 상기 임시층 내의 피쳐들은 상기 맨드릴들을 구성함 -Transferring the photoresist pattern to a temporary layer over the substrate, wherein features in the temporary layer constitute the mandrels 를 포함하는 패턴 형성 방법.Pattern forming method comprising a. 제1항에 있어서,The method of claim 1, 상기 자기-조직화 재료는 블럭 공중합체들(block copolymers)을 포함하는 패턴 형성 방법.The self-organizing material includes block copolymers. 제4항에 있어서,The method of claim 4, wherein 화학종들을 분리(segregate)하는 단계는, 반복하는 패턴의 영역들을 형성하는 단계를 포함하고, 각각의 영역은 상기 블럭 공중합체의 일부를 형성하는 블럭 종들(block species)로 형성되는 패턴 형성 방법.Segregating the chemical species includes forming regions of repeating pattern, each region being formed of block species forming part of the block copolymer. 제4항에 있어서,The method of claim 4, wherein 상기 블럭 공중합체들은 이블럭(diblock) 공중합체들을 포함하는 패턴 형성 방법.And said block copolymers comprise diblock copolymers. 제6항에 있어서,The method of claim 6, 상기 이블럭 공중합체들은 폴리스티렌(polystyrene)을 포함하는 패턴 형성 방법.The diblock copolymers include polystyrene. 제7항에 있어서,The method of claim 7, wherein 상기 이블럭 공중합체들은 폴리메틸메타크릴레이트(polymethylmethacrylate)를 포함하는 패턴 형성 방법.The diblock copolymers include polymethylmethacrylate. 제4항에 있어서,The method of claim 4, wherein 상기 블럭 공중합체의 일부를 형성하는 블럭 종들을 선택적으로 제거하는 단계를 더 포함하는 패턴 형성 방법.Selectively removing block species that form part of the block copolymer. 제9항에 있어서,The method of claim 9, 상기 블럭 공중합체의 일부를 형성하는 잔여 블럭 종들에 의해 정의되는 패턴을 상기 반도체 기판으로 전사하는 단계를 더 포함하는 패턴 형성 방법.Transferring the pattern defined by the remaining block species forming part of the block copolymer to the semiconductor substrate. 재10항에 있어서,The method of claim 10, 상기 패턴을 상기 기판으로 전사하는 단계는 메모리 디바이스의 피쳐들을 정의하는 패턴 형성 방법.Transferring the pattern to the substrate defines features of a memory device. 제10항에 있어서,The method of claim 10, 상기 패턴을 상기 기판으로 전사하는 단계는 컴퓨터 프로세서의 피쳐들을 정의하는 패턴 형성 방법.Transferring the pattern to the substrate defines features of a computer processor. 제1항에 있어서,The method of claim 1, 화학적 종을 분리하는 단계는 어닐링(annealing)을 행하는 단계를 포함하는 패턴 형성 방법.The step of separating the chemical species comprises annealing. 마스크 패턴을 형성하기 위한 방법으로서,As a method for forming a mask pattern, 피치 증배에 의해 복수의 스페이서를 형성하는 단계;Forming a plurality of spacers by pitch multiplication; 상기 스페이서들의 사이에 막을 성막하는 단계; 및Depositing a film between the spacers; And 상기 막을 어닐링하여 상기 막 내에 반복하는 패턴의 피쳐들을 형성하는 단계Annealing the film to form repeating patterns of features in the film 를 포함하는 마스크 패턴 형성 방법.Mask pattern forming method comprising a. 제14항에 있어서,The method of claim 14, 상기 피쳐들은 수직의 박판들(lamellae)을 포함하는 마스크 패턴 형성 방법.And the features comprise vertical lamellars. 제14항에 있어서,The method of claim 14, 상기 피쳐들은 격리된 기둥들(pillars)을 포함하는 마스크 패턴 형성 방법.And the features comprise isolated pillars. 제16항에 있어서,The method of claim 16, 상기 격리된 기둥들은 수직의 원통들을 포함하는 마스크 패턴 형성 방법.And the isolated pillars comprise vertical cylinders. 제14항에 있어서,The method of claim 14, 상기 피쳐들은 구(sphere)들을 포함하는 마스크 패턴 형성 방법.And the features comprise spheres. 제14항에 있어서,The method of claim 14, 상기 막은 상기 스페이서들을 적어도 부분적으로 덮는 마스크 패턴 형성 방법.And the film at least partially covers the spacers. 제14항에 있어서,The method of claim 14, 상기 막을 성막하는 단계는 용액(solution)을 스핀 캐스팅(spincasting)하는 단계를 포함하는 마스크 패턴 형성 방법.And depositing the film comprises spincasting a solution. 제14항에 있어서,The method of claim 14, 상기 막의 두께는 약 35㎚ 또는 그 미만인 마스크 패턴 형성 방법.And the thickness of the film is about 35 nm or less. 제21항에 있어서,The method of claim 21, 상기 두께는 약 30㎚ 또는 그 미만인 마스크 패턴 형성 방법.And the thickness is about 30 nm or less. 제22항에 있어서,The method of claim 22, 상기 두께는 약 25㎚ 또는 그 미만인 마스크 패턴 형성 방법.And the thickness is about 25 nm or less. 제14항에 있어서,The method of claim 14, 상기 막은 블럭 공중합체들을 포함하는 용액을 포함하는 마스크 패턴 형성 방법.And the film comprises a solution comprising block copolymers. 제24항에 있어서,The method of claim 24, 상기 막을 어닐링하는 단계는 상기 블럭 공중합체들을 형성하는 블럭들의 분리를 야기하는 마스크 패턴 형성 방법.And annealing the film causes separation of the blocks forming the block copolymers. 제14항에 있어서,The method of claim 14, 상기 막을 식각제(etchant)에 노출시켜 상기 반복하는 패턴의 피쳐들 중에서 막 재료를 선택적으로 제거하는 단계를 더 포함하는 마스크 패턴 형성 방법.Exposing the film to an etchant to selectively remove film material from among the features of the repeating pattern. 제26항에 있어서,The method of claim 26, 상기 반복하는 패턴의 피쳐들을 통해 재료의 하부층을 처리하는 단계를 더 포함하는 마스크 패턴 형성 방법.Processing a lower layer of material through the features of the repeating pattern. 제27항에 있어서,The method of claim 27, 상기 재료의 하부층을 처리하는 단계는 하부 기판을 이방성 에칭하는 단계를 포함하는 마스크 패턴 형성 방법. Processing the underlying layer of material comprises anisotropically etching the underlying substrate. 제27항에 있어서,The method of claim 27, 상기 재료의 하부층을 처리하는 단계는 상기 반복하는 패턴을 하드마스크 층으로 전사하는 단계를 포함하는 마스크 패턴 형성 방법.Processing the underlying layer of material comprises transferring the repeating pattern to a hardmask layer. 제29항에 있어서, 상기 반복하는 패턴을 기판으로 전사하는 단계를 더 포함하는 마스크 패턴 형성 방법.30. The method of claim 29, further comprising transferring the repeating pattern to a substrate. 반도체 제조를 위한 방법으로서,As a method for manufacturing a semiconductor, 약 200㎚ 또는 그 미만의 피치를 갖는 복수의 라인을 제공하는 단계; 및Providing a plurality of lines having a pitch of about 200 nm or less; And 상기 복수의 라인 사이에 블럭 공중합체들을 포함하는 패턴을 형성하는 단계Forming a pattern comprising block copolymers between the plurality of lines 를 포함하는 반도체 제조 방법.Semiconductor manufacturing method comprising a. 제31항에 있어서,The method of claim 31, wherein 상기 복수의 라인을 제공하는 단계는 상기 라인들을 형성하기 위해 피치 증배를 행하는 단계를 포함하는 반도체 제조 방법.Providing the plurality of lines includes performing pitch multiplication to form the lines. 제31항에 있어서,The method of claim 31, wherein 상기 피치는 약 100㎚ 또는 그 미만인 반도체 제조 방법.Wherein the pitch is about 100 nm or less. 제31항에 있어서,The method of claim 31, wherein 상기 블럭 공중합체들을 형성하는 적어도 하나의 블럭을 선택적으로 제거하는 단계를 더 포함하는 반도체 제조 방법.And selectively removing at least one block forming said block copolymers. 제31항에 있어서,The method of claim 31, wherein 상기 패턴을 형성하는 단계는 상기 블럭 공중합체들을 포함하는 막을 어닐링하는 단계를 포함하는 반도체 제조 방법.Forming the pattern comprises annealing a film comprising the block copolymers. 제35항에 있어서,36. The method of claim 35 wherein 상기 막은 상기 블럭 공중합체들의 대략의 길이 규모보다 작은 두께를 갖는 반도체 제조 방법.And the film has a thickness less than an approximate length scale of the block copolymers. 제31항에 있어서,The method of claim 31, wherein 상기 블럭 공중합체들은 혼합할 수 없는 블럭들로 형성되는 반도체 제조 방법.And the block copolymers are formed of blocks which cannot be mixed. 제31항에 있어서,The method of claim 31, wherein 상기 라인들은 실리콘을 포함하는 반도체 제조 방법.Wherein said lines comprise silicon. 제38항에 있어서,The method of claim 38, 상기 라인들은 실리콘 산화물을 포함하는 반도체 제조 방법.Wherein said lines comprise silicon oxide. 마스크 형성 방법으로서,As a mask forming method, 반도체 기판 위로 스페이서들의 패턴을 제공하는 단계;Providing a pattern of spacers over a semiconductor substrate; 상기 스페이서들의 쌍들 사이로 연장하는 마스크 재료의 균일막을 제공하는 단계;Providing a uniform film of mask material extending between the pair of spacers; 상기 균일막을, 마스크 재료 부분들(moieties)에 의해 정의되는 패턴을 포함하는 패턴화된 막으로 변환하는 단계; 및Converting the uniform film into a patterned film comprising a pattern defined by mask material moieties; And 상기 마스크 재료를 식각제에 노출시켜 상기 노출된 마스크 재료에 공극들(voids)의 패턴을 형성하는 단계Exposing the mask material to an etchant to form a pattern of voids in the exposed mask material 를 포함하는 마스크 형성 방법.Mask formation method comprising a. 제40항에 있어서,The method of claim 40, 상기 스페이서들의 패턴을 제공하는 단계는 피치 증배를 행하는 단계를 포함하는 마스크 형성 방법.Providing a pattern of spacers includes performing a pitch multiplication. 제40항에 있어서,The method of claim 40, 상기 스페이서들의 패턴을 제공하는 단계는 복수의 자립형 스페이서를 제공 하는 단계를 포함하는 마스크 형성 방법.Providing a pattern of spacers comprises providing a plurality of freestanding spacers. 제40항에 있어서,The method of claim 40, 상기 스페이서들의 패턴을 제공하는 단계는 맨드릴들의 측벽들에 스페이서들을 제공하는 단계를 포함하는 마스크 형성 방법.Providing a pattern of spacers comprises providing spacers on the sidewalls of the mandrel. 제43항에 있어서,The method of claim 43, 상기 마스크 재료를 노출시킨 후에 상기 맨드릴들을 제거하는 단계를 더 포함하는 마스크 형성 방법.Removing the mandrel after exposing the mask material. 제44항에 있어서,The method of claim 44, 상기 맨드릴들을 제거한 후에 마스크 재료의 제2 층을 성막하는 단계를 더 포함하는 마스크 형성 방법.Depositing a second layer of mask material after removing the mandrels. 제45항에 있어서,The method of claim 45, 마스크 재료의 상기 제2 층의 마스크 재료 부분들을 자기-분리시켜서(self-segregate), 마스크 재료의 상기 제2층의 상기 마스크 재료 부분들에 의해 정의되는 패턴을 형성하는 단계를 더 포함하며, 상기 자기 분리는 상기 맨드릴들에 의해 전에 점유된 볼륨(volume)에서 발생하는 마스크 형성 방법.Self-segregating mask material portions of the second layer of mask material, thereby forming a pattern defined by the mask material portions of the second layer of mask material; Magnetic separation occurs at a volume previously occupied by the mandrels. 제40항에 있어서,The method of claim 40, 상기 균일막을 변환하는 단계는, 상기 마스크 재료를 노출시키기 전에 상기 마스크를 형성하는 화학종들의 자기-분리를 촉진시키는 단계를 포함하고, 상기 자기 분리를 촉진시키는 단계는 상기 마스크 재료를 도메인들로 조직하며, 상기 도메인들 각각은 상기 화학종들을 형성하는 상이한 부분으로 주로 형성되는 마스크 형성 방법.Converting the homogeneous film includes promoting self-separation of chemical species forming the mask prior to exposing the mask material, and promoting the magnetic separation comprises organizing the mask material into domains. Wherein each of said domains is formed predominantly from different portions forming said species. 제47항에 있어서,The method of claim 47, 화학종들은 블럭 공중합체들이고, 상기 부분들은 상기 공중합체를 형성하는 블럭들이며 상기 마스크 재료를 식각제에 노출시키는 단계는 상기 블럭 공중합체들을 형성하는 블럭 종들을 선택적으로 제거하는 마스크 형성 방법.Chemical species are block copolymers, the portions are blocks forming the copolymer and exposing the mask material to an etchant selectively removes the block species forming the block copolymers. 제48항에 있어서,The method of claim 48, 균질의 마스크 재료는, 상기 마스크 재료 내에 수직의 박판들이 형성되도록 하기 위한 충분한 두께를 갖는 마스크 형성 방법.The homogeneous mask material has a sufficient thickness to allow vertical thin plates to be formed in the mask material. 제48항에 있어서,The method of claim 48, 균질의 마스크 재료는, 상기 마스크 재료 내에 수직의 원통들이 형성되도록 하기 위한 충분한 두께를 갖는 마스크 형성 방법.The homogeneous mask material has a sufficient thickness to allow vertical cylinders to be formed in the mask material. 제40항에 있어서,The method of claim 40, 상기 마스크 재료를 상기 식각제에 노출시키는 단계는 건식 식각(dry etch)을 행하는 단계를 포함하는 마스크 형성 방법.Exposing the mask material to the etchant comprises performing a dry etch. 제40항에 있어서,The method of claim 40, 상기 마스크 재료를 상기 식각제에 노출시키는 단계는 습식 식각(wet etch)을 행하는 단계를 포함하는 마스크 형성 방법.Exposing the mask material to the etchant comprises performing a wet etch. 제52항에 있어서,The method of claim 52, wherein 상기 식각제는 아세트산(acetic acid)을 포함하는 마스크 형성 방법.The etching agent comprises a mask containing acetic acid (acetic acid). 제40항에 있어서,The method of claim 40, 잔여 마스크 재료는 약 50㎚ 또는 그 미만의 임계 치수를 갖는 라인들을 포함하는 마스크 형성 방법.And the remaining mask material comprises lines having a critical dimension of about 50 nm or less. 제54항에 있어서,The method of claim 54, 상기 임계 치수는 약 30㎚ 또는 그 미만인 마스크 형성 방법.And the critical dimension is about 30 nm or less. 제55항에 있어서,The method of claim 55, 상기 임계 치수는 약 20㎚ 또는 그 미만인 마스크 형성 방법.And the critical dimension is about 20 nm or less. 반도체 처리 방법으로서,As a semiconductor processing method, 반도체 기판 위에 블럭 도메인들의 제1 세트를 형성하는 단계 - 상기 제1 세트는 블럭 도메인들의 복수의 분리된 그룹을 포함하고, 각각의 그룹은 복수의 블럭 도메인을 포함하고, 상기 블럭 도메인들은 실질적으로 블럭 공중합체의 유사(like) 블럭들에 의해 형성됨 -; 및Forming a first set of block domains over a semiconductor substrate, the first set comprising a plurality of separate groups of block domains, each group comprising a plurality of block domains, wherein the block domains substantially block Formed by like blocks of the copolymer; And 블럭 도메인들의 상기 분리된 그룹들 사이의 공간에 블럭 도메인들의 제2 세트를 후속하여 형성하는 단계Subsequently forming a second set of block domains in space between the separated groups of block domains 를 포함하는 반도체 처리 방법.Semiconductor processing method comprising a. 제57항에 있어서,The method of claim 57, 상기 제1 세트를 형성하는 단계는,Forming the first set includes: 상기 반도체 기판 위에, 공중합체 정렬을 위한 복수의 분리된 가이드(guide)를 제공하는 단계;Providing a plurality of separate guides for copolymer alignment on the semiconductor substrate; 블럭 공중합체들의 제1 층을 상기 가이드들 사이의 공간들에 성막하는 단계; 및Depositing a first layer of block copolymers in the spaces between the guides; And 상기 블럭 공중합체들로부터 블럭 도메인들의 상기 제1 세트를 상기 공간들에 형성하는 단계Forming said first set of block domains in said spaces from said block copolymers 를 포함하는 반도체 처리 방법.Semiconductor processing method comprising a. 제58항에 있어서,The method of claim 58, 상기 블럭 도메인들을 형성하는 단계는 상기 블럭 공중합체들을 어닐링하는 단계를 포함하는 반도체 처리 방법.Forming the block domains comprises annealing the block copolymers. 제58항에 있어서,The method of claim 58, 블럭 도메인들의 제1 세트에 의해 형성된 패턴을 하부의 하드마크스 층으로 전사하여 상기 하드마스크 층에 피쳐들을 정의하는 단계를 더 포함하는 반도체 처리 방법.Transferring the pattern formed by the first set of block domains to an underlying hardmark layer to define features in the hardmask layer. 제60항에 있어서,The method of claim 60, 상기 하드마스크 층 내의 상기 피쳐들의 주위 및 상기 피쳐들의 위에, 필러(filler) 재료를 성막하는 단계를 더 포함하는 반도체 처리 방법.Depositing a filler material around and on the features in the hardmask layer. 제61항에 있어서,62. The method of claim 61, 상기 분리된 가이드들을 노출시키기 위해 상기 필러 재료를 부분적으로 제거하는 단계를 더 포함하는 반도체 처리 방법.And partially removing the filler material to expose the separated guides. 제62항에 있어서,The method of claim 62, 상기 필러 재료를 부분적으로 제거하는 단계는 CMP(chemical mechanical polishing) 공정을 행하는 단계를 포함하는 반도체 처리 방법.Partially removing the filler material comprises performing a chemical mechanical polishing (CMP) process. 제62항에 있어서,The method of claim 62, 상기 필러 재료를 부분적으로 제거하는 단계는 에치백(etch back) 공정을 행하는 단계를 포함하는 반도체 처리 방법.Partially removing the filler material comprises performing an etch back process. 제58항에 있어서,The method of claim 58, 블럭 도메인들의 분리된 그룹들 사이에 공간들을 형성하기 위하여, 상기 가이드들을 식각제에 노출시켜 상기 가이드들의 엣지들(edges) 사이의 중앙 영역들을 개방하는 단계를 더 포함하는 반도체 처리 방법.Exposing the guides to an etchant to open the central regions between the edges of the guides to form spaces between the separated groups of block domains. 제65항에 있어서,The method of claim 65, 상기 공간들 내에 블럭 도메인들의 제2 세트를 형성하기 전에, 상기 공간들 내에 블럭 공중합체들의 제2 층을 성막하는 단계를 더 포함하는 반도체 처리 방법.Prior to forming the second set of block domains in the spaces, depositing a second layer of block copolymers in the spaces. 제66항에 있어서,67. The method of claim 66, 블럭 공중합체들의 제1 및 제2 층은 동일한 블럭 공중합체를 포함하는 반도체 처리 방법.The first and second layers of block copolymers comprise the same block copolymer. 제67항에 있어서,The method of claim 67, 상기 블럭 공중합체는 폴리스티렌과 폴리메틸메타크릴레이트의 블럭들로 형 성되는 반도체 처리 방법.Wherein said block copolymer is formed of blocks of polystyrene and polymethylmethacrylate. 제66항에 있어서,67. The method of claim 66, 상기 가이드들은 복수의 분리된 맨드릴의 각각의 측벽들에 배치된 스페이서들을 포함하는 반도체 처리 방법.Wherein the guides comprise spacers disposed on respective sidewalls of the plurality of separate mandrels. 제66항에 있어서,67. The method of claim 66, 상기 가이드들을 식각제에 노출시키는 단계는 상기 맨드릴들을 선택적으로 제거하는 단계를 포함하는 반도체 처리 방법.Exposing the guides to an etchant comprises selectively removing the mandrels. 제66항에 있어서,67. The method of claim 66, 블럭 도메인들의 상기 제2 세트에 의해 형성된 패턴을 하부의 하드마스크 층으로 전사하는 단계를 더 포함하는 반도체 처리 방법.Transferring the pattern formed by the second set of block domains to an underlying hardmask layer. 제66항에 있어서,67. The method of claim 66, 블럭 도메인들의 상기 제1 및 제2 세트에 의해 형성된 패턴을 하부 기판으로 전사하는 단계를 더 포함하는 반도체 처리 방법.Transferring the pattern formed by the first and second set of block domains to a lower substrate. 제66항에 있어서,67. The method of claim 66, 블럭 도메인들의 상기 제1 세트는 수직의 박판들, 격리된 기둥들 또는 수직 의 원통들로 구성된 그룹으로부터 선택된 피쳐들을 형성하는 반도체 처리 방법.Wherein said first set of block domains forms features selected from the group consisting of vertical thin plates, isolated pillars, or vertical cylinders. 제73항에 있어서,The method of claim 73, 블럭 도메인들의 상기 제2 세트는 수직의 박판들, 격리된 기둥들 또는 수직의 원통들로 구성된 그룹으로부터 선택된 피쳐들을 형성하는 반도체 처리 방법.And said second set of block domains forms features selected from the group consisting of vertical thin plates, isolated pillars, or vertical cylinders. 제74항에 있어서,The method of claim 74, wherein 블럭 도메인들의 상기 제1 및 제2 세트는 동일한 종류의 피쳐를 형성하는 반도체 처리 방법.Wherein said first and second set of block domains form a feature of the same kind. 부분적으로 제조된 집적회로로서,A partially manufactured integrated circuit, 반도체 기판을 덮는 공중합체 정렬을 위한 복수의 가이드 - 상기 가이드들은 약 200㎚ 또는 그 미만의 피치를 가짐 -; 및A plurality of guides for copolymer alignment covering the semiconductor substrate, the guides having a pitch of about 200 nm or less; And 복수의 공중합체 가이드 사이에 배치된 블럭 공중합체들Block copolymers disposed between the plurality of copolymer guides 을 포함하는 부분적으로 제조된 집적회로.Partially manufactured integrated circuit comprising a. 제76항에 있어서,77. The method of claim 76, 공중합체 정렬을 위한 상기 가이드들은 피치 증배된 스페이서들인, 부분적으로 제조된 집적회로.Wherein said guides for copolymer alignment are pitch multiplied spacers. 제76항에 있어서,77. The method of claim 76, 상기 피치는 약 100㎚ 또는 그 미만인, 부분적으로 제조된 집적회로.Wherein the pitch is about 100 nm or less. 제76항에 있어서,77. The method of claim 76, 상기 블럭 공중합체들은 2개의 블럭 유형들로 형성되는, 부분적으로 제조된 집적회로.Wherein said block copolymers are formed of two block types. 제79항에 있어서,The method of claim 79, 상기 블럭들은 약 50㎚ 또는 그 미만의 임계 치수를 갖는 라인들을 정의하는, 부분적으로 제조된 집적회로.Wherein the blocks define lines having a critical dimension of about 50 nm or less. 제80항에 있어서,The method of claim 80, 상기 임계 치수는 약 30㎚ 또는 그 미만인, 부분적으로 제조된 집적회로.Wherein the critical dimension is about 30 nm or less. 제81항에 있어서,82. The method of claim 81 wherein 상기 임계 치수는 약 20㎚ 또는 그 미만인, 부분적으로 제조된 집적회로.Wherein the critical dimension is about 20 nm or less. 제79항에 있어서,The method of claim 79, 상기 블럭 부분들은 폴리메틸메타크릴레이트를 포함하는, 부분적으로 제조된 집적회로.Wherein said block portions comprise polymethylmethacrylate. 제79항에 있어서,The method of claim 79, 상기 블럭 부분들은 폴리스티렌을 포함하는, 부분적으로 제조된 집적회로.And the block portions comprise polystyrene. 제76항에 있어서,77. The method of claim 76, 상기 가이드들은 실리콘을 포함하는, 부분적으로 제조된 집적회로.And the guides comprise silicon. 제85항에 있어서,86. The method of claim 85, 상기 가이드들은 실리콘 산화물을 포함하는, 부분적으로 제조된 집적회로.And the guides comprise silicon oxide.
KR1020087023691A 2007-05-14 2007-05-14 Topography directed patterning KR101428820B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2007/011524 WO2007127496A2 (en) 2006-03-23 2007-05-14 Topography directed patterning

Publications (2)

Publication Number Publication Date
KR20090009788A true KR20090009788A (en) 2009-01-23
KR101428820B1 KR101428820B1 (en) 2014-08-08

Family

ID=40489393

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087023691A KR101428820B1 (en) 2007-05-14 2007-05-14 Topography directed patterning

Country Status (1)

Country Link
KR (1) KR101428820B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101361381B1 (en) * 2009-06-22 2014-02-10 마이크론 테크놀로지, 인크. Methods of utilizing block copolymer to form patterns
KR20190117984A (en) * 2018-04-09 2019-10-17 삼성전자주식회사 Semiconductor device and manufacturing method thereof
US10490402B2 (en) 2013-09-04 2019-11-26 Tokyo Electron Limited UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6435916A (en) * 1987-07-31 1989-02-07 Hitachi Ltd Formation of fine pattern
US6667237B1 (en) * 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
JP3967114B2 (en) 2001-11-22 2007-08-29 株式会社東芝 Processing method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101361381B1 (en) * 2009-06-22 2014-02-10 마이크론 테크놀로지, 인크. Methods of utilizing block copolymer to form patterns
US10490402B2 (en) 2013-09-04 2019-11-26 Tokyo Electron Limited UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US11538684B2 (en) 2013-09-04 2022-12-27 Tokyo Electron Limited UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
KR20190117984A (en) * 2018-04-09 2019-10-17 삼성전자주식회사 Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
KR101428820B1 (en) 2014-08-08

Similar Documents

Publication Publication Date Title
EP2114821B1 (en) Topography directed patterning
US8592940B2 (en) Topography based patterning
KR101547706B1 (en) Pitch multiplication using self-assembling materials
US7759197B2 (en) Method of forming isolated features using pitch multiplication
US9082829B2 (en) Methods for forming arrays of small, closely spaced features
US8123968B2 (en) Multiple deposition for integration of spacers in pitch multiplication process
US7547640B2 (en) Method for integrated circuit fabrication using pitch multiplication
US8003310B2 (en) Masking techniques and templates for dense semiconductor fabrication
US20070117310A1 (en) Multiple deposition for integration of spacers in pitch multiplication process
KR101428820B1 (en) Topography directed patterning

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170704

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180717

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190806

Year of fee payment: 6