KR20080111819A - Method of removing photoresist and method of manufacturing a semiconductor device - Google Patents

Method of removing photoresist and method of manufacturing a semiconductor device Download PDF

Info

Publication number
KR20080111819A
KR20080111819A KR1020070060234A KR20070060234A KR20080111819A KR 20080111819 A KR20080111819 A KR 20080111819A KR 1020070060234 A KR1020070060234 A KR 1020070060234A KR 20070060234 A KR20070060234 A KR 20070060234A KR 20080111819 A KR20080111819 A KR 20080111819A
Authority
KR
South Korea
Prior art keywords
photoresist
substrate
ion implantation
outer layer
layer
Prior art date
Application number
KR1020070060234A
Other languages
Korean (ko)
Inventor
오준환
허동철
김형식
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020070060234A priority Critical patent/KR20080111819A/en
Publication of KR20080111819A publication Critical patent/KR20080111819A/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • H01L21/3043Making grooves, e.g. cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • H01L21/3046Mechanical treatment, e.g. grinding, polishing, cutting using blasting, e.g. sand-blasting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30617Anisotropic liquid etching

Abstract

A method for erasing photoresist and a manufacturing method of a semiconductor device are provided to improve the reliability of the processing of a semiconductor device by effectively removing photoresist without the extension of process time. A method for erasing photoresist comprises: a step for providing pre-processing liquid for photoresist including supercritical carbon dioxide 75-98 weight% and organic solvent 2-25 weight% on a substrate in which the photoresist exposed to a ion injection process is formed; a step for removing by the photoresist pre-processing liquid the external layer of the photoresist(S140); and a step for removing from the substrate the inner layer of the photoresist in which the external layer is removed(S150).

Description

포토레지스트 제거방법 및 반도체 소자의 제조 방법{METHOD OF REMOVING PHOTORESIST AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE}Photoresist removal method and manufacturing method of semiconductor device {METHOD OF REMOVING PHOTORESIST AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE}

도 1은 본 발명의 일 실시예에 따른 포토레지스트 제거방법을 나타내는 공정흐름도이다.1 is a process flowchart showing a method of removing a photoresist according to an embodiment of the present invention.

도 2 내지 5는 본 발명의 일 실시예에 따른 도 1의 포토레지스트 제거 방법이 적용되는 반도체 소자의 제조방법을 나타내는 단면도들이다.2 to 5 are cross-sectional views illustrating a method of manufacturing a semiconductor device to which the photoresist removing method of FIG. 1 is applied according to an embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100 : 기판 105 : 소자 분리막100 substrate 105 device isolation film

125 : 게이트 스페이서 130 : 게이트 구조물125 gate spacer 130 gate structure

본 발명은 포토레지스트 제거방법 및 반도체 소자의 제조방법에 관한 것으로, 보다 상세하게는 이온주입 공정에 노출된 포토레지스트의 제거방법 및 이를 이용한 반도체 소자의 제조방법에 관한 것이다.The present invention relates to a method of removing a photoresist and a method of manufacturing a semiconductor device, and more particularly, to a method of removing a photoresist exposed to an ion implantation process and a method of manufacturing a semiconductor device using the same.

반도체 소자를 완성하기 위해서는 수회에 걸쳐 적용된 포토레지스트를 기판 표면으로부터 제거하는 공정을 실시해야 한다. 상기 포토레지스트는 식각공정에서 식각 마스크 및 이온 주입 공정에서 이온 주입 마스크로 사용된다. 상기 포토레지스트 제거는 산소(O2) 플라즈마를 이용한 에싱(ashing)과 같은 건식세정 공정과 유기 스트리퍼를 사용하는 습식세정 공정의 조합으로 이루어진다. 그러나 상기 산소 플라즈마를 이용한 에싱 공정을 수행하여 상기 포토레지스트를 제거할 경우 노출된 기판 표면을 손상을 초래하여 형성되는 반도체 소자의 특성을 열 화시킨다.In order to complete the semiconductor device, a process of removing the photoresist applied several times from the surface of the substrate must be performed. The photoresist is used as an etching mask in an etching process and an ion implantation mask in an ion implantation process. The photoresist removal consists of a combination of a dry cleaning process such as ashing with an oxygen (O 2) plasma and a wet cleaning process using an organic stripper. However, when the photoresist is removed by the ashing process using the oxygen plasma, the exposed surface of the substrate is damaged, thereby degrading the characteristics of the semiconductor device formed.

특히, 반도체 소자의 고집적화와 응용측면에서 포토레지스트 패턴을 이온주입 마스크로 사용한 이온 주입 공정의 횟수(Ion Implant Step) 증가 및 이온 주입량이 점점 증가되는 HDIS(High Dose Ion Implant Strip) 공정이 요구되고 있다. 상기 HDIS 공정은 고순도의 기판 세정(Wafer Cleanliness)하는 방법과, 저 레벨(Low Level)의 포토레지스트 패턴의 팝핑(Photo Resist Popping)현상 및 표면 레지듀(Surface Residue)등이 발생하지 않는 공정이 요구된다. 또한, 기판에 형성된 산화막과 기판의 불순물 영역의 손실이 최소화 되여야 한다. In particular, there is a need for a high dose ion implant strip (HDIS) process in which the number of ion implantation steps and the amount of ion implantation using the photoresist pattern as an ion implantation mask are increased in terms of high integration and application of semiconductor devices. . The HDIS process requires high purity wafer cleanliness, low level photoresist popping, surface resistivity, and the like. do. In addition, the loss of the oxide film formed on the substrate and the impurity region of the substrate should be minimized.

이러한 상기 HDIS 공정에서 이온주입 마스크로 적용된 포토레지스트 패턴은 탄화 층(Carbonized layer) 형태로 변질된 포토레지스트 외부층과 변질되지 않는 포토레지스트 내부층을 포함한다. 상기 두 층의 상대적인 두께는 이온주입 에너지(Ion Implantation Energy)의 함수이며 상기 외부층의 밀도(Density)는 이온 주입량(Implant Dose)의 함수이다. 따라서 고 이온주입이라 함은 이온주입 공정시 >1*1015 ions/cm2의 dose와 <100keV energy 조건을 의미한다. 결국, 이온 주입량의 불순물 농도 증가에 따라 외부층의 경화는 가속되게 되며 이는 후속 에싱/스트립 공정에서 포토레지스트 및 잔류 포토레지스트의 제거가 어렵게 된다. 즉, 고 이온 주입 공정에 노출된 포토레지스트는 아래와 같이 포토레지스트 외부층에 해당하는 부분은 이온 주입시 대부분의 이온들이 막질의 표면에 자리 잡게 된다. 또한, 이온 주입시의 충격에 의해 변질 경화가 심하게 발생되고 이온 주입시 포토레지스트로 스퍼터링된 포토레지스트 잔류물이 발생하게 되는 것이다.The photoresist pattern applied as an ion implantation mask in the HDIS process includes a photoresist outer layer deteriorated in the form of a carbonized layer and a photoresist inner layer that is not altered. The relative thickness of the two layers is a function of ion implantation energy and the density of the outer layer is a function of ion implantation. Therefore, high ion implantation means a dose of> 1 * 10 15 ions / cm2 and <100keV energy condition in the ion implantation process. As a result, as the impurity concentration of the ion implantation increases, curing of the outer layer is accelerated, which makes it difficult to remove the photoresist and residual photoresist in a subsequent ashing / strip process. That is, in the photoresist exposed to the high ion implantation process, a portion corresponding to the photoresist outer layer is placed at the surface of the film during ion implantation. In addition, deterioration hardening is severely generated by the impact at the time of ion implantation, and photoresist residue sputtered with the photoresist is generated at the time of ion implantation.

이에 따라, 상기 고 이온주입 공정에 노출된 포토레지스트를 제거하기 위해 세정액을 이용한 습식식각(Wet Etch)공정을 수행할 경우 경화된 외부층과 이온주입공정시 스퍼터링된 산화 잔류물(Oxide Residue)로 인해 상기 포토레지스트에 세정액의 침투(Penetration)가 어려워 상기 포토레지스트를 완전히 제거가 어려운 문제점이 발생한다. 또한, 상기 고 이온주입 공정에 노출된 포토레지스트를 제거하기 위해 플라즈마를 이용한 에싱/스트립 공정을 수행할 경우에는 상기 경화된 포토레지스트 외부층이 폭발하는 Popping 현상으로 인해 외부층이 주위로 흩어져 파티클이 발생하기도 한다. Accordingly, when the wet etching process using the cleaning solution is performed to remove the photoresist exposed to the high ion implantation process, the hardened outer layer and the sputtered oxide residue during the ion implantation process. Due to the difficulty of penetration of the cleaning solution into the photoresist, it is difficult to completely remove the photoresist. In addition, when performing an ashing / strip process using plasma to remove the photoresist exposed to the high ion implantation process, the outer layer is scattered around due to the popping phenomenon in which the cured photoresist outer layer explodes. Occurs.

상기 포토레지스트를 제거하기 공정의 문제점을 최소화하기 위한 대한 대안으로 오존 가스와 수증기를 사용하는 포토레지스트를 제거하는 공정이 미국공개공보 2002/0134409호에 개시되어 있다. 그러나 이들 오존 가스와 수증기를 사용하여 상기 포토레지스트를 제거하는 공정은 이온주입공정에 노출되어 변질된 포토레지스트 외부층을 완전히 제거하지 못하는 문제점을 갖는다. As an alternative to minimizing the problem of removing the photoresist, a process of removing photoresist using ozone gas and water vapor is disclosed in US 2002/0134409. However, the process of removing the photoresist by using these ozone gas and water vapor has a problem that does not completely remove the deteriorated photoresist outer layer exposed to the ion implantation process.

상술한 문제점을 해결하기 위한 본 발명의 목적은 기판의 손상 없이 포토레 지스트를 용이하게 제거할 수 있는 포토레지스트 제거 방법을 제공하는데 있다.An object of the present invention for solving the above problems is to provide a photoresist removal method that can easily remove the photoresist without damaging the substrate.

또한, 본 발명의 다른 목적은 상기 기판의 손상 없이 이온주입 공정시 노출된 포토레지스트 패턴을 용이하게 제거할 수 있는 방법을 이용하여 반도체 소자를 제조하는 방법을 제공하는데 있다.In addition, another object of the present invention is to provide a method of manufacturing a semiconductor device using a method that can easily remove the photoresist pattern exposed during the ion implantation process without damaging the substrate.

상술한 목적을 달성하기 위한 본 발명의 일 실시예에 따른 포토레지스트 제거 방법에 따르면, 먼저 이온주입 공정에 노출된 포토레지스트가 형성된 기판에 초임계 이산화탄소 75 내지 98 중량% 및 유기용매 2 내지 25 중량%를 포함하는 포토레지스트 전 처리액을 제공한다. 이어서, 상기 포토레지스트의 외부층을 상기 포토레지스트 전 처리액을 침투시켜 기판으로부터 제거한다. 이어서, 상기 외부층이 제거된 나머지 포토레지스트의 내부층를 기판으로부터 제거한다. 그 결과 기판의 손상 없이 상기 이온주입 공정에 노출된 포토레지스트 패턴을 깨끗이 제거할 수 있다.According to the method of removing a photoresist according to an embodiment of the present invention for achieving the above object, first, 75 to 98% by weight of supercritical carbon dioxide and 2 to 25% by weight of an organic solvent on a substrate on which the photoresist exposed to the ion implantation process is formed. It provides a photoresist pretreatment solution containing%. Subsequently, the outer layer of the photoresist is removed from the substrate by penetrating the photoresist pretreatment solution. Then, the inner layer of the remaining photoresist from which the outer layer is removed is removed from the substrate. As a result, the photoresist pattern exposed to the ion implantation process can be removed without damaging the substrate.

일 예로서, 상기 포토레지스트의 전 처리는 외부층에 해당하는 포토레지스트의 팽창 및 상기 포토레지스트를 구성하는 폴리머들의 교차결합이 절단됨으로서 제거될 수 있다. As an example, the pretreatment of the photoresist may be removed by cutting the expansion of the photoresist corresponding to the outer layer and the crosslinking of the polymers constituting the photoresist.

또한, 상기 이온주입 공정에 노출된 포토레지스트는 이온주입 공정에 노출되어 변질된 포토레지스트 외부층 및 상기 이온주입 공정에 영향을 받지 않은 포토레지스트 내부층을 포함한다. 상기 포토레지스트 외부층은 약 1×1011 내지 1× 1017atoms/㎠ 도우즈로 불순물을 이온 주입하는 공정에서 노출되어 탄화된 상태를 갖는다.In addition, the photoresist exposed to the ion implantation process includes a photoresist outer layer that is altered by exposure to the ion implantation process and a photoresist inner layer that is not affected by the ion implantation process. The photoresist outer layer is exposed and carbonized in the process of ion implanting impurities with about 1 × 10 11 to 1 × 10 17 atoms / cm 2 dose.

상술한 다른 목적을 달성하기 위한 본 발명의 일 실시예에 따른 반도체 소자의 제조방법에 따르면, 포토레지스트 패턴을 이온주입 마스크로 적용하여 상기 포토레지스트 패턴에 노출된 기판의 표면 아래로 불순물을 이온 주입한다. 이어서, 상기 이온주입 공정에 노출된 포토레지스트에 초임계 이산화탄소 75 내지 98 중량% 및 유기용매 2 내지 25 중량%를 포함하는 포토레지스트 전 처리액을 제공하여 상기 포토레지스트의 외부층을 제거한다. 이어서, 상기 외부층이 제거된 나머지 포토레지스트의 내부층을 기판으로부터 제거한다. 이후, 상기 기판 상에 게이트 구조물을 형성한다. According to a method of manufacturing a semiconductor device according to an embodiment of the present invention for achieving the above-mentioned other object, by applying a photoresist pattern as an ion implantation mask, ion implantation of impurities down the surface of the substrate exposed to the photoresist pattern do. Subsequently, a photoresist pretreatment solution containing 75 to 98% by weight of supercritical carbon dioxide and 2 to 25% by weight of an organic solvent is provided to the photoresist exposed to the ion implantation process to remove the outer layer of the photoresist. Then, the inner layer of the remaining photoresist from which the outer layer has been removed is removed from the substrate. Thereafter, a gate structure is formed on the substrate.

일 실시예로서, 상기 기판은 상기 도전성 구조물 및 포토레지스트 패턴을 이온주입 마스크로 하여 상기 기판의 표면 아래로 1×1011 내지 1×1017atoms/㎠ 도우즈의 불순물이 이온 주입되는 공정에 노출된 기판이다.In one embodiment, the substrate is exposed to a process in which impurities of 1 × 10 11 to 1 × 10 17 atoms / cm 2 dose are ion implanted below the surface of the substrate using the conductive structure and the photoresist pattern as an ion implantation mask. Substrate.

일 예로서, 상기 도전성 구조물은 텅스텐 패턴과 폴리실리콘 패턴을 포함하는 게이트 구조물이다.As an example, the conductive structure is a gate structure including a tungsten pattern and a polysilicon pattern.

상기에서 언급한 포토레지스트 제거 방법은 이온 주입공정에 노출되어 변질된 포토레지스트 외부층을 초임계 이산화탄소 및 유기용매를 포함하는 포토레지스트 전 처리액을 이용한 전 처리 공정을 수행하여 상기 변질된 포토레지스트 외부층을 제거할 수 있다. 따라서, 전 처리된 포토레지스트는 이후 일반적인 포토레지스 트 제거방법에 의해 완전히 제거될 수 있다. 상기 포토레지스트 제거 방법은 2단계의 포토레지스트 제거방법을 적용되어 기판, 기판에 형성된 불순물 영역, 산화막 및 도전성 패턴에 과도한 손상이 발생하지 않는다. 또한, 상기 포토레지스트 제거 방법을 적용하여 반도체 소자의 트랜지스터를 제조할 경우 불순물 영리실리콘 패턴의 손상 없이 상기 포토레지스트를 효과적으로 제거할 수 있다.The above-mentioned photoresist removal method is performed by performing a pretreatment process using a photoresist pretreatment solution containing supercritical carbon dioxide and an organic solvent on the deteriorated photoresist outer layer exposed to an ion implantation process. The layer can be removed. Thus, the pretreated photoresist can then be completely removed by the usual photoresist removal method. The photoresist removal method is a two-stage photoresist removal method is applied to prevent excessive damage to the substrate, the impurity region formed on the substrate, the oxide film and the conductive pattern. In addition, when the transistor of the semiconductor device is manufactured by applying the photoresist removing method, the photoresist may be effectively removed without damaging the impurity silicon substrate.

이하, 본 발명에 따른 바람직한 실시예에 따른 포토레지스트 제거방법 및 이를 이용한 반도체 소장의 제조 방법이 첨부된 도면을 참조하여 상세하게 설명하기로 한다. 하지만, 본 발명이 하기의 실시예에 의해 제한되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다.Hereinafter, a method of removing a photoresist according to a preferred embodiment of the present invention and a method of manufacturing a semiconductor small intestine using the same will be described in detail with reference to the accompanying drawings. However, the present invention is not limited by the following examples, and those skilled in the art may implement the present invention in various other forms without departing from the technical spirit of the present invention.

첨부된 도면에 있어서, 기판, 층(막), 개구부, 영역, 패턴들 또는 구조물들 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. 본 발명에 있어서, 각 층(막), 영역, 개구부, 패턴들 또는 구조물들이 기판, 각 층(막), 영역 또는 패턴들의 "상에", "저면에" "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 영역, 개구부, 패턴 또는 구조물들이 직접 기판, 각 층(막), 영역, 패드 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 영역, 다른 패턴, 또는 다른 구조물들이 기판 상에 추가적으로 형성될 수 있다.In the accompanying drawings, the dimensions of the substrates, layers (films), openings, regions, patterns or structures are shown in greater detail than actual for clarity of the invention. In the present invention, each layer (film), region, opening, pattern or structure is placed on the substrate, each layer (film), region or pattern "on", "bottom", "top" or "bottom". When referred to as being formed, it means that each layer (film), region, opening, pattern or structure is formed directly over or below the substrate, each layer (film), region, pad or patterns, or that other layers ( Film), other regions, other patterns, or other structures may additionally be formed on the substrate.

포토레지스트 제거 방법How to remove photoresist

도 1은 본 발명의 일 실시예에 따른 포토레지스트 제거방법을 나타내는 공정흐름도이다.1 is a process flowchart showing a method of removing a photoresist according to an embodiment of the present invention.

도 1을 참조하면, 포토레지스트 패턴이 형성된 기판을 마련한다(단계 S110).Referring to FIG. 1, a substrate on which a photoresist pattern is formed is prepared (step S110).

일 예로, 상기 기판은 미세 전자 소자들을 형성하기 위한 반도체 기판으로 금속을 포함하는 도전성 패턴 또는 산화막 패턴을 포함할 수 있다. 상기 도전성 패턴의 예로서는 금속 패턴, 금속 산화막 패턴, 금속 질화막 패턴, 폴리실리콘막 패턴 등을 들 수 있다. 상기 도전성 패턴의 예로서는 텅스텐 금속 패턴을 포함하는 게이트 구조물 및 비트라인을 들 수 있다.For example, the substrate may be a semiconductor substrate for forming fine electronic devices, and may include a conductive pattern or an oxide layer pattern including a metal. As an example of the said conductive pattern, a metal pattern, a metal oxide film pattern, a metal nitride film pattern, a polysilicon film pattern, etc. are mentioned. Examples of the conductive pattern may include a gate structure and a bit line including a tungsten metal pattern.

상기 포토레지스트 패턴은 반응 이온식각(Reactive Ion Etching), 이온빔 식각(Ion Beam Etching), 플라즈마 식각 공정 등을 수행하여 도전성 패턴을 형성하는데 적용되는 식각 마스크일 수 있다. 또한, 고 이온 주입 공정에 적용되는 이온주입 마스크일 수 있다. 또한, 상기 포토레지스트 패턴은 기판의 개구 내에 잔류하는 포토레지스트 잔류물일 수 있고, 커패시터의 실린더 형성을 갖는 하부전극을 형성하기 위한 하부 전극막의 노드분리 공정시 적용되는 희생막일 수 있다.The photoresist pattern may be an etching mask applied to form a conductive pattern by performing reactive ion etching, ion beam etching, plasma etching, or the like. It may also be an ion implantation mask applied to a high ion implantation process. In addition, the photoresist pattern may be a photoresist residue remaining in the opening of the substrate, and may be a sacrificial layer applied during the node separation process of the lower electrode layer for forming the lower electrode having the cylinder of the capacitor.

상기 기판 상에 형성된 포토레지스트 패턴은 I-line(365nm) 용 포토레지스트, KrF (248nm)용 포토레지스트, ArF(193nm)용 포토레지스트, F2(157㎚)용 포토레지스트 등의 다양한 종류의 포토레지스트를 사용할 수 있지만, 본 실시예서는 설명되는 포토레지스트 패턴은 주 골격이 탄소와 탄소의 단일 결합으로 이루어진 아크릴레이트 수지 또는 메타아크릴레이트 수지를 포함하는 ArF용 포토레지스트 패턴이다.The photoresist pattern formed on the substrate may be a variety of photoresists such as photoresist for I-line (365 nm), photoresist for KrF (248 nm), photoresist for ArF (193 nm), photoresist for F2 (157 nm), and the like. However, the photoresist pattern described in this embodiment is a photoresist pattern for ArF including an acrylate resin or a methacrylate resin whose main skeleton is composed of a single bond of carbon and carbon.

상기 포토레지스트 패턴이 식각 마스크 또는 이온주입 마스크로 사용될 경우, 상기 포토레지스트 패턴은 이온 손상에 의해 변질된 포토레지스트 외부층 및 상기 이온 손상에 의해 변질되지 않은 포토레지스트 내부층을 포함한다. When the photoresist pattern is used as an etching mask or an ion implantation mask, the photoresist pattern includes a photoresist outer layer deteriorated by ion damage and a photoresist inner layer not deteriorated by ion damage.

일 예로서, 상기 포토레지스트 외부층은 약 1×1011 내지 1×1017atoms/㎠ 도우즈의 이온 주입 공정에 노출되어 상기 포토레지스트 외부층을 구성하는 폴리머들이 서로 결합된 상태를 가질 수 있다. 특히, 상기 폴리머들은 포토레지스트 외부층 내에서 3차원 적으로 교차 결합(Cross-link)된 상태를 가질 수 있다.As an example, the photoresist outer layer may be exposed to an ion implantation process of about 1 × 10 11 to 1 × 10 17 atoms / cm 2 dose so that the polymers constituting the photoresist outer layer are bonded to each other. . In particular, the polymers may have a three-dimensional cross-linked state in the photoresist outer layer.

따라서 상기 이온주입 공정에 노출된 포토레지스트 패턴을 종래의 습식식각(Wet Etch)공정을 수행하여 제거하려할 경우 경화된 포토레지스트 외부층과 이온주입 공정시 스퍼터링된 산화물 레지듀로 인해 상기 포토레지스트 패턴의 내부로 세정액의 침투가 어려워 제거가 용이하지 않다. 또한, 플라즈마를 이용한 에싱 방법으로 이온주입 공정을 수행하여 상기 이온주입 공정에 노출된 포토레지스트 패턴을 제거하려 할 경우 고온의 에싱 공정으로 인해 포토레지스트의 외부층이 폭발하여 포토레지스트 파티클이 다발하는 문제점이 초래된다.Therefore, when the photoresist pattern exposed to the ion implantation process is to be removed by performing a conventional wet etching process, the photoresist pattern is formed due to the hardened photoresist outer layer and the sputtered oxide residue during the ion implantation process. It is difficult to penetrate the cleaning liquid into the inside, so it is not easy to remove. In addition, when the photoresist pattern exposed to the ion implantation process is removed by performing an ion implantation process using an ashing method using plasma, the outer layer of the photoresist explodes due to a high temperature ashing process, causing photoresist particles to be bundled. This is brought about.

이어서, 상기 초임계 이산화탄소와 유기 용매를 포함하는 포토레지스트 전 처리액을 마련한다(단계 S120). Subsequently, a photoresist pretreatment solution including the supercritical carbon dioxide and an organic solvent is prepared (step S120).

상기 포토레지스트 전 처리액에 포함된 초임계 이산화탄소는 약 50 내지 118℃의 임계 온도로 유지된 챔버 내에 이산화탄소를 챔버 내부의 임계 압력이 약 80 내지 190 기압이 되도록 제공함으로써 형성될 수 있다. 특히, 챔버 내의 압력은 100 내지 160 기압이고, 온도는 60 내지 100℃가 되도록 하는 것이 바람직하다. 상술한 방법으로 형성된 초임계 이산화탄소는 액체 상태를 갖는다. 이렇게 액체 상채를 갖는 초임계 이산화탄소와 유기용매를 혼합됨으로서 상기 포토레지스트 전 처리액은 형성된다. 상기 포토레지스트 전 처리액은 초임계 이산화탄소 70 내지 99중량%와 유기용매 1 내지 30 중량%를 포함하고, 바람직하게는 초임계 이산화탄소 75 내지 98 중량% 및 유기용매 2 내지 25 중량%를 포함하고 보다 바람직하게는 초임계 이산화탄소 80 내지 98중량%와 유기용매 2 내지 20 중량%를 포함한다. The supercritical carbon dioxide contained in the photoresist pretreatment liquid may be formed by providing carbon dioxide in the chamber maintained at a critical temperature of about 50 to 118 ° C. such that the critical pressure in the chamber is about 80 to 190 atm. In particular, the pressure in the chamber is preferably 100 to 160 atm, and the temperature is 60 to 100 ° C. The supercritical carbon dioxide formed by the above-described method has a liquid state. In this way, the supercritical carbon dioxide having the liquid phase and the organic solvent are mixed to form the photoresist pretreatment solution. The photoresist pretreatment liquid contains 70 to 99% by weight of supercritical carbon dioxide and 1 to 30% by weight of an organic solvent, preferably 75 to 98% by weight of supercritical carbon dioxide and 2 to 25% by weight of an organic solvent. Preferably it comprises 80 to 98% by weight of supercritical carbon dioxide and 2 to 20% by weight of an organic solvent.

특히, 상기 유기용매는 제1 유기용매, 제2 유기용매 또는 이들의 혼합물을 포함할 수 있다. 상기 제1 유기용매의 예로서는 에탄올(ethanol), 메탄올(methanol), 프로판올(propanol), 이소프로판올(isopropanol), 헥산(hexane) 디옥산(dioxane)등을 들 수 있다. 상기 제2 유기용매의 예로서는 HFAC (HexaFluoroacrtylacetone), HMDS(HexaMethylDisilazane), TMCS (TriMethylChloroSilane) 및 TMAF (tetramethylammonium fluoride)등을 들 수 있다. 이들은 단독 또는 둘 이상을 혼합하여 사용할 수 있다.In particular, the organic solvent may include a first organic solvent, a second organic solvent, or a mixture thereof. Examples of the first organic solvent include ethanol, methanol, propanol, isopropanol, hexane dioxane, and the like. Examples of the second organic solvent include HFAC (HexaFluoroacrtylacetone), HMDS (HexaMethylDisilazane), TMCS (TriMethylChloroSilane), TMAF (tetramethylammonium fluoride), and the like. These can be used individually or in mixture of 2 or more.

이어서, 상기 포토레지스트 패턴에 상기 포토레지스트 전 처리액을 제공하여 초임계 이산화탄소를 침투시킨다(단계 S130).Subsequently, the photoresist pretreatment solution is provided to the photoresist pattern to infiltrate supercritical carbon dioxide (step S130).

상기 기판으로 제공된 포토레지스트 전 처리액은 상기 포토레지스트 패턴의 외부층에 침투되어 상기 포토레지스트 패턴을 구성하는 폴리머들을 팽창(팽윤)시키는 동시에 상기 포토레지스트 패턴을 구성하는 폴리머들의 3차원적 교차 결합을 절단시킬 수 있다. 특히, 포토레지스트 전 처리 액에 포함된 상기 초임계 이산화탄소 는 기체의 장점인 뛰어난 확산속도와 액체의 장점인 높은 밀도에서 기인하는 용해력을 갖기 때문에 이온 손상 등에 의해 경화 또는 탄화된 포토레지스트의 외부층 내로 용이하게 침투될 수 있다.The photoresist pretreatment liquid provided to the substrate penetrates into the outer layer of the photoresist pattern to expand (swell) the polymers constituting the photoresist pattern, and at the same time, to perform three-dimensional crosslinking of the polymers constituting the photoresist pattern. Can be cut. In particular, the supercritical carbon dioxide contained in the photoresist pretreatment liquid has an excellent diffusion rate, which is an advantage of gas, and a dissolving ability due to high density, which is an advantage of liquid. It can be easily penetrated.

이어서, 상기 기판으로부터 상기 포토레지스트 패턴의 외부층을 제거한다(단계 S140).Subsequently, an outer layer of the photoresist pattern is removed from the substrate (step S140).

구체적으로, 상기 챔버 임계 압력 이하로 감압 시키는 동시에 초임계 이산화탄소를 배출시킨다. 그 결과 상기 챔버 내에 존재하는 포토레지스트 전 처리액에 포함된 초임계 이산화탄소는 기상의 이산화탄소로 전환된다. 이에 따라, 상기 기판으로부터 초임계 이산화탄소는 제거될 수 있다.Specifically, the pressure is reduced to below the chamber critical pressure and the supercritical carbon dioxide is discharged. As a result, supercritical carbon dioxide contained in the photoresist pretreatment liquid present in the chamber is converted into gaseous carbon dioxide. Accordingly, supercritical carbon dioxide can be removed from the substrate.

상기 초임계 이산화탄소가 제거된 포토레지스트 패턴은 폴리머들 팽창(팽윤) 과 폴리머들 결합의 절단으로 인해 포토레지스트 패턴의 외부층은 균열의 발생 및 상기 전 처리액에 포함된 유기용매에 대하여 용이하게 용해될 수 있는 상태를 가질 수 있다. 이에 따라, 상기 포토레지스트 패턴의 외부층은 상기 기판으로부터 제거될 수 있다.The photoresist pattern from which the supercritical carbon dioxide has been removed is easily dissolved in the outer layer of the photoresist pattern due to the expansion of the polymers (swelling) and the cleavage of the polymers. It may have a state that can be. Accordingly, the outer layer of the photoresist pattern can be removed from the substrate.

이어서, 상기 초임계 이산화탄소에 의해 전 처리된 포토레지스트 패턴의 내부층을 기판으로부터 제거한다(단계 S150). Next, the inner layer of the photoresist pattern pretreated with the supercritical carbon dioxide is removed from the substrate (step S150).

구체적으로, 상기 전 처리된 포토레지스트 패턴을 습식세정 공정 또는 플라즈마 에싱 공정을 적용하여 제거한다. 상기 습식 세정공정은 상기 포토레지스트 패턴의 제거능력이 우수하면서 기판의 손상을 최소화할 수 있는 포토레지스트 세정액을 사용하여 수행할 있다. 일 예로서, 상기 포토레지스트 세정액은 황산(H2SO4) 또 는 과산화수소수(H2O2)를 포함하는 범용의 세정액을 사용할 있다. 다른 예로서, 알칸올아민 약 5 내지 25중량%, 극성 유기용매 20 내지 50중량%, 환원제 0.1 내지 5중량% 및 여분의 물을 포함하는 세정액을 사용할 수 있다. 상기 알칸올아민의 예로서는 모노메탄올아민(monoethanolamine: MEA), 모노이소프로판올아민(monoisopropanolamine) 또는 이들의 혼합물 등을 들 수 있다. 상기 극성 유기용매의 예로서는 디메틸아세트아미드, 디메틸아미드, N-메틸-2-피롤리돈 및 디메틸 술폭사이드, 에틸렌글리콜모노메틸에테르, 에틸렌글리콜모노에틸에테르, 프로필렌글리콜메틸에테르, 메틸셀로솔브아세테이트, 에틸셀로솔브아세테이트, 디에틸렌글리콜모노메틸에테르, 디에틸렌글리콜모노에틸에테르, 프로필렌글리콜메틸에테르아세테이트, 프로필렌글리콜프로필에테르아세테이트, 디에틸렌글리콜디메틸에테르, 에틸락테이드 등을 들 수 있다. 이들은 단독 또는 둘 이상을 혼합하여 사용할 수 있다. 상기 환원제의 예로서는 카테콜 등을 들 수 있다.Specifically, the pretreated photoresist pattern is removed by applying a wet cleaning process or a plasma ashing process. The wet cleaning process may be performed using a photoresist cleaning liquid that is excellent in the ability to remove the photoresist pattern while minimizing damage to the substrate. As an example, the photoresist cleaning liquid may be a general-purpose cleaning solution containing sulfuric acid (H 2 SO 4) or hydrogen peroxide (H 2 O 2). As another example, a cleaning liquid comprising about 5 to 25 weight percent alkanolamine, 20 to 50 weight percent polar organic solvent, 0.1 to 5 weight percent reducing agent and excess water can be used. Examples of the alkanolamine include monoethanolamine (MEA), monoisopropanolamine (monoisopropanolamine) or mixtures thereof. Examples of the polar organic solvent include dimethylacetamide, dimethylamide, N-methyl-2-pyrrolidone and dimethyl sulfoxide, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol methyl ether, methyl cellosolve acetate, Ethyl cellosolve acetate, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, propylene glycol methyl ether acetate, propylene glycol propyl ether acetate, diethylene glycol dimethyl ether, ethyl lactate and the like. These can be used individually or in mixture of 2 or more. Catechol etc. are mentioned as an example of the said reducing agent.

상기 에싱 공정은 공정 가스를 플라즈마 상태로 여기 시킨 후 상기 플라즈마를 이용하여 상기 외부층이 제거된 포토레지스트 패턴을 분해시켜 제거하는 공정이다. 상기 공정 가스의 예로서는 산소(O2), 일산화탄소(Co), 수소(H2), 질소(N2), 탄화불소(CF4)등을 들 수 있다. 이들은 단독 또는 둘 이상을 혼합하여 사용할 수 있다. The ashing process is a process of decomposing and removing the photoresist pattern from which the outer layer is removed using the plasma after exciting the process gas in a plasma state. Examples of the process gas include oxygen (O 2 ), carbon monoxide (Co), hydrogen (H 2 ), nitrogen (N 2 ), fluorocarbon (CF 4 ), and the like. These can be used individually or in mixture of 2 or more.

그 결과 상기 포토레지스트 패턴은 상기 기판의 손상 없이 상기 기판으로부터 완전히 제거될 수 있다. 상기 포토레지스트 패턴의 제거공정 이후에 상기 기판 에 물을 이용한 린스 공정을 수행할 수 있다. 상기 린스 공정은 기판에 잔류될 수 있는 포토레지스트 잔류물과 포토레지스트 세정액을 기판에 존재하지 않도록 세정하는 공정이다. 일 예로 상기 린스 공정은 세정조에 수용된 물에 기판을 함침시켜 수행할 수 있다. 다른 예로 상기 린스 공정은 회전하는 기판의 표면으로 물을 분사시켜 수행할 수 있다. 도시하지 않았지만, 상기 린스 공정 이후 이소프로필 알코올 증기를 이용한 건조 공정을 더 수행할 수 있다.As a result, the photoresist pattern can be completely removed from the substrate without damaging the substrate. After removing the photoresist pattern, a rinse process using water may be performed on the substrate. The rinsing process is a process of cleaning the photoresist residue and the photoresist cleaning liquid which may remain on the substrate so as not to exist on the substrate. For example, the rinsing process may be performed by impregnating a substrate in water contained in a cleaning tank. As another example, the rinsing process may be performed by spraying water onto the surface of the rotating substrate. Although not shown, a drying process using isopropyl alcohol vapor may be further performed after the rinsing process.

상술한 포토레지스트 패턴 제거 방법은 이온에 의해 변질된 포토레지스트 패턴을 플라즈마 에싱 공정 및 오존을 이용한 세정공정을 별도로 수행하지 않고, 초임계 이산화탄소를 포함하는 전 처리액과 반도체 공정에 적용되는 일반적인 포토레지스트 제거 공정을 수행함으로서 손쉽게 제거할 수 있다. 또한, 상기 포토레지스트 제거 방법은 기판에 형성된 불순물 영역의 손상 및 기판의 손상 없이 상기 포토레지스트 패턴을 완전히 제거할 수 있어 반도체 제조 공정의 신뢰성을 향상시킬 수 있다.The photoresist pattern removing method described above is a general photoresist applied to a pretreatment liquid containing a supercritical carbon dioxide and a semiconductor process, without separately performing a plasma ashing process and a cleaning process using ozone on the photoresist pattern modified by ions. It can be easily removed by performing the removal process. In addition, the photoresist removing method may completely remove the photoresist pattern without damaging an impurity region formed on a substrate and damaging the substrate, thereby improving reliability of a semiconductor manufacturing process.

반도체 소자의 제조 방법Manufacturing Method of Semiconductor Device

도 2 내지 5는 본 발명의 일 실시예에 따른 포토레지스트 제거 방법이 적용되는 반도체 소자의 제조방법을 나타내는 단면도들이다.2 to 5 are cross-sectional views illustrating a method of manufacturing a semiconductor device to which a photoresist removing method according to an embodiment of the present invention is applied.

도 2를 참조하면, 기판(100)에 제1 포토레지스트 패턴(미도시)들을 이온주입 마스크를 형성한 후 p형 또는 n형 불순물을 이온 주입함으로서, 기판에 다양한 종류의 웰(102)을 형성한다. 여기서, 상기 제1 포토레지스트 패턴은 제1 이온 주입 공정에 노출되어 변질되어 경화된 외부층(미도시) 및 상기 이온 주입공정에 의해 변질되지 않은 내부층을 포함한다. 상기 외부층은 제1 포토레지스트 패턴을 구성하는 폴리머들이 이온 손상에 의해 3차원 적으로 교차 결합(Cross-link)됨으로서 형성되거나, 탄화된 상태를 가짐으로서 형성된다. Referring to FIG. 2, various types of wells 102 are formed on a substrate by forming ion implantation masks on first photoresist patterns (not shown) on the substrate 100 and then implanting p-type or n-type impurities. do. Here, the first photoresist pattern includes an outer layer (not shown) that is altered and cured by being exposed to the first ion implantation process, and an inner layer that is not altered by the ion implantation process. The outer layer is formed by cross-linking polymers constituting the first photoresist pattern three-dimensionally by ion damage or by having a carbonized state.

이어서, 상기 외부층을 갖는 제1 포토레지스트 패턴을 제거하기 위해서 상기 제1 포토레지스트 패턴에 초임계 이산화탄소 및 유기용매를 포함하는 포토레지스트 전 처리액을 이용하여 제1 포토레지스트 패턴의 외부층을 제거한다. 이후, 상기 외부층이 제거된 나머지 제1 포토레지스트 패턴의 내부층을 상기 기판으로부터 제거한다. 그 결과 상기 제1 포토레지스트 패턴은 상기 기판으로부터 완전히 제거될 수 있다. 상기 포토레지스트 전 처리액을 적용하는 본 실시예의 제1 포토레지스트 패턴 제거 및 이를 수행하기 위한 조건들은 상기 도 1에서 상세히 설명하였기에 중복을 피하기 위해 생략한다.Subsequently, in order to remove the first photoresist pattern having the outer layer, the outer layer of the first photoresist pattern is removed using a photoresist pretreatment solution including supercritical carbon dioxide and an organic solvent in the first photoresist pattern. do. Thereafter, the inner layer of the remaining first photoresist pattern from which the outer layer is removed is removed from the substrate. As a result, the first photoresist pattern may be completely removed from the substrate. Removing the first photoresist pattern and the conditions for performing the same in the present embodiment applying the photoresist pretreatment solution are described in detail in FIG.

이후, 상기 기판에 셸로우 트렌치 소자 분리(STI) 공정을 수행하여 기판(100)에 소자 분리막(105)을 형성한다. 그 결과 상기 기판(100)은 액티브 영역 및 필드 영역으로 구분될 수 있다. Thereafter, a shallow trench device isolation (STI) process is performed on the substrate to form the device isolation layer 105 on the substrate 100. As a result, the substrate 100 may be divided into an active region and a field region.

도 3을 참조하면, 소자 분리막(105)이 형성된 기판(100) 상에 게이트 절연막을 형성한다. 상기 게이트 절연막은 실리콘 산화막(SiO2)일 수 도 있고, 상기 실리콘 산화막보다 높은 유전율을 갖는 물질로 이루어진 박막일 수 도 있다. 상기 게이트 절연막으로 사용되는 박막을 형성하기 위한 물질로는 예컨대 HfO2, ZrO2, Ta2O5, Y2O3, Nb2O5, Al2O3, TiO2, CeO2, In2O3, RuO2, MgO, SrO, B2O3, SnO2, PbO, PbO2, Pb3O4, V2O3, La2O3, Pr2O3, Sb2O3, Sb2O5, CaO 등을 예를 들 수 있다. 이들은 단독 또는 혼합하여 사용할 수 있다.Referring to FIG. 3, a gate insulating film is formed on the substrate 100 on which the device isolation layer 105 is formed. The gate insulating film may be a silicon oxide film (SiO 2 ), or may be a thin film made of a material having a higher dielectric constant than the silicon oxide film. As a material for forming a thin film used as the gate insulating film, for example, HfO 2 , ZrO 2 , Ta 2 O 5 , Y 2 O 3 , Nb 2 O 5 , Al 2 O 3 , TiO 2 , CeO 2 , In 2 O 3 , RuO 2 , MgO, SrO, B 2 O 3 , SnO 2 , PbO, PbO 2 , Pb 3 O 4 , V 2 O 3 , La 2 O 3 , Pr 2 O 3 , Sb 2 O 3 , Sb 2 O 5 , CaO, etc. are mentioned. These can be used individually or in mixture.

상기 게이트 절연막 상에 게이트 전극막, 하드 마스크막 및 제2 포토레지스트 패턴을 순차적으로 형성한다. 상기 게이트 전극막(미도시)은 불순물이 도핑된 폴리실리콘막 및 금속을 포함하는 도전막을 포함하고, 이후 게이트 전극(114)으로 패터닝된다. 일 예로서, 상기 도전막은 텅스텐막(W), 텅스텐 실리사이드막(WSi), 티타늄질화막(TiN)등을 포함할 수 있다. 한편, 상기 게이트 전극막은 폴리실리콘막, 텅스텐 실리사이드막, 티타늄질화막 및 텅스텐 실리사이드막 및 텅스텐막이 순차적으로 적층된 구조로 형성될 수 있다.A gate electrode film, a hard mask film, and a second photoresist pattern are sequentially formed on the gate insulating film. The gate electrode layer (not shown) includes a conductive layer including a polysilicon layer doped with an impurity and a metal, and is then patterned into the gate electrode 114. As an example, the conductive film may include a tungsten film W, a tungsten silicide film WSi, a titanium nitride film TiN, or the like. The gate electrode film may be formed of a structure in which a polysilicon film, a tungsten silicide film, a titanium nitride film, a tungsten silicide film, and a tungsten film are sequentially stacked.

상기 하드 마스크막은 이후 하드 마스크(116)로 패터닝 된다. 상기 하드 마스크막은 후속 하여 형성되는 층간절연막(미도시)에 대하여 높은 식각 선택비를 갖는 물질로 형성할 수 있다. 예를 들면, 층간절연막이 실리콘 산화물과 같은 산화물로 이루어질 경우에는, 상기 하드 마스크막은 실리콘 질화물과 같은 질화물로 이루어진다. 상기 하드 마스크는 상기 제2 포토레지스트 패턴에 노출된 하드 마스크막을 건식 식각공정을 수행함으로서 형성된다. 상기 건식 식각공정의 예로서는 반응 이온식각(Reactive Ion Etching), 이온빔 식각(Ion Beam Etching), 플라즈마 식각, 레이저 식각 공정 등을 들 수 있다. The hard mask layer is then patterned into a hard mask 116. The hard mask layer may be formed of a material having a high etching selectivity with respect to a subsequent interlayer insulating layer (not shown). For example, when the interlayer insulating film is made of an oxide such as silicon oxide, the hard mask film is made of a nitride such as silicon nitride. The hard mask is formed by performing a dry etching process on the hard mask layer exposed to the second photoresist pattern. Examples of the dry etching process may include reactive ion etching, ion beam etching, plasma etching, and laser etching.

이어서, 하드 마스크를 식각마스크로 이용하여 상기 게이트 전극막 및 상기 게이트 절연막을 순차적으로 패터닝한다. 이에 따라, 기판(100) 상에는 각기 게이트 절연막 패턴(112), 금속을 포함하는 게이트 전극(114) 및 하드 마스크(116)를 포함하는 게이트 구조물(130)들로 형성된다.Subsequently, the gate electrode layer and the gate insulating layer are sequentially patterned using a hard mask as an etching mask. Accordingly, the gate 100 is formed on the substrate 100 by the gate insulating layer pattern 112, the gate electrode 114 including the metal, and the hard mask 116.

이어서, 게이트 구조물(130)들을 이온 주입 마스크로 이용하여 게이트 구조물(130)들 사이에 노출되는 기판(100)에 이온 주입 공정으로 불순물을 주입한 후, 열처리 공정을 수행함으로써 기판(100)에 예비 소오스/드레인 영역에 해당되는 제1 콘택 영역(135a) 및 제2 콘택 영역(140a)이 형성된다. Subsequently, impurities are implanted into the substrate 100 exposed between the gate structures 130 using the gate structures 130 as an ion implantation mask by an ion implantation process, and then preliminarily formed on the substrate 100 by performing a heat treatment process. The first contact region 135a and the second contact region 140a corresponding to the source / drain regions are formed.

상기 예비 소오스/드레인 영역은 기판의 표면 아래로 약 1×1011 내지 1×1017atoms/㎠ 도우즈의 불순물이 도핑되어 형성될 수 있다.이때, 상기 기판(100)에는 Pmos 영역과 Nmos 영역이 존재하기 때문에 상기 기판에 이온주입 마스크인 제3 포토레지스트 패턴을 형성한 이후 예비 소오스/드레인 영역을 형성하기 위한 제2 이온주입 공정을 수행할 수 있다. The preliminary source / drain regions may be formed by doping impurities of about 1 × 10 11 to 1 × 10 17 atoms / cm 2 dose under the surface of the substrate. In this case, the substrate 100 may include a Pmos region and an Nmos region. Because of this, the second ion implantation process for forming a preliminary source / drain region may be performed after the third photoresist pattern, which is an ion implantation mask, is formed on the substrate.

상기 제2 이온주입 공정에 의해 노출된 상기 제3 포토레지스트 패턴은 이온 손상에 의해 변질된 포토레지스트 외부층 및 상기 이온 손상에 의해 변질되지 않은 포토레지스트 내부층을 포함한다. 상기 변질된 포토레지스트 외부층은 포토레지스트를 구성하는 폴리머들이 이온 손상에 의해 3차원 적으로 교차 결합(Cross-link) 또한 포토레지스트가 탄화됨으로서 형성된다. 따라서 상기 제3 포토레지스트 패턴을 기판의 불순물 영역의 손상 없이 제거하기 위해서는 상기 제3 포토레지스트 패턴에 초임계 이산화탄소를 포함하는 전 처리액과 일반적인 포토레지스트 제거방법 을 수행해야 한다.The third photoresist pattern exposed by the second ion implantation process includes a photoresist outer layer deteriorated by ion damage and a photoresist inner layer not deteriorated by ion damage. The deteriorated photoresist outer layer is formed by cross-linking and photoresist of the polymers constituting the photoresist in three dimensions by ion damage. Therefore, in order to remove the third photoresist pattern without damaging the impurity region of the substrate, a pretreatment solution including supercritical carbon dioxide in the third photoresist pattern and a general photoresist removal method should be performed.

그 결과 상기 제3 포토레지스트 패턴은 상기 기판에 형성된 불순물 영역의 손상 없이 기판으로부터 완전히 제거될 수 있다. 상기 본 실시예의 제3 포토레지스트 제거 방법 및 이를 수행하기 위한 조건들은 상기 도 1에서 상세히 설명하였기에 중복을 피하기 위해 생략한다.As a result, the third photoresist pattern may be completely removed from the substrate without damaging the impurity regions formed in the substrate. The method of removing the third photoresist of the present embodiment and the conditions for performing the same are described in detail with reference to FIG.

도 4를 참조하면, 상기 게이트 구조물(130)들이 형성된 기판(100) 상에 실리콘 질화막을 형성한 후, 이를 이방성 식각하여 각 게이트 구조물(130)들의 양 측벽에 게이트 스페이서(125)를 형성한다. 이후, 게이트 스페이서(125) 형성 후 이온주입 공정을 더 수행하여 LDD 구조의 완전한 소오스 영역(135) 및 드레인 영역(140)을 형성한다. 일 예로서, 상기 소오스 영역(135)은 제1 패드(미도시)가 접촉되는 커패시터 콘택 영역에 해당되며, 드레인 영역(140)은 제2 패드(미도시)가 접속되는 비트 라인 콘택 영역에 해당된다. 이에 따라, 기판(100) 상에는 각기 게이트 구조물(130), 게이트 스페이서(125) 및 콘택 영역들(135, 140)을 포함하는 트랜지스터들이 형성된다.Referring to FIG. 4, after forming a silicon nitride film on the substrate 100 on which the gate structures 130 are formed, anisotropic etching is performed to form gate spacers 125 on both sidewalls of the gate structures 130. Thereafter, after the gate spacer 125 is formed, an ion implantation process is further performed to form the complete source region 135 and the drain region 140 of the LDD structure. As an example, the source region 135 corresponds to a capacitor contact region to which a first pad (not shown) contacts, and the drain region 140 corresponds to a bit line contact region to which a second pad (not shown) is connected. do. Accordingly, transistors including the gate structure 130, the gate spacer 125, and the contact regions 135 and 140 are formed on the substrate 100, respectively.

도 5를 참조하면, 게이트 구조물(130)들을 덮으면서 기판(100)의 전면에 산화물로 이루어진 층간절연막(145)을 형성한다. 상기 층간절연막(145)은 BPSG, PSG, SOG, PE-TEOS, USG 또는 HDP-CVD 산화물을 화학 기상 증착 공정, 플라즈마 증대 화학 기상 증착 공정, 고밀도 플라즈마 화학 기상 증착 공정 또는 원자층 적층 공정을 사용하여 형성한다.Referring to FIG. 5, an interlayer insulating layer 145 made of oxide is formed on the entire surface of the substrate 100 while covering the gate structures 130. The interlayer dielectric layer 145 may be formed by chemical vapor deposition, plasma enhanced chemical vapor deposition, high density plasma chemical vapor deposition, or atomic layer deposition of BPSG, PSG, SOG, PE-TEOS, USG, or HDP-CVD oxide. Form.

상기에서 언급한 포토레지스트 제거 방법은 이온 주입공정에 노출되어 변질된 포토레지스트의 외부층을 초임계 이산화탄소를 포함하는 전 처리액을 이용하여 제거함으로서 나머지 포토레지스트 패턴을 통상적인 포토레지스트 제거 공정으로 제거 가능하다. 따라서, 전 처리된 포토레지스트는 이후 일반적인 포토레지스트 패턴의 제거공정에 의해 기판으로부터 완전히 제거될 수 있다. 특히, 상기 포토레지스트 제거 방법을 적용하여 반도체 소자를 제조할 경우 공정 시간의 연장 없이 포토레지스트를 효과적으로 제거할 수 있어 때문에 반도체 소자 제조 공정의 신뢰성을 향상시킬 수 있다.The photoresist removal method mentioned above removes the remaining photoresist pattern by a conventional photoresist removal process by removing the outer layer of the photoresist that has been exposed to the ion implantation process by using a pretreatment solution containing supercritical carbon dioxide. It is possible. Thus, the pretreated photoresist can then be completely removed from the substrate by a general process of removing the photoresist pattern. In particular, when the semiconductor device is manufactured by applying the photoresist removal method, the photoresist may be effectively removed without prolonging the process time, thereby improving reliability of the semiconductor device manufacturing process.

상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술 분야의 숙련된 당업자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.As described above, although described with reference to a preferred embodiment of the present invention, those skilled in the art will be variously modified without departing from the spirit and scope of the invention described in the claims below. And can be changed.

Claims (7)

이온주입 공정에 노출된 포토레지스트가 형성된 기판 상에 초임계 이산화탄소 75 내지 98 중량% 및 유기용매 2 내지 25 중량%를 포함하는 포토레지스트 전 처리액을 제공하는 단계;Providing a photoresist pretreatment solution comprising 75 to 98% by weight of supercritical carbon dioxide and 2 to 25% by weight of an organic solvent on the photoresist formed substrate exposed to the ion implantation process; 상기 포토레지스트의 외부층을 상기 포토레지스트 전 처리액으로 제거하는 단계; 및 Removing the outer layer of the photoresist with the photoresist pretreatment liquid; And 상기 외부층이 제거된 나머지 포토레지스트의 내부층을 상기 기판으로부터 제거하는 단계를 포함하는 포토레지스트 제거방법. Removing the inner layer of the remaining photoresist from which the outer layer has been removed from the substrate. 제1항에 있어서, 상기 포토레지스트는 이온주입 마스크로 사용된 포토레지스트 패턴, 식각 마스크로 사용된 포토레지스트 패턴을 포함하는 것을 특징으로 하는 포토레지스트 제거 방법.The method of claim 1, wherein the photoresist comprises a photoresist pattern used as an ion implantation mask and a photoresist pattern used as an etching mask. 제1항에 있어서, 상기 외부층은 상기 이온주입 공정에 의해 변질된 탄화 포토레지스트층이고, 상기 내부층은 이온 주입공정에 의해 변질되지 않은 포토레지스트층인 것을 특징으로 하는 포토레지스트 제거방법.The method of claim 1, wherein the outer layer is a carbonized photoresist layer deteriorated by the ion implantation process, and the inner layer is a photoresist layer not deteriorated by the ion implantation process. 제1항에 있어서, 상기 포토레지스트는 1×1011 내지 1×1017atoms/㎠ 도우즈로 불순물을 기판의 표면 아래로 이온 주입하는 공정에서 노출된 것을 특징으로 하는 포토레지스트 제거방법.The method of claim 1, wherein the photoresist is exposed in a step of ion implanting impurities down the surface of the substrate with 1 × 10 11 to 1 × 10 17 atoms / cm 2 dose. 제1항에 있어서, 상기 포토레지스트 전 처리는 50 내지 118℃의 온도 및 80 내지 190 기압의 압력조건 하에서 수행되는 것을 특징으로 하는 포토레지스트 제거방법.The method of claim 1, wherein the photoresist pretreatment is performed under a temperature of 50 to 118 ° C. and a pressure of 80 to 190 atmospheres. 제1항에 있어서, 상기 포토레지스트의 내부층은 황산(H2SO4) 또는 과산화수소수(H2O2)를 포함하는 세정액을 이용한 습식 세정공정 또는 산소가스(O2), 일산화탄소가스(Co), 수소가스(H2), 질소가스(N2), 탄화불소가스(CF4)로 이루어진 군에서 선택된 적어도 하나의 가스를 이용한 플라즈마 에싱 공정을 수행하여 제거하는 것을 특징으로 하는 포토레지스트 제거방법.The method of claim 1, wherein the inner layer of the photoresist is a wet cleaning process using a cleaning solution containing sulfuric acid (H 2 SO 4 ) or hydrogen peroxide (H 2 O 2 ) or oxygen gas (O 2 ), carbon monoxide gas (Co ), A photoresist removal method comprising removing by performing a plasma ashing process using at least one gas selected from the group consisting of hydrogen gas (H 2 ), nitrogen gas (N 2 ), fluorocarbon gas (CF 4 ). . 포토레지스트 패턴을 이온주입 마스크로 적용하여 상기 포토레지스트 패턴에 노출된 기판의 표면 아래로 불순물을 이온 주입하는 단계;Applying a photoresist pattern as an ion implantation mask to ion implant impurities below the surface of the substrate exposed to the photoresist pattern; 상기 이온주입 공정에 노출된 포토레지스트에 초임계 이산화탄소 초임계 이산화탄소 75 내지 98 중량% 및 유기용매 2 내지 25 중량%를 포함하는 포토레지스트 전 처리액을 제공하여 상기 포토레지스트의 외부층을 제거하는 단계;Removing the outer layer of the photoresist by providing a photoresist pretreatment solution containing 75 to 98 wt% of supercritical carbon dioxide supercritical carbon dioxide and 2 to 25 wt% of an organic solvent in the photoresist exposed to the ion implantation process; ; 상기 외부층이 제거된 나머지 포토레지스트의 내부층을 기판으로부터 제거하 는 단계; 및 Removing the inner layer of the remaining photoresist from which the outer layer has been removed from the substrate; And 상기 기판 상에 게이트 구조물을 형성하는 단계를 포함하는 반도체 소자의 제조방법.Forming a gate structure on the substrate.
KR1020070060234A 2007-06-20 2007-06-20 Method of removing photoresist and method of manufacturing a semiconductor device KR20080111819A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070060234A KR20080111819A (en) 2007-06-20 2007-06-20 Method of removing photoresist and method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070060234A KR20080111819A (en) 2007-06-20 2007-06-20 Method of removing photoresist and method of manufacturing a semiconductor device

Publications (1)

Publication Number Publication Date
KR20080111819A true KR20080111819A (en) 2008-12-24

Family

ID=40369885

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070060234A KR20080111819A (en) 2007-06-20 2007-06-20 Method of removing photoresist and method of manufacturing a semiconductor device

Country Status (1)

Country Link
KR (1) KR20080111819A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101158287B1 (en) * 2009-02-17 2012-06-19 세메스 주식회사 Photo resist removing method and apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101158287B1 (en) * 2009-02-17 2012-06-19 세메스 주식회사 Photo resist removing method and apparatus

Similar Documents

Publication Publication Date Title
US8222149B2 (en) Method for photoresist pattern removal
JP5592083B2 (en) Substrate processing method and semiconductor device manufacturing method using the same
JP2013513946A (en) High dose implant strip with very low silicon loss
US7947605B2 (en) Post ion implant photoresist strip using a pattern fill and method
US7253114B2 (en) Self-aligned method for defining a semiconductor gate oxide in high voltage device area
KR100811267B1 (en) Method of fabricating the dual gate in semiconductor device
KR100807234B1 (en) Method of removing photoresist and method of manufacturing a semiconductor device
US6927111B2 (en) Method for fabricating semiconductor device
KR100616498B1 (en) Fabricating method of semiconductor device with poly/tungsten gate electrode
JP2004363502A (en) Manufacturing method of semiconductor device
US7727871B2 (en) Manufacturing method of semiconductor device using etching solution
JP4699719B2 (en) Method for selectively removing High-k material
KR20080111819A (en) Method of removing photoresist and method of manufacturing a semiconductor device
KR100725711B1 (en) Manufacturing method of semiconductor device with wet ashing process
JP4232222B2 (en) Manufacturing method of semiconductor device
KR101092317B1 (en) Method of manufacturing semiconductor device
KR20100070557A (en) Method of manufacturing a semiconductor device
CN103592827B (en) The method removing the photoresist layer after high dose ion is injected
CN107799417B (en) Method for manufacturing transistor
KR100869844B1 (en) Method of fabricating the dual gate in semiconductor device
KR101860211B1 (en) Composition for removing photoresist and method for removal of photoresist using the same
KR20000043917A (en) Fabrication method of flash memory device
US9685330B1 (en) Manufacturing method of semiconductor device
KR100688778B1 (en) Method for manufacturing semiconductor device
US20110223768A1 (en) Method for Forming Contact Opening

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination