KR20080096787A - Film forming method of amorphous carbon film and manufacturing method of semiconductor device using the same - Google Patents

Film forming method of amorphous carbon film and manufacturing method of semiconductor device using the same Download PDF

Info

Publication number
KR20080096787A
KR20080096787A KR1020087020527A KR20087020527A KR20080096787A KR 20080096787 A KR20080096787 A KR 20080096787A KR 1020087020527 A KR1020087020527 A KR 1020087020527A KR 20087020527 A KR20087020527 A KR 20087020527A KR 20080096787 A KR20080096787 A KR 20080096787A
Authority
KR
South Korea
Prior art keywords
film
amorphous carbon
forming
gas
etching
Prior art date
Application number
KR1020087020527A
Other languages
Korean (ko)
Other versions
KR100979716B1 (en
Inventor
토시히사 노자와
히라쿠 이시카와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080096787A publication Critical patent/KR20080096787A/en
Application granted granted Critical
Publication of KR100979716B1 publication Critical patent/KR100979716B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers

Abstract

Disclosed is a method for forming an amorphous carbon film, which is characterized by comprising a step for placing a substrate in a process chamber, a step for supplying a process gas containing carbon, hydrogen and oxygen into the process chamber, and a step for depositing an amorphous carbon on the substrate by decomposing the process gas by heating the substrate in the process chamber.

Description

비결정 탄소막의 성막 방법 및 이를 이용한 반도체 장치의 제조 방법 { FILM FORMING METHOD OF AMORPHOUS CARBON FILM AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE USING THE SAME}FILM FORMING METHOD OF AMORPHOUS CARBON FILM AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE USING THE SAME}

본 발명은, 반도체 장치를 제조할 때 마스크 등으로서 적합한 비결정 탄소막의 성막 방법 및 이를 이용한 반도체 장치의 제조 방법에 관한 것이다.TECHNICAL FIELD This invention relates to the film-forming method of the amorphous carbon film suitable as a mask etc. when manufacturing a semiconductor device, and the manufacturing method of the semiconductor device using the same.

반도체 디바이스의 제조 프로세스에 있어서는, 회로 패턴 형성을 위하여 포토리소그래피 기술을 이용하여 패턴 형성된 레지스트를 마스크로서 이용하여, 플라즈마 에칭이 행해지고 있다. CD가 45 nm인 세대에서는, 미세화에 대응하여 ArF 레지스트가 마스크로서 사용되지만, 플라즈마 내성이 약하다고 하는 문제점이 있다. 이 문제점을 극복하는 기술로서, ArF 레지스트의 아래에 SiO2막과 플라즈마 내성이 있는 레지스트를 적층한 마스크(다층 레지스트)를 이용한 드라이 현상이라고 하는 방법도 채용되고 있다.In the manufacturing process of a semiconductor device, plasma etching is performed using the patterned resist as a mask in order to form a circuit pattern. In the generation where CD is 45 nm, an ArF resist is used as a mask in response to miniaturization, but there is a problem that the plasma resistance is weak. As a technique for overcoming this problem, a method called dry phenomenon using a mask (multilayer resist) in which an SiO 2 film and a plasma resistant resist is laminated under ArF resist is also employed.

여기서, 45 nm 이후의 미세화 세대에서는, ArF 레지스트의 막 두께가 200 nm으로 얇게 되어 있고, 이 두께가 드라이 현상의 기준이 된다. 이 레지스트막 두께로 플라즈마 에칭을 할 수 있는 SiO2의 막 두께와, 또한, 해당 막 두께의 SiO2로 플 라즈마 에칭을 할 수 있는 하층 레지스트의 막 두께를 조사하면, 후자의 막 두께의 한계는 300 nm이다. 이 막 두께의 하층 레지스트로는 피(被)에칭막의 막 두께에 대하여 충분한 플라즈마 내성을 확보할 수 없으며, 고정밀도의 에칭을 달성할 수 없다. 이 때문에, 이러한 하층 레지스트막 대신에, 내(耐)에칭성이 더 높은 막이 요구되고 있다.Here, in the miniaturization generation after 45 nm, the film thickness of an ArF resist becomes thin at 200 nm, and this thickness becomes a reference | standard of a dry phenomenon. And the film thickness of the resist SiO 2 film to a plasma etching to a thickness, and, to SiO 2 in the film thickness of flat Raj irradiated thickness of the lower layer resist to the town etching, the limits of the latter film thickness Is 300 nm. With this film thickness lower layer resist, sufficient plasma resistance cannot be ensured with respect to the film thickness of an etching target film, and high precision etching cannot be achieved. For this reason, instead of such a lower resist film, the film | membrane with higher etching resistance is calculated | required.

그런데, 일본 특허 공개 공보 제2002-12972호 에는, 다층 레지스트에 이용되는 SiO2막 대신에, 또는 반사 방지층으로서 탄화 수소 가스와 불활성 가스를 이용하여, CVD에 의해 퇴적된 비결정 탄소막을 적용하는 기술이 개시되어 있다. 여기서, 이러한 비결정 탄소막을 상기 용도에 적용하는 것이 검토된다.However, Japanese Patent Laid-Open No. 2002-12972 discloses a technique of applying an amorphous carbon film deposited by CVD instead of an SiO 2 film used for a multilayer resist or using hydrocarbon gas and an inert gas as an antireflection layer. Is disclosed. Here, application of such an amorphous carbon film to the above applications is examined.

일본 특허 공개 공보 제2002-12972호 에는, 비결정 탄소막의 성막 온도로서 100 ~ 500 ℃가 기재되어 있다. 그러나, 이러한 온도에서 성막한 비결정 탄소막을 상기 용도에 적용하는 경우에는, 에칭 내성이 충분하지 않다는 것이 판명되었다. 그리고, 일본 특허 공개 공보 제2002-12972호의 기술에 기초하여, 상기 용도로 충분한 내에칭성을 갖는 비결정 탄소막을 얻기 위해서는 600℃에 가까운 고온이 필요하다는 것이 판명되었다. 그러나 이러한 고온은, Cu 배선을 갖는 백엔드 프로세스에는 적용할 수 없다.In Japanese Patent Laid-Open No. 2002-12972, 100 to 500 ° C is described as a film formation temperature of an amorphous carbon film. However, when the amorphous carbon film formed at such a temperature is applied to the above application, it has been found that the etching resistance is not sufficient. And based on the technique of Unexamined-Japanese-Patent No. 2002-12972, it turned out that high temperature near 600 degreeC is required in order to obtain the amorphous carbon film which has sufficient etching resistance for the said use. However, such high temperatures are not applicable to back-end processes with Cu wiring.

본 발명은 이상과 같은 문제점에 착안하여, 이를 효과적으로 해결하기 위하여 창안된 것이다. 본 발명의 목적은, 내(耐)플라즈마성이 높고, 저온 성막이 가능한 비결정 탄소막의 성막 방법 및 이러한 비결정 탄소막의 성막 방법을 적용한 반도체 장치의 제조 방법을 제공하는 것을 목적으로 한다.The present invention has been devised to solve the above problems and to effectively solve the above problems. An object of the present invention is to provide a method for forming an amorphous carbon film having high plasma resistance and capable of low temperature film formation, and a method for manufacturing a semiconductor device to which the method for forming an amorphous carbon film is applied.

본 발명은, 처리 용기 내에 기판을 배치하는 공정과, 상기 처리 용기 내에 탄소와 수소와 산소를 포함하는 처리 가스를 공급하는 공정과, 상기 처리 용기 내의 기판을 가열함으로써 상기 처리 가스를 분해하고, 해당 기판 상에 비결정 탄소막을 퇴적하는 공정을 구비한 것을 특징으로 하는 비결정 탄소막의 성막 방법이다.The present invention includes a step of disposing a substrate in a processing container, a step of supplying a processing gas containing carbon, hydrogen and oxygen into the processing container, and heating the substrate in the processing container to decompose the processing gas. A method for depositing an amorphous carbon film, comprising depositing an amorphous carbon film on a substrate.

본 발명에 의하면, 탄소 및 수소 외에도 산소를 함유한 처리 가스가 이용되므로, 성막 시의 반응성이 높고, 비교적 저온이어도 강고한 카본 네트워크를 형성할 수 있으며, 내에칭성이 높은 비결정 탄소막을 성막할 수 있다. 또한, 이 방법에 의하여 성막된 비결정 탄소막을 에칭 마스크로서 이용하여 에칭 대상막을 에칭함으로써, 하지(下地)에 대하여 높은 선택비로 양호한 에칭 형상을 얻을 수 있다. 특히, 종래의 다층 레지스트의 하층 레지스트막 대신에, 본 발명의 방법으로 형성된 비결정 탄소막을 이용함으로써, 에칭 대상막을 보다 양호하게 에칭할 수 있고, 반도체 장치의 제조에 의하여 큰 이점을 제공할 수 있다.According to the present invention, since a processing gas containing oxygen in addition to carbon and hydrogen is used, it is possible to form a carbon network having high reactivity during film formation and a strong carbon network even at a relatively low temperature, and forming a amorphous carbon film having high etching resistance. have. In addition, by etching the film to be etched using the amorphous carbon film formed by this method as an etching mask, a good etching shape can be obtained at a high selectivity with respect to the base. In particular, by using the amorphous carbon film formed by the method of the present invention instead of the lower layer resist film of the conventional multilayer resist, the etching target film can be etched better, and a great advantage can be provided by the manufacture of the semiconductor device.

처리 가스 중의 C와 O의 원자 수 비 C:O는 3:1 ~ 5:1인 것이 바람직하다. 또한, 처리 가스 중의 C와 H의 원자 수 비 C:H는 1:1 ~ 1:2인 것이 바람직하다.It is preferable that the atomic number ratio C: O of C and O in a process gas is 3: 1-5: 1. Moreover, it is preferable that the atomic number ratio C: H of C and H in a process gas is 1: 1: 1: 1.

또한, 탄소와 수소와 산소를 포함하는 상기 처리 가스는, 탄화 수소 가스와 산소 함유 가스와의 혼합 가스를 포함하는 것이 바람직하다. 이 경우, 예를 들면, 상기 탄화 수소 가스는 C2H2, C4H6 및 C6H6 중의 적어도 1 종이다.Moreover, it is preferable that the said process gas containing carbon, hydrogen, and oxygen contains the mixed gas of hydrocarbon gas and oxygen containing gas. In this case, for example, the hydrocarbon gas is at least one of C 2 H 2 , C 4 H 6, and C 6 H 6 .

또는, 탄소와 수소와 산소를 포함하는 상기 처리 가스는, 분자 내에 탄소와 수소와 산소를 갖는 가스를 포함하는 것이 바람직하다. 이 경우, 예를 들면, 분자 내에 탄소와 수소와 산소를 갖는 상기 가스는 C4H4O 및 C4H8O 중의 적어도 1 종이다.Or, it is preferable that the said process gas containing carbon, hydrogen, and oxygen contains the gas which has carbon, hydrogen, and oxygen in a molecule | numerator. In this case, for example, the gas having carbon, hydrogen and oxygen in the molecule is at least one of C 4 H 4 O and C 4 H 8 O.

또한, 기판 상에 비결정 탄소막을 퇴적하는 공정에 있어서, 기판의 온도가 400 ℃이하인 것이 바람직하다.In the step of depositing an amorphous carbon film on the substrate, the temperature of the substrate is preferably 400 ° C. or less.

또한, 기판 상에 비결정 탄소막을 퇴적하는 공정에 있어서, 상기 처리 가스가 플라즈마화되는 것이 바람직하다.In addition, in the step of depositing an amorphous carbon film on the substrate, it is preferable that the processing gas is converted into plasma.

또한, 본 발명은, 기판 상에 에칭 대상막을 형성하는 공정과, 상기 에칭 대상막 상에, 상기 중에서 어느 한 특징을 구비한 방법에 따라 비결정 탄소를 성막하는 공정과, 상기 비결정 탄소막에 에칭 패턴을 형성하는 공정과, 상기 비결정 탄소막을 에칭 마스크로서 이용하고, 상기 에칭 대상막을 에칭하여 소정의 구조를 형성하는 공정을 구비한 것을 특징으로 하는 반도체 장치의 제조 방법이다.The present invention also provides a step of forming an etching target film on a substrate, a step of forming amorphous carbon on the etching target film by a method having any of the above features, and an etching pattern on the amorphous carbon film. And forming a predetermined structure by using the amorphous carbon film as an etching mask and etching the etching target film.

또한, 본 발명은, 기판 상에 에칭 대상막을 형성하는 공정과, 상기 에칭 대상막 상에, 상기 중에서 어느 한 특징을 구비한 방법에 따라 비결정 탄소막을 성막하는 공정과, 상기 비결정 탄소막 상에 Si계 박막을 형성하는 공정과, 상기 Si계 박막 상에 포토레지스트막을 형성하는 공정과, 상기 포토레지스트막을 패터닝하는 공정과, 상기 포토레지스트막을 에칭 마스크로서 이용하여 상기 Si계 박막을 에칭하는 공정과, 상기 Si계 박막을 마스크로서 이용하여 상기 비결정 탄소막을 에칭하여 상기 포토레지스트막의 패턴을 전사(轉寫)하는 공정과, 상기 비결정 탄소막을 마스크로서 이용하여 상기 에칭 대상막을 에칭하는 공정을 구비한 것을 특징으로 하는 반도체 장치의 제조 방법이다.Moreover, this invention is the process of forming an etching target film on a board | substrate, the process of forming an amorphous carbon film on the said etching target film by the method provided with any one of the above characteristics, and the Si type on the said amorphous carbon film. Forming a thin film, forming a photoresist film on the Si-based thin film, patterning the photoresist film, etching the Si-based thin film using the photoresist film as an etching mask, and Etching the amorphous carbon film using a Si-based thin film as a mask to transfer the pattern of the photoresist film; and etching the etching target film using the amorphous carbon film as a mask. It is a manufacturing method of the semiconductor device.

또한, 본 발명은, 컴퓨터에 제어 프로그램을 실행시키는 소프트웨어가 기억된 컴퓨터 판독 가능한 기억 매체로서, 상기 제어 프로그램은, 실행 시에 상기 중에서 어느 한 특징을 구비한 방법이 행해지도록, 성막 장치를 제어시키도록 되어 있는 것을 특징으로 하는 컴퓨터 판독 가능한 기억 매체이다.In addition, the present invention is a computer-readable storage medium in which software for causing a computer to execute a control program is stored. The control program controls the film forming apparatus so that a method having any one of the above features is executed at the time of execution. And a computer readable storage medium.

도 1은 본 발명의 일 실시예에 따른 비결정 탄소막의 성막 방법에 적용할 수 있는 성막 장치의 일례를 도시한 개략 단면도이다.1 is a schematic cross-sectional view showing an example of a film forming apparatus applicable to the method for forming an amorphous carbon film according to an embodiment of the present invention.

도 2는 본 발명의 일 실시예에 따른 비결정 탄소막의 제조 방법에 의하여 얻어진 비결정 탄소막을 이용한 반도체 장치를 제조하기 위한 구조체를 도시한 단면도이다.2 is a cross-sectional view showing a structure for manufacturing a semiconductor device using an amorphous carbon film obtained by the method for producing an amorphous carbon film according to an embodiment of the present invention.

도 3은 도 2의 구조체에서, 패터닝된 ArF 레지스트를 마스크로서 이용하고, 그 아래의 SiO2막을 에칭한 상태를 도시한 단면도이다.FIG. 3 is a cross-sectional view illustrating a state in which the SiO 2 film below is etched using the patterned ArF resist as a mask in the structure of FIG. 2.

도 4는 도 3의 구조체에서, 패터닝된 SiO2막을 마스크로서 이용하고, 그 아래의 비결정 탄소막을 에칭한 상태를 도시한 단면도이다.4 is a cross-sectional view showing a state in which the patterned SiO 2 film is used as a mask and the amorphous carbon film below is etched in the structure of FIG. 3.

도 5는 도 4의 구조체에서, 패터닝된 비결정 탄소막을 마스크로서 이용하고, 하지의 에칭 대상막을 에칭한 상태를 도시한 단면도이다.FIG. 5 is a cross-sectional view showing a state in which the underlying etching target film is etched using the patterned amorphous carbon film as a mask in the structure of FIG. 4.

도 6은 실시예에서 얻어진 비결정 탄소막의 전자(電子) 회절상(回折像)을 나 타낸 도면이다.FIG. 6 is a diagram showing an electron diffraction image of the amorphous carbon film obtained in the example. FIG.

이하, 첨부 도면을 참조하면서, 본 발명의 실시예에 대하여 설명한다. EMBODIMENT OF THE INVENTION Hereinafter, the Example of this invention is described, referring an accompanying drawing.

도 1은, 본 발명의 일 실시예에 따른 비결정 탄소막의 성막 방법에 적용할 수 있는 성막 장치의 일례를 도시한 개략 단면도이다. 이 성막 장치(100)는 대략 원통 형상의 챔버(1)를 가지고 있다.1 is a schematic cross-sectional view showing an example of a film forming apparatus applicable to the method for forming an amorphous carbon film according to an embodiment of the present invention. This film forming apparatus 100 has a substantially cylindrical chamber 1.

챔버(1)의 내부에는 피처리체인 웨이퍼(W)를 수평으로 지지하기 위한 서셉터(2)가 배치되어 있다. 서셉터(2)는 그 중앙 하부에 설치된 원통 형상의 지지 부재(3)에 의하여 지지되어져 있다. 서셉터(2)의 외연부에는 웨이퍼(W)를 가이드하기 위한 가이드 링(4)이 설치되어 있다. 또한, 서셉터(2)에는 히터(5)가 매립되어 있다. 이 히터(5)는 히터 전원(6)으로부터 급전됨으로써, 피처리 기판인 웨이퍼(W)를 소정의 온도로 가열하도록 되어 있다. 서셉터(2)에는 또한 열전대(7)가 매설되어 있다. 열전대(7)의 검출 신호에 의하여 히터(5)의 출력이 제어되도록 되어 있다. 서셉터(2)의 표면 근방에는 전극(8)이 매설되어 있고, 해당 전극(8)은 접지되어 있다. 또한, 서셉터(2)에는 웨이퍼(W)를 지지하여 승강시키기 위한 3 개의 웨이퍼 지지 핀(도시하지 않음)이 서셉터(2)의 표면에 대하여 돌출 및 함몰 가능하게 설치되어 있다.The susceptor 2 for horizontally supporting the wafer W which is a to-be-processed object is arrange | positioned inside the chamber 1. The susceptor 2 is supported by the cylindrical support member 3 provided in the lower part of the center. At the outer edge of the susceptor 2, a guide ring 4 for guiding the wafer W is provided. In addition, the heater 5 is embedded in the susceptor 2. The heater 5 is fed from the heater power supply 6 so as to heat the wafer W, which is the substrate to be processed, to a predetermined temperature. The susceptor 2 is further embedded with a thermocouple 7. The output of the heater 5 is controlled by the detection signal of the thermocouple 7. An electrode 8 is embedded in the vicinity of the surface of the susceptor 2, and the electrode 8 is grounded. In addition, three wafer support pins (not shown) for supporting and lifting the wafer W are provided in the susceptor 2 so as to protrude and dent against the surface of the susceptor 2.

챔버(1)의 천장 벽(1a)에는 절연 부재(9)를 개재하여 샤워 헤드(10)가 설치되어 있다. 이 샤워 헤드(10)는 원통 형상을 이루고 있으며, 내부에 가스 확산 공간(20)을 가지고, 상면에 처리 가스를 도입하기 위한 가스 도입구(11)를 가지고, 하면에 다수의 가스 토출구(12)를 가지고 있다. 샤워 헤드(10)의 가스 도입구(11)에는 가스 배관(13)을 거쳐 비결정 탄소막을 형성하기 위한 처리 가스를 공급하는 가스 공급 기구(14)가 접속되어 있다.The shower head 10 is provided in the ceiling wall 1a of the chamber 1 via the insulating member 9. The shower head 10 has a cylindrical shape, has a gas diffusion space 20 therein, a gas inlet 11 for introducing a processing gas on the upper surface thereof, and a plurality of gas outlets 12 on the lower surface thereof. Have The gas inlet 11 of the shower head 10 is connected to the gas supply mechanism 14 which supplies the process gas for forming an amorphous carbon film through the gas piping 13.

샤워 헤드(10)에는 정합기(整合器)(15)를 거쳐 고주파 전원(16)이 접속되어 있다. 이에 의해, 고주파 전원(16)으로부터 샤워 헤드(10)로 고주파 전력이 공급되도록 되어 있다. 고주파 전원(16)으로부터 고주파 전력을 공급함으로써, 샤워 헤드(10)를 거쳐 챔버(1) 내로 공급된 가스가 플라즈마화될 수 있다.The high frequency power source 16 is connected to the shower head 10 via a matching device 15. As a result, high frequency power is supplied from the high frequency power supply 16 to the shower head 10. By supplying the high frequency power from the high frequency power source 16, the gas supplied into the chamber 1 via the shower head 10 can be converted into plasma.

챔버(1)의 저벽(底壁)(1b)에는 배기관(17)이 접속되어 있다. 이 배기관(17)에는 진공 펌프를 포함한 배기 장치(18)가 접속되어 있다. 그리고, 배기 장치(18)를 작동시킴으로써, 챔버(1) 내를 소정의 진공도까지 감압할 수 있도록 되어 있다. 챔버(1)의 측벽에는 웨이퍼(W)의 반입출을 행하기 위한 반입출구(21)와, 해당 반입출구(21)를 개폐하는 게이트 밸브(22)가 설치되어 있다.The exhaust pipe 17 is connected to the bottom wall 1b of the chamber 1. The exhaust pipe 17 is connected to an exhaust device 18 including a vacuum pump. The exhaust device 18 is operated to reduce the pressure in the chamber 1 to a predetermined degree of vacuum. A sidewall of the chamber 1 is provided with a carry-in and out port 21 for carrying in and out of the wafer W, and a gate valve 22 for opening and closing the carry-in and out ports 21.

성막 장치(100)의 구성부, 예를 들면, 히터 전원(6), 가스 공급 기구(14), 고주파 전원(16), 배기 장치(18) 등은, CPU 및 그 주변 회로를 포함하는 프로세스 콘트롤러(30)에 접속되어 있다. 그리고, 성막 장치(100)의 구성부는 프로세스 콘트롤러(30)로 제어되도록 되어 있다.The component part of the film-forming apparatus 100, for example, the heater power supply 6, the gas supply mechanism 14, the high frequency power supply 16, the exhaust apparatus 18, etc., is a process controller containing a CPU and its peripheral circuits. It is connected to (30). And the component part of the film-forming apparatus 100 is controlled by the process controller 30. FIG.

또한, 프로세스 콘트롤러(30)에는, 공정 관리자가 성막 장치(100)를 관리하기 위하여 커맨드의 입력 조작 등을 행하는 키보드 또는 성막 장치(100)의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 이루어진 유저 인터페이스(31)가 접속되어 있다. 또한, 프로세스 콘트롤러(30)에는, 성막 장치(100)로 실행되는 각종 처리를 프로세스 콘트롤러(30)의 제어로 실현하기 위한 제어 프로그램 또는 처리 조건에 따라 성막 장치(100)의 각 구성부에 처리를 실행시키기 위한 프로그램, 즉, 레시피가 저장된 기억부(32)가 접속되어 있다.In addition, the process controller 30 includes a user interface including a keyboard for performing a command input operation or the like for the process manager to manage the film forming apparatus 100 or a display for visualizing and displaying the operation status of the film forming apparatus 100 ( 31) is connected. In addition, the process controller 30 performs processing to each component of the film forming apparatus 100 according to a control program or processing conditions for realizing various processes executed by the film forming apparatus 100 under the control of the process controller 30. A program for executing, that is, a storage unit 32 in which a recipe is stored, is connected.

레시피는, 하드 디스크 또는 반도체 메모리에 기억되어 있어도 좋고, CDROM, DVD 등의 가반성(可搬性)의 기억 매체에 수용된 상태에서, 기억부(32)의 소정 위치에 세팅되도록 되어 있어도 좋다. 또한, 그 밖의 장치로부터, 예를 들면, 전용 회선을 거쳐 레시피가 적절히 전송되도록 해도 좋다. 그리고, 필요에 따라서 유저 인터페이스(31)로부터의 지시 등에 의하여, 임의의 레시피가 기억부(32)로부터 호출되어 프로세스 콘트롤러(30)에 실행됨으로써, 프로세스 콘트롤러(30)의 제어 하에서 성막 장치(100)에서의 원하는 처리가 행해진다.The recipe may be stored in a hard disk or a semiconductor memory, or may be set in a predetermined position of the storage unit 32 in a state of being accommodated in a portable storage medium such as a CDROM or a DVD. In addition, the recipe may be appropriately transmitted from another device via, for example, a dedicated line. Then, if necessary, an arbitrary recipe is called from the storage unit 32 and executed by the process controller 30 by an instruction from the user interface 31 or the like, whereby the film forming apparatus 100 is under the control of the process controller 30. The desired processing in is performed.

이어서, 이상과 같이 구성된 성막 장치(100)를 이용하여 실시되는 비결정 탄소막의 성막 방법의 일 실시예에 대하여 설명한다.Next, one Example of the film-forming method of the amorphous carbon film performed using the film-forming apparatus 100 comprised as mentioned above is demonstrated.

우선, 웨이퍼(W)가 챔버(1) 내로 반입되어 서셉터(2) 상에 재치된다. 그리고, 가스 공급 기구(14)로부터 가스 배관(13) 및 샤워 헤드(10)를 거쳐 플라즈마 생성 가스로서, 예를 들면 Ar 가스가 공급되면서, 배기 장치(18)에 의해 챔버(1) 내가 배기되고, 챔버(1) 내가 소정의 감압 상태로 유지된다. 또한, 히터(5)에 의하여 서셉터(2)가 400℃ 이하의 소정 온도로 가열된다. 그리고, 고주파 전원(16)으로부터 샤워 헤드(10)로 고주파 전력이 인가됨으로써, 샤워 헤드(10)와 전극(8)의 사이에 고주파 전계가 발생되고, 플라즈마 생성 가스가 플라즈마화된다.First, the wafer W is loaded into the chamber 1 and placed on the susceptor 2. Then, the Ar gas is supplied from the gas supply mechanism 14 via the gas pipe 13 and the shower head 10 as a plasma generating gas, for example, while the inside of the chamber 1 is exhausted by the exhaust device 18. In the chamber 1, the inside of the chamber 1 is maintained at a predetermined depressurization state. Moreover, the susceptor 2 is heated by the heater 5 to predetermined temperature of 400 degrees C or less. Then, by applying the high frequency power from the high frequency power supply 16 to the shower head 10, a high frequency electric field is generated between the shower head 10 and the electrode 8, and the plasma generation gas is made into plasma.

이 상태에서, 가스 공급 기구(14)로부터 비결정 탄소막을 성막하기 위한 탄 소, 수소 및 산소를 포함하는 처리 가스가, 가스 배관(13) 및 샤워 헤드(10)를 거쳐 챔버(1) 내로 도입된다.In this state, the processing gas containing carbon, hydrogen, and oxygen for forming the amorphous carbon film from the gas supply mechanism 14 is introduced into the chamber 1 via the gas pipe 13 and the shower head 10. .

이에 의하여, 해당 처리 가스는, 챔버(1) 내에 형성되어 있던 플라즈마에 의하여 여기되고, 또한, 웨이퍼(W) 상에서 가열됨으로써 분해된다. 그리고, 웨이퍼(W)의 표면에 강고한 네트워크 구조를 갖는 비결정 탄소막이 퇴적된다.Thereby, this process gas is excited by the plasma formed in the chamber 1, and also decomposes | disassembles by heating on the wafer W. As shown in FIG. Then, an amorphous carbon film having a strong network structure is deposited on the surface of the wafer W. As shown in FIG.

상기 특허 문헌(일본 특허 공개 공보 제2002-12972호)에 기재된 기술에서는, 비결정 탄소막 형성용의 처리 가스로서, 탄화 수소 가스와 불활성 가스를 이용하고 있다. 그러나, 본건 발명자가 얻은 지식과 견문에 의하면, 이러한 조건에서는, 카본의 네트워크화의 진행이 늦고, 400℃ 이하의 저온에서는 구조적으로 약한 부분이 많이 잔존하여, 결과적으로 내에칭성이 낮은 막이 된다. 여기서, 성막 온도를 상승시키면 어느 정도 구조를 강화할 수 있어, 에칭 내성을 향상시킬 수 있으나, 이것으로는 백엔드 프로세스로의 적용이 곤란해진다. In the technique described in the patent document (Japanese Patent Laid-Open No. 2002-12972), a hydrocarbon gas and an inert gas are used as the processing gas for forming an amorphous carbon film. However, according to the knowledge and opinion obtained by the inventors, under these conditions, the progress of networking of carbon is slow, and at a low temperature of 400 ° C or lower, many structurally weak portions remain, resulting in a film having low etching resistance. In this case, if the film formation temperature is increased, the structure can be strengthened to some extent and the etching resistance can be improved, but this makes it difficult to apply to the backend process.

이에 대해, 본 실시예에서는, 탄화 수소 가스를 구성하는 탄소와 수소 이외에 산소가 도입되어 있다. 이에 의해, 반응성이 현저하게 향상되어, 400℃ 이하의 낮은 온도에서도 막이 약한 구조 부분이 잔존하는 일 없이, 강고한 카본 네트워크를 갖는 비결정 탄소막을 얻을 수 있다.In contrast, in the present embodiment, oxygen is introduced in addition to carbon and hydrogen constituting the hydrocarbon gas. Thereby, reactivity is remarkably improved, and the amorphous carbon film | membrane which has a strong carbon network can be obtained, without the structure part which has a weak film | membrane remaining even at low temperature below 400 degreeC.

탄소, 수소 및 산소를 포함하는 처리 가스로는, 처리 가스 중의 C와 O의 원자 수 비 C:O가 3:1 ~ 5:1인 것이 바람직하다. 이 범위라면, 반응성을 적합하게 제어할 수가 있어서, 보다 바람직한 막을 얻을 수 있다.As a process gas containing carbon, hydrogen, and oxygen, it is preferable that the atomic number ratio C: O of C and O in process gas is 3: 1-5: 1. If it is this range, reactivity can be suitably controlled and a more preferable film | membrane can be obtained.

또한, 처리 가스 중의 C와 H의 원자 수 비 C:H가 1:1 ~ 1:2인 것이 바람 직하다. 이보다 C가 적은 가스는 실용적인 화합물로서는 존재하지 않는다. 한편, 이 범위보다 H가 많으면 강고한 카본 네트워크를 얻기 어려워진다.Moreover, it is preferable that the atomic number ratio C: H of C and H in a process gas is 1: 1: 1: 1. Gas with less C than this does not exist as a practical compound. On the other hand, when there is more H than this range, it will become difficult to obtain a strong carbon network.

탄소와 수소와 산소를 포함하는 처리 가스로서는, 전형적으로는, 탄화 수소 가스와 산소 함유 가스와의 혼합 가스를 들 수 있다. 이 경우에, 탄화 수소 가스로서는, C2H2(아세틸렌), C4H6(부틴(1-부틴, 2-부틴 모두 포함함)), C6H6(벤젠)을 적합하게 들 수 있고, 이들을 단독으로 또는 이들을 복합적으로 이용할 수 있다. 또한, 산소 함유 가스로서는, O2가스를 적합하게 이용할 수 있다. 그 밖의 산소 함유 가스로서는, CH3-O-CH3(디메틸에테르) 등의 에테르 화합물을 이용하는 것도 가능하다.As a process gas containing carbon, hydrogen, and oxygen, the mixed gas of hydrocarbon gas and oxygen containing gas is mentioned typically. In this case, examples of the hydrocarbon gas include C 2 H 2 (acetylene), C 4 H 6 (butene (including both butane and 2-butyne)) and C 6 H 6 (benzene). These may be used alone or in combination thereof. Examples of the oxygen-containing gas, may be suitably used an O 2 gas. Examples of other oxygen-containing gas, it is also possible to use an ether compound such as CH 3 -O-CH 3 (dimethyl ether).

탄소와 수소와 산소를 포함하는 처리 가스의 다른 예로는, 분자 내에 탄소와 수소와 산소를 갖는 가스를 포함하는 가스를 들 수 있다. 이러한 가스로서는, C4H4O(프란), C4H8O(테트라하이드로프란)를 적합하게 들 수 있고, 이들 단독으로 또는 이들을 복합적으로 이용할 수 있다.As another example of the process gas containing carbon, hydrogen, and oxygen, the gas containing the gas which has carbon, hydrogen, and oxygen in a molecule | numerator is mentioned. Examples of such a gas, C 4 H 4 O (Francis), C 4 H 8 O may be mentioned as suitable for the (tetrahydro Francisco), these may be used alone or in a combination thereof.

처리 가스로서는, 탄소와 수소와 산소를 포함하는 가스 외에, Ar 가스 등의 불활성 가스가 포함되어 있어도 좋다. 300 mm 웨이퍼가 이용되는 경우에는, Ar 가스의 유량은 탄소와 수소와 산소를 포함하는 가스에 대해 20 ~ 100% 정도가 바람직하다. 또한, 탄소와 수소와 산소를 포함하는 가스와 불활성 가스와의 유량은 가스 종류에 따라 다르기도 하지만, 250 ~ 350 mL / mim (sccm) 정도가 바람직하다. 또한, 성막 시의 챔버 내 압력은 6.65 Pa (50 mTorr) 이하가 바람직하다.In addition to the gas containing carbon, hydrogen, and oxygen, an inert gas, such as Ar gas, may be contained as a process gas. When a 300 mm wafer is used, the flow rate of Ar gas is preferably about 20 to 100% of the gas containing carbon, hydrogen, and oxygen. In addition, although the flow rate of a gas containing carbon, hydrogen, and oxygen and an inert gas varies depending on the type of gas, about 250 to 350 mL / mim (sccm) is preferable. In addition, the pressure in the chamber during film formation is preferably 6.65 Pa (50 mTorr) or less.

비결정 탄소막을 성막할 때의 웨이퍼 온도(성막 온도)는 400℃ 이하가 바람 직하고, 100 ~ 300℃이 더 바람직하다. 가장 바람직한 것은 200℃ 근방이다. 상술한 바와 같이 400℃ 이하이면, Cu 배선을 포함하는 백엔드 프로세스에 적용할 수 있다. 본 실시예에 의하면, 이러한 비교적 낮은 온도에서도, 다층 레지스트의 최하층에 요구되는 높은 에칭 내성을 갖는 비결정 탄소막을 얻을 수 있다.400 degreeC or less is preferable and, as for the wafer temperature (film-forming temperature) at the time of forming an amorphous carbon film, 100-300 degreeC is more preferable. Most preferred is around 200 ° C. If it is 400 degrees C or less as mentioned above, it can apply to the backend process containing Cu wiring. According to this embodiment, even at such a relatively low temperature, an amorphous carbon film having high etching resistance required for the lowest layer of the multilayer resist can be obtained.

샤워 헤드(10)에 인가되는 고주파 전력의 주파수 및 파워는, 필요한 반응성에 따라 적절히 설정하면 좋다. 이와 같이, 고주파 전력을 인가함으로써, 챔버(1) 내에 고주파 전계가 형성되어 처리 가스를 플라즈마화할 수 있고, 플라즈마 CVD에 의한 비결정 탄소막의 성막을 실현할 수 있다. 플라즈마화된 가스는 반응성이 높으므로, 성막 온도를 보다 저하시킬 수 있다. 또한, 플라즈마원으로서는, 이러한 고주파 전력에 의한 용량 결합형인 것에 한정되지 않고, 유도 결합형의 플라즈마원이어도 좋고, 마이크로파를 도파관 및 안테나를 거쳐 챔버(1) 내에 도입하여 플라즈마를 형성하는 것이어도 좋다. 또한, 플라즈마 생성은 필수적이지 않다. 반응성이 충분한 경우에는 열(熱) CVD에 의한 성막이어도 좋다.What is necessary is just to set the frequency and power of the high frequency electric power applied to the shower head 10 suitably according to the reactivity required. In this way, by applying the high frequency power, a high frequency electric field is formed in the chamber 1 to make the processing gas plasma, and the deposition of the amorphous carbon film by plasma CVD can be realized. Since the plasmalized gas has high reactivity, the film forming temperature can be further lowered. The plasma source is not limited to such a capacitively coupled type by high frequency power, and may be an inductively coupled plasma source, or may be formed by introducing microwaves into the chamber 1 via a waveguide and an antenna. In addition, plasma generation is not essential. When sufficient reactivity may be formed by thermal CVD.

이상과 같이 하여 성막된 비결정 탄소막은, 상술한 바와 같이, 강고한 카본 네트워크를 가지며, 내에칭성이 높다. 이 때문에, 다층 레지스트의 최하층으로서 적합하다. 또한, 이상과 같이 성막된 비결정 탄소막은, 250 nm 정도 이하의 파장으로 0.1 ~ 1.0 정도의 광흡수 계수를 갖는 것이므로, 반사 방지막으로서도 적용이 가능하다.As described above, the amorphous carbon film formed as described above has a strong carbon network and has high etching resistance. For this reason, it is suitable as a lowermost layer of a multilayer resist. Further, the amorphous carbon film formed as described above has a light absorption coefficient of about 0.1 to 1.0 at a wavelength of about 250 nm or less, and thus can be applied as an antireflection film.

이어서, 이상과 같이 제조되는 비결정 탄소막을 적용하는 반도체 장치의 제조 방법에 대하여 설명한다.Next, the manufacturing method of the semiconductor device which applies the amorphous carbon film manufactured as mentioned above is demonstrated.

도 2에 도시한 바와 같이, 반도체 웨이퍼(Si 기판)(W) 상에, 에칭 대상막으로서, SiC막(101), SiOC막(Low-k막)(102), SiC막(103), SiO2막(104), SiN막(105)으로 이루어지는 적층막이 성막되고, 그 위에 상술한 방법으로, 비결정 탄소(α-C)막(106)이 성막되었다. 그리고, 그 위에 SiO2막(107), BARC(반사 방지막)(108), ArF 레지스트막(109)이 순차적으로 형성되고, 또한, 그 위에 포토리소그래피에 의하여 ArF 레지스트막(109)이 패터닝되었다. 이상에 의하여 다층의 에칭 마스크가 형성되었다.As shown in FIG. 2, the SiC film 101, the SiOC film (Low-k film) 102, the SiC film 103, and SiO are formed on the semiconductor wafer (Si substrate) W as the etching target film. A laminated film composed of two films 104 and a SiN film 105 was formed, and an amorphous carbon (? -C) film 106 was formed by the above-described method. Then, an SiO 2 film 107, a BARC (antireflection film) 108, and an ArF resist film 109 were formed sequentially, and an ArF resist film 109 was patterned thereon by photolithography. The multilayer etching mask was formed by the above.

이 때, ArF 레지스트막(109)의 두께는 200 nm이하, 예를 들면, 180 nm이며, BARC(108)의 두께는 30 ~ 100 nm, 예를 들면 70 nm이며, SiO2막(107)의 두께는 10 ~ 100 nm, 예를 들면 50 nm이며, 비결정 탄소막(106)의 두께는 100 ~ 800 nm, 예를 들면 280 nm이다. 또한, 에칭 대상막의 막 두께로서는, SiC막 101:30 nm, SiOC막(Low-k막) 102:150 nm, SiC막 103:30 nm, SiO2막 104:150 nm, SiN막 105:70 nm가 예시된다. 또한, SiO2막(107) 대신에, SiOC, SiOH, SiCN, SiCNH 등의 다른 Si계 박막을 이용하는 것도 가능하다.At this time, the thickness of the ArF resist film 109 is 200 nm or less, for example, 180 nm, and the thickness of the BARC 108 is 30-100 nm, for example 70 nm, and the thickness of the SiO 2 film 107 is reduced. The thickness is 10 to 100 nm, for example 50 nm, and the thickness of the amorphous carbon film 106 is 100 to 800 nm, for example 280 nm. As the film thickness of the film to be etched, the SiC film 101: 30 nm, the SiOC film (Low-k film) 102: 150 nm, the SiC film 103: 30 nm, the SiO 2 film 104: 150 nm, and the SiN film 105: 70 nm Is illustrated. Instead of the SiO 2 film 107, it is also possible to use other Si based thin films such as SiOC, SiOH, SiCN, SiCNH.

이 상태에서, 우선, 도 3에 도시한 바와 같이, ArF 레지스트막(109)을 마스크로서 이용하여, BARC(108) 및 SiO2막(107)이 플라즈마 에칭되어, SiO2막(107)에 ArF 레지스트막(109)의 패턴이 전사된다. 이 때, ArF 레지스트막(109)은 내에칭성이 낮으므로, 에칭에 의하여 소실되고 BARC(108)의 일부도 에칭된다.In this state, first, as shown in FIG. 3, using the ArF resist film 109 as a mask, the BARC 108 and the SiO 2 film 107 are plasma etched to form an ArF in the SiO 2 film 107. The pattern of the resist film 109 is transferred. At this time, since the ArF resist film 109 has low etching resistance, the ArF resist film 109 is lost by etching and part of the BARC 108 is also etched.

이어서, 도 4에 도시한 바와 같이, SiO2막(107)을 에칭 마스크로서 이용하여, 비결정 탄소막(106)이 에칭된다. 이에 의해, ArF 레지스트막(109)의 패턴이 비결정 탄소막(106)에 전사된다. 여기서, 상술한 방법에 따라 성막되고 있는 비결정 탄소막(106)은 내에칭성이 높다. 이 때문에, 비결정 탄소막(106)은 양호한 형상성을 가지고 에칭되어, 즉, 비결정 탄소막(106)에 ArF 레지스트막(109)의 패턴이 정확하게 전사된다.Next, as shown in FIG. 4, the amorphous carbon film 106 is etched using the SiO 2 film 107 as an etching mask. As a result, the pattern of the ArF resist film 109 is transferred to the amorphous carbon film 106. Here, the amorphous carbon film 106 formed by the above-described method has high etching resistance. For this reason, the amorphous carbon film 106 is etched with good shape, that is, the pattern of the ArF resist film 109 is accurately transferred to the amorphous carbon film 106.

그 후, 도 5에 도시한 바와 같이, 비결정 탄소막(106)을 에칭 마스크로서 이용하여, SiN막(105), SiO2막(104), SiC막(103), SiOC막(102) 및 SiC막(101)이 플라즈마 에칭에 의하여 순차적으로 에칭된다. 이 때, 상술한 방법으로 성막된 비결정 탄소막(106)은 내에칭성이 높으므로, 하지인 에칭 대상막을 고선택비로 에칭할 수 있다. 즉, 에칭 대상막이 에칭되고 있는 동안, 비결정 탄소막(106)은 충분히 에칭 마스크로서 잔존한다. 이에 의해, 에칭 대상막에서 패턴 변형이 없는 양호한 에칭 형상을 얻을 수 있다.After that, as shown in FIG. 5, the SiN film 105, the SiO 2 film 104, the SiC film 103, the SiOC film 102, and the SiC film are formed by using the amorphous carbon film 106 as an etching mask. 101 is sequentially etched by plasma etching. At this time, since the amorphous carbon film 106 formed by the above-described method has high etching resistance, the underlying etching target film can be etched with a high selectivity. That is, while the etching target film is being etched, the amorphous carbon film 106 sufficiently remains as an etching mask. Thereby, the favorable etching shape without pattern distortion in an etching target film can be obtained.

에칭이 종료된 시점에서는 SiO2막(107)은 이미 소실되어 있다. 또한, 잔존하고 있는 비결정 탄소막(106)은, H2 가스 / N2 가스에 의한 애싱에 의하여 비교적 용이하게 제거할 수 있다.At the end of etching, the SiO 2 film 107 is already lost. In addition, the remaining amorphous carbon film 106 can be relatively easily removed by ashing with H 2 gas / N 2 gas.

이어서, 본 발명의 방법에 따라 성막된 비결정 탄소막에 대하여, 그 물성(物性)과 에칭 내성을 실제로 평가했다.Subsequently, the physical properties and the etching resistance of the amorphous carbon film formed by the method of the present invention were actually evaluated.

여기에서는, 탄소와 수소와 산소를 포함하는 가스로서 C4H4O(프란) 가스가 이용되고, 기판 온도는 200℃가 되고, 플라즈마 CVD에 의하여 웨이퍼 상에 막이 퇴적되었다. 얻어진 막의 중앙부의 전자 회절상은 도 6과 같이 되었다. 도 6에서, 결정성을 나타낸 회절 반점이 보이지 않으므로, 얻어진 막이 비결정 탄소임을 확인할 수 있다.Here, C 4 H 4 O (Fran) gas is used as a gas containing carbon, hydrogen, and oxygen, the substrate temperature is 200 ° C, and a film is deposited on the wafer by plasma CVD. The electron diffraction image of the center part of the obtained film was as shown in FIG. In Fig. 6, since diffraction spots showing crystallinity are not seen, it can be confirmed that the obtained film is amorphous carbon.

이어서, 이와 같이 하여 얻어진 비결정 탄소막의 내에칭성을, 열 산화막(SiO2)의 에칭 내성 및 하층 레지스트로서 이용되고 있는 g 선용(線用)의 포토레지스트막의 에칭 내성과 비교하였다. 에칭 처리는 평행 평판형 플라즈마 에칭 장치에서, 에칭 가스로서 C5F8 가스, Ar 가스, O2 가스를 이용하여 행해졌다.Subsequently, the etching resistance of the amorphous carbon film thus obtained was compared with the etching resistance of the thermal oxide film (SiO 2 ) and the etching resistance of the g line photoresist film used as the lower layer resist. The etching treatment was performed using a C 5 F 8 gas, an Ar gas, and an O 2 gas as an etching gas in a parallel plate plasma etching apparatus.

그 결과, 각 막의 에칭 레이트는, As a result, the etching rate of each film is

SiO2막:336.9 nm / minSiO 2 film : 336.9 nm / min

포토레지스트막:53.3 nm / minPhotoresist Film : 53.3 nm / min

비결정 탄소막:46.4 nm / minAmorphous Carbon Film: 46.4 nm / min

이었다. 즉, 포토레지스트막 및 비결정 탄소막의 SiO2막에 대한 선택비는, 각각 6.3 및 7, 3이라고 할 수 있다. 이 결과로부터, 본 발명의 방법으로 얻어지는 비결정 탄소막이 종래의 포토레지스트막에 대하여 우위임을 확인할 수 있다.It was. That is, the selectivity of the photoresist film and the amorphous carbon film with respect to the SiO 2 film may be 6.3 and 7, 3, respectively. From these results, it can be confirmed that the amorphous carbon film obtained by the method of the present invention is superior to the conventional photoresist film.

또한, 본 발명은, 상기의 실시예에 한정되지 않고, 다양한 변형이 가능하다. 예를 들면, 상기의 실시예에서는, 비결정 탄소막의 처리 가스로서, 탄화 수소 가스 및 산소 함유 가스의 혼합 가스, 또는 분자 중에 탄소와 수소와 산소를 포함하는 가스에 대하여 들고 있으나, 이들에 한정되지 않는다. 또한, 상기의 실시예에서는, 본 발명에 따라 성막되는 비결정 탄소막을 드라이 현상 기술에 있어서의 다층 레지스트의 하층에 적용한 경우에 대하여 설명되어 있으나, 이에 한정되지 않는다. 비결정 탄소막을 통상의 포토레지스트막의 직하에 형성하여, 반사 방지막 기능을 갖는 에칭 마스크로서 이용하는 것도 가능하다. 또한, 비결정 탄소막은 그 밖의 다양한 용도로 이용할 수 있다.In addition, this invention is not limited to said Example, A various deformation | transformation is possible. For example, in the above embodiment, as a processing gas of an amorphous carbon film, a mixed gas of a hydrocarbon gas and an oxygen-containing gas, or a gas containing carbon, hydrogen and oxygen in a molecule is not limited thereto. . In the above embodiment, the case where the amorphous carbon film formed according to the present invention is applied to the lower layer of the multilayer resist in the dry developing technique is described, but the present invention is not limited thereto. It is also possible to form an amorphous carbon film directly under an ordinary photoresist film and to use it as an etching mask having an antireflection film function. In addition, the amorphous carbon film can be used for various other purposes.

또한, 상기의 실시예에서는, 피처리 기판으로서 반도체 웨이퍼가 예시되어 있으나, 이에 한정되지 않는다. 액정 표시 장치(LCD)로 대표되는 플랫 패널 디스플레이(FPD)용의 글라스 기판 등, 그 밖의 기판에도 적용할 수 있다.In the above embodiment, a semiconductor wafer is exemplified as the substrate to be processed, but is not limited thereto. It is applicable also to other board | substrates, such as the glass substrate for flat panel displays (FPD) represented by liquid crystal display device (LCD).

Claims (12)

처리 용기 내에 기판을 배치하는 공정과, Disposing the substrate in the processing container; 상기 처리 용기 내에 탄소와 수소와 산소를 포함하는 처리 가스를 공급하는 공정과, Supplying a processing gas containing carbon, hydrogen, and oxygen into the processing container; 상기 처리 용기 내의 기판을 가열함으로써 상기 처리 가스를 분해하고, 상기 기판 상에 비결정 탄소막을 퇴적하는 공정Heating the substrate in the processing container to decompose the processing gas and deposit an amorphous carbon film on the substrate; 을 구비한 것을 특징으로 하는 비결정 탄소막의 성막 방법.A film forming method of an amorphous carbon film, comprising: 제 1 항에 있어서,The method of claim 1, 처리 가스 중의 C와 O의 원자 수 비 C:O는 3:1 ~ 5:1인 것Atomic number ratio of C and O in the processing gas C: O is 3: 1 to 5: 1 을 특징으로 하는 비결정 탄소막의 성막 방법.A film forming method of an amorphous carbon film, characterized in that. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 처리 가스 중의 C와 H의 원자 수 비 C:H는 1:1 ~ 1:2인 것Atomic number ratio of C and H in the processing gas C: H is from 1: 1 to 1: 2 을 특징으로 하는 비결정 탄소막의 성막 방법.A film forming method of an amorphous carbon film, characterized in that. 제 1 항 내지 제 3 항에 있어서,The method of claim 1, wherein 탄소와 수소와 산소를 포함하는 상기 처리 가스는, 탄화 수소 가스와 산소 함유 가스와의 혼합 가스를 포함하는 The processing gas containing carbon, hydrogen, and oxygen includes a mixed gas of a hydrocarbon gas and an oxygen-containing gas. 것을 특징으로 하는 비결정 탄소막의 성막 방법.A method of forming an amorphous carbon film, characterized by the above-mentioned. 제 4 항에 있어서,The method of claim 4, wherein 상기 탄화 수소 가스는, C2H2O, C4H6 및 C6H6 중의 적어도 1 종인 The hydrocarbon gas is at least one of C 2 H 2 O, C 4 H 6 and C 6 H 6 . 것을 특징으로 하는 비결정 탄소막의 성막 방법.A method of forming an amorphous carbon film, characterized by the above-mentioned. 제 1 항에 있어서,The method of claim 1, 탄소와 수소와 산소를 포함하는 상기 처리 가스는, 분자 내에 탄소와 수소와 산소를 갖는 가스를 포함하는 The process gas containing carbon, hydrogen, and oxygen includes a gas having carbon, hydrogen, and oxygen in the molecule. 것을 특징으로 하는 비결정 탄소막의 성막 방법.A method of forming an amorphous carbon film, characterized by the above-mentioned. 제 6 항에 있어서,The method of claim 6, 분자 내에 탄소와 수소와 산소를 갖는 상기 가스는 C4H4O 및 C4H8O 중의 적어도 1 종인 The gas having carbon, hydrogen and oxygen in the molecule is at least one of C 4 H 4 O and C 4 H 8 O 것을 특징으로 하는 비결정 탄소막의 성막 방법.A method of forming an amorphous carbon film, characterized by the above-mentioned. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 7, 기판 상에 비결정 탄소막을 퇴적하는 공정에 있어서, 기판의 온도가 400 ℃ 이하인 것을 특징으로 하는 비결정 탄소막의 성막 방법.A method of depositing an amorphous carbon film on a substrate, wherein the temperature of the substrate is 400 ° C. or less. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 8, 기판 상에 비결정 탄소막을 퇴적하는 공정에 있어서, 상기 처리 가스가 플라즈마화되는 특징으로 하는 비결정 탄소막의 성막 방법.A process for depositing an amorphous carbon film on a substrate, wherein the processing gas is plasma formed. 기판 상에 에칭 대상막을 형성하는 공정과, Forming an etching target film on the substrate; 상기 에칭 대상막 상에, 청구항 1 내지 9 중 어느 한 방법에 따라 비결정 탄소를 성막하는 공정과, Forming a amorphous carbon on the etching target film according to any one of claims 1 to 9; 상기 비결정 탄소막에 에칭 패턴을 형성하는 공정과, Forming an etching pattern on the amorphous carbon film; 상기 비결정 탄소막을 에칭 마스크로서 이용하고, 상기 에칭 대상막을 에칭하여 소정의 구조를 형성하는 공정A step of forming a predetermined structure by etching the etching target film by using the amorphous carbon film as an etching mask. 을 구비한 것을 특징으로 하는 반도체 장치의 제조 방법.The semiconductor device manufacturing method characterized by the above-mentioned. 기판 상에 에칭 대상막을 형성하는 공정과, Forming an etching target film on the substrate; 상기 에칭 대상막 상에, 청구항 1 내지 9 중 어느 한 방법에 따라 비결정 탄소막을 성막하는 공정과, Forming a amorphous carbon film on the etching target film according to any one of claims 1 to 9; 상기 비결정 탄소막 상에 Si계 박막을 형성하는 공정과, Forming a Si-based thin film on the amorphous carbon film; 상기 Si계 박막 상에 포토레지스트막을 형성하는 공정과, Forming a photoresist film on the Si-based thin film, 상기 포토레지스트막을 패터닝하는 공정과, Patterning the photoresist film; 상기 포토레지스트막을 에칭 마스크로서 이용하여 상기 Si계 박막을 에칭하 는 공정과, Etching the Si-based thin film using the photoresist film as an etching mask; 상기 Si계 박막을 마스크로서 이용하여 상기 비결정 탄소막을 에칭하여 상기 포토레지스트막의 패턴을 전사(轉寫)하는 공정과, Etching the amorphous carbon film using the Si-based thin film as a mask to transfer the pattern of the photoresist film; 상기 비결정 탄소막을 마스크로서 이용하여 상기 에칭 대상막을 에칭하는 공정Etching the etching target film by using the amorphous carbon film as a mask 을 구비한 것을 특징으로 하는 반도체 장치의 제조 방법.The semiconductor device manufacturing method characterized by the above-mentioned. 컴퓨터에 제어 프로그램을 실행시키는 소프트웨어가 기억된 컴퓨터 판독 가능한 기억 매체로서, A computer-readable storage medium storing software for causing a computer to execute a control program, 상기 제어 프로그램은, 실행 시에 청구항 1 내지 9 중 어느 한 방법이 행해지도록, 성막 장치를 제어시키도록 되어 있는 것The control program is configured to control the film forming apparatus so that any one of claims 1 to 9 is executed at the time of execution. 을 특징으로 하는 컴퓨터 판독 가능한 기억 매체.And a computer readable storage medium.
KR1020087020527A 2006-02-24 2007-02-23 Film forming method of amorphous carbon film and manufacturing method of semiconductor device using the same KR100979716B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006048312A JP2007224383A (en) 2006-02-24 2006-02-24 Method for forming amorphous carbon film, method for producing semiconductor device using the same and computer readable storage medium
JPJP-P-2006-00048312 2006-02-24

Publications (2)

Publication Number Publication Date
KR20080096787A true KR20080096787A (en) 2008-11-03
KR100979716B1 KR100979716B1 (en) 2010-09-02

Family

ID=38437467

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087020527A KR100979716B1 (en) 2006-02-24 2007-02-23 Film forming method of amorphous carbon film and manufacturing method of semiconductor device using the same

Country Status (6)

Country Link
US (2) US20090011602A1 (en)
JP (1) JP2007224383A (en)
KR (1) KR100979716B1 (en)
CN (1) CN101390199B (en)
TW (1) TWI463529B (en)
WO (1) WO2007097432A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101300402B1 (en) * 2009-02-20 2013-08-26 인텔 코오퍼레이션 Modulation-doped halo in quantum well field-effect transistors, apparatus made therewith, and methods of using same

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009076661A (en) * 2007-09-20 2009-04-09 Elpida Memory Inc Method for manufacturing semiconductor device
JP5064319B2 (en) * 2008-07-04 2012-10-31 東京エレクトロン株式会社 Plasma etching method, control program, and computer storage medium
JP2010047818A (en) * 2008-08-25 2010-03-04 Toshiba Corp Semiconductor manufacturing equipment and semiconductor manufacturing method
WO2010151337A1 (en) * 2009-06-26 2010-12-29 Tokyo Electron Limited Improving the adhesiveness of fluorocarbon(cfx) film by doping of amorphous carbon
US20110195187A1 (en) * 2010-02-10 2011-08-11 Apple Inc. Direct liquid vaporization for oleophobic coatings
US8866271B2 (en) * 2010-10-07 2014-10-21 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method, substrate processing apparatus and semiconductor device
US8715779B2 (en) * 2011-06-24 2014-05-06 Apple Inc. Enhanced glass impact durability through application of thin films
US8399366B1 (en) * 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
JP5772508B2 (en) 2011-10-27 2015-09-02 東京エレクトロン株式会社 Film forming apparatus and operation method thereof
JP5807511B2 (en) 2011-10-27 2015-11-10 東京エレクトロン株式会社 Film forming apparatus and operation method thereof
KR102070400B1 (en) * 2012-06-29 2020-01-28 주성엔지니어링(주) Apparatus and method for processing substrate
JP6045975B2 (en) 2012-07-09 2016-12-14 東京エレクトロン株式会社 Carbon film forming method and film forming apparatus
GB2516841A (en) 2013-07-31 2015-02-11 Ibm Resistive memory element based on oxygen-doped amorphous carbon
KR20160039739A (en) 2014-10-01 2016-04-12 삼성전자주식회사 Method for forming hard mask layer and method for manufacturing semiconductor device using the same
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
JP2017168411A (en) * 2016-03-18 2017-09-21 株式会社ジャパンディスプレイ Manufacturing method for display device
AT519217B1 (en) 2016-10-04 2018-08-15 Carboncompetence Gmbh Apparatus and method for applying a carbon layer
CN114270476A (en) * 2019-06-24 2022-04-01 朗姆研究公司 Selective carbon deposition

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2852380B2 (en) * 1988-03-26 1999-02-03 株式会社半導体エネルギー研究所 Method for forming carbon or carbon-based coating
JPH03236280A (en) * 1990-02-14 1991-10-22 Hitachi Ltd Semiconductor device
JP2799849B2 (en) * 1996-01-18 1998-09-21 ナショナル・サイエンス・カウンシル Diamond synthesis by chemical vapor deposition
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
JP2001023156A (en) * 1999-07-09 2001-01-26 Showa Denko Kk Manufacture of magnetic recording medium
JP2001209929A (en) * 2000-01-26 2001-08-03 Fujitsu Ltd Method and apparatus for forming protective film of magnetic disk medium
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
JP2005045053A (en) * 2003-07-23 2005-02-17 Elpida Memory Inc Method for manufacturing semiconductor device
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
JP2009202693A (en) * 2008-02-27 2009-09-10 Nissan Motor Co Ltd Damping device of hybrid vehicle

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101300402B1 (en) * 2009-02-20 2013-08-26 인텔 코오퍼레이션 Modulation-doped halo in quantum well field-effect transistors, apparatus made therewith, and methods of using same

Also Published As

Publication number Publication date
CN101390199B (en) 2011-12-28
JP2007224383A (en) 2007-09-06
TW200807498A (en) 2008-02-01
TWI463529B (en) 2014-12-01
WO2007097432A1 (en) 2007-08-30
KR100979716B1 (en) 2010-09-02
CN101390199A (en) 2009-03-18
US20120156884A1 (en) 2012-06-21
US20090011602A1 (en) 2009-01-08

Similar Documents

Publication Publication Date Title
KR100979716B1 (en) Film forming method of amorphous carbon film and manufacturing method of semiconductor device using the same
KR101102422B1 (en) Method for forming amorphous carbon film, amorphous carbon film, multilayer resist film, method for manufacturing semiconductor device, and computer-readable recording medium
KR102430939B1 (en) Low-Temperature Formation of High-Quality Silicon Oxide Films in Semiconductor Device Manufacturing
US11404272B2 (en) Film deposition apparatus for fine pattern forming
JP5289863B2 (en) Amorphous carbon nitride film forming method, multilayer resist film, semiconductor device manufacturing method, and storage medium storing control program
TWI471448B (en) Methods for high temperature deposition of an amorphous carbon layer
CN102687249B (en) For the smooth siconi etch of silicon-containing film
US7696106B2 (en) Film formation method and apparatus for semiconductor process
JP2020527856A (en) Atomic layer cleaning to remove photoresist patterning scum
JP2012233259A (en) Method for depositing amorphous carbon film, method for producing semiconductor device using the same, and computer-readable storage medium
US20090137125A1 (en) Etching method and etching apparatus
JP6559046B2 (en) Pattern formation method
JP2023096895A (en) Method for forming carbon film and method for manufacturing semiconductor device
JP2024500671A (en) High selectivity, low stress, and low hydrogen carbon hardmask at low pressure conditions with wide gap electrode spacing
JP2023096894A (en) Method for forming carbon film and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140808

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150730

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 8