KR20080048410A - Control apparatus of substrate processing apparatus and control method of the same, and recorded medium for storing control program - Google Patents

Control apparatus of substrate processing apparatus and control method of the same, and recorded medium for storing control program Download PDF

Info

Publication number
KR20080048410A
KR20080048410A KR1020070121461A KR20070121461A KR20080048410A KR 20080048410 A KR20080048410 A KR 20080048410A KR 1020070121461 A KR1020070121461 A KR 1020070121461A KR 20070121461 A KR20070121461 A KR 20070121461A KR 20080048410 A KR20080048410 A KR 20080048410A
Authority
KR
South Korea
Prior art keywords
substrate
unit
processing
lot
chamber
Prior art date
Application number
KR1020070121461A
Other languages
Korean (ko)
Other versions
KR100980510B1 (en
Inventor
마사히로 누마쿠라
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080048410A publication Critical patent/KR20080048410A/en
Application granted granted Critical
Publication of KR100980510B1 publication Critical patent/KR100980510B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations

Abstract

A controller of a substrate processing apparatus, a controlling method of a substrate processing apparatus, and a storage medium for storing a control program of a substrate processing apparatus are provided to control a change of transfer manners according to a degree of micro-process requested for each lot. A selection unit(255) selects a process chamber to which a next substrate is to be transferred. The selection unit selects whether the substrates transferred to the same process chamber are set in one-lot units or in one-substrate units according to a degree of micro-process requested for each lot. A transfer control unit(260) transfers sequentially the substrates included in the units selected by the selection unit to the process chamber selected by the selection unit. A storage unit(250) the process chamber stores an order of process chambers. The selection unit selects the process chamber according to the order of the processing chambers. The transfer unit transfers the substrates included in the selected units to the selected processing chamber.

Description

기판 처리 장치의 제어 장치, 제어 방법 및 제어 프로그램을 기억한 기억 매체{CONTROL APPARATUS OF SUBSTRATE PROCESSING APPARATUS AND CONTROL METHOD OF THE SAME, AND RECORDED MEDIUM FOR STORING CONTROL PROGRAM}CONTROL APPARATUS OF SUBSTRATE PROCESSING APPARATUS AND CONTROL METHOD OF THE SAME, AND RECORDED MEDIUM FOR STORING CONTROL PROGRAM}

본 발명은, 기판에 소정의 처리를 실시하는 기판 처리 장치의 제어 장치, 제어 방법 및 제어 프로그램을 기억한 기억 매체에 관한 것으로, 보다 상세하게는 기판의 반송을 제어하는 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a storage medium storing a control apparatus, a control method, and a control program of a substrate processing apparatus that performs a predetermined process on a substrate, and more particularly, to a method of controlling the transfer of a substrate.

최근, 반도체 공장 내에 배치된 기판 처리 장치의 대부분은, 기판을 반송하는 반송 기구와 함께, 기판에 소정의 처리를 실시하는 처리실을 2개 이상 갖고 있다. 이와 같이, 복수의 처리실이 기판 처리 장치에 마련되어 있는 경우, 다수의 기판을 복수의 처리실에 어떻게 반송할 것인가 하는 것은, 기판 처리의 스루풋을 올리고, 제품의 생산성을 향상시키기 위해 중요하다.In recent years, most of the substrate processing apparatuses arrange | positioned in a semiconductor factory have two or more process chambers which give predetermined | prescribed process to a board | substrate with the conveyance mechanism which conveys a board | substrate. As described above, when a plurality of processing chambers are provided in the substrate processing apparatus, how to transfer a plurality of substrates to the plurality of processing chambers is important for increasing throughput of substrate processing and improving product productivity.

그래서, 종래의 기판 처리 장치에서는, 각각의 기판을 각각의 처리실에서 동시에 처리하기 위하여, 기판마다 반송 경로를 바꿔 서로 다른 기판을 서로 다른 처 리실에 차례로 반송하거나(이하, "OR 반송"이라고도 칭함), 각 기판이 순차적으로 2 이상의 처리실을 경유하여 처리되도록 각 기판의 반송 경로를 제어한다(예컨대, 특허 문헌 1을 참조). 이에 따라, 효율적으로 기판을 처리할 수 있다.Therefore, in the conventional substrate processing apparatus, in order to simultaneously process each substrate in each processing chamber, different substrates are sequentially transferred to different processing chambers by changing the transfer path for each substrate (hereinafter also referred to as "OR conveyance"). The transfer path of each board | substrate is controlled so that each board | substrate may be processed via two or more process chambers sequentially (for example, refer patent document 1). Thereby, a board | substrate can be processed efficiently.

또한, 종래의 다른 기판 처리 장치에서는, 각 처리실의 운전이 가능한지 여부를 나타내는 신호에 근거하여, 운전이 유효로 되어 있는 처리실군에만 기판을 반송한다(예컨대, 특허 문헌 2를 참조). 이에 따라, 어느 한 처리실이 고장 등에 의해 사용할 수 없게 된 경우에도, 그 이외의 처리실을 사용하여 효율적으로 기판을 처리할 수 있다.Moreover, in another conventional substrate processing apparatus, a board | substrate is conveyed only to the process chamber group in which operation is effective based on the signal which shows whether operation of each process chamber is possible (for example, refer patent document 2). As a result, even when one of the processing chambers cannot be used due to a breakdown or the like, the substrate can be efficiently processed using another processing chamber.

[특허 문헌 1] 일본 특허 공개 제 1988-133532 호 공보[Patent Document 1] Japanese Unexamined Patent Publication No. 1988-133532

[특허 문헌 2] 일본 특허 공개 제 1999-67869 호 공보[Patent Document 2] Japanese Patent Application Laid-Open No. 1999-67869

그러나, 서로 다른 기판을 정상 가동 중인 서로 다른 처리실에 차례로 반송하는 것에 의해 각 처리실에서 각 기판에 동시에 동일한 처리를 실시하면, 기판 처리의 스루풋은 향상되지만, 각 처리실에 애초에 존재하는 개체차나, 사용 빈도의 차이에 따른 각 처리실 내의 분위기의 편차로 인해, 각 기판의 처리(가공) 상태에는 편차가 발생하게 되어 버린다.However, if the same processing is simultaneously performed on each substrate in each processing chamber by sequentially transferring different substrates to different processing chambers in normal operation, the throughput of the substrate processing is improved, but individual differences existing in each processing chamber and frequency of use Due to the variation in the atmosphere in each processing chamber due to the difference of, the variation occurs in the processing (processing) state of each substrate.

한편, 동일 로트는, 동일 제품을 제조하는 1 단위이므로, 동일 로트에 포함되는 기판의 가공 상태는, 균일하고 편차가 없는 편이 좋다. 특히, 최근, 매우 미세한 가공이 요구되는 제품이 늘어나고 있다. 이러한 미세 가공의 요구에 대해서는, 동일 로트 내의 기판을 정밀도 좋게 처리하여, 기판마다의 편차가 없이, 균일하고 품질이 높은 제품을 만들 필요가 있다. 이 때문에, 지금까지 허용되어 있던 처리 상태의 편차가, 제품의 특성상 허용되지 않는 경우가 발생하고 있었다.On the other hand, since the same lot is 1 unit which manufactures the same product, it is better that the processing state of the board | substrate contained in the same lot is uniform, and there is no deviation. In particular, in recent years, products which require very fine processing are increasing. In response to such fine processing, it is necessary to process the substrates in the same lot with high accuracy and to produce a uniform and high quality product without variation for each substrate. For this reason, there existed a case where the deviation of the processing state which was permitted until now is not permissible on the characteristic of a product.

이러한 경우, 미세 가공이 요구되는 로트에 대해서는, 기판의 반송 방법을 시스템 레시피에 지정된 방법으로부터 가공 상태에 편차가 발생하지 않는 다른 방법으로 변경하는 것을 호스트 컴퓨터에 요구하는 것에 의해, 호스트 컴퓨터가 이 요구에 따라서 다른 적절한 반송 방법으로 변경하는 것도 고려할 수 있다. 그러나, 반도체 공장을 통괄하여 시스템 전체를 관리하고 있는 호스트 컴퓨터에 대하여 이러한 작업을 요구하는 것은, 대단히 번잡하고 비현실적인 동시에, 호스트 컴퓨터 측의 시스템의 기능을 대폭 변경할 필요가 있다. 이 결과, 시스템이 안정 가동될 때까지는, 일시적이더라도 기판 처리 시스템 전체가 불안정한 상태로 되어 바람직하지 못하다.In such a case, the host computer requests the host computer to change the conveyance method of the substrate from the method specified in the system recipe to another method in which the deviation does not occur in the processing state for the lot requiring microfabrication. It is also possible to consider changing to another suitable conveying method according to this. However, demanding such a task for a host computer that manages the entire system as a whole in a semiconductor factory is very complicated and unrealistic, and it is necessary to drastically change the function of the system on the host computer side. As a result, until the system is stably operated, the entire substrate processing system becomes unstable even if temporary, which is not preferable.

그래서, 본 발명은, 로트마다 요구되는 미세 가공의 정도에 따라서, 반송 방법의 변경을 제어하는 기판 처리 장치의 제어 장치, 제어 방법 및 제어 프로그램을 기억한 기억 매체를 제공한다.Then, this invention provides the storage medium which stored the control apparatus, control method, and control program of the substrate processing apparatus which control a change of a conveyance method according to the grade of the microfabrication requested | required for every lot.

즉, 상기 과제를 해결하기 위하여, 본 발명의 일 관점에 따르면, 기판에 소정의 처리를 실시하는 복수의 처리실과 상기 기판을 반송하는 반송 기구를 구비한 기판 처리 장치를 제어하는 제어 장치로서, 다음에 반송해야 할 처리실을 선택하는 동시에, 로트마다 요구되는 미세 가공의 정도에 따라서, 동일 처리실에 반송하는 기판의 단위를 1 로트 단위 또는 1 기판 단위 중 어느 것으로 할 것인가를 로트마다 선택하는 선택부와, 상기 선택부에 의해 선택된 단위에 포함되는 기판을 상기 선택부에 의해 선택된 처리실에 차례로 반송하는 반송 제어부를 구비하는 제어 장치가 제공된다.That is, in order to solve the said subject, according to one viewpoint of this invention, the control apparatus which controls the board | substrate processing apparatus provided with the some processing chamber which performs a predetermined process to a board | substrate, and the conveyance mechanism which conveys the said board | substrate, A selection unit for selecting a processing chamber to be conveyed to a lot and selecting one lot unit or one substrate unit for each lot according to the degree of fine processing required for each lot; And a conveyance control unit for sequentially conveying a substrate included in a unit selected by the selection unit to a processing chamber selected by the selection unit.

이것에 따르면, 로트마다 요구되는 미세 가공의 정도에 따라서, 동일 처리실에 반송하는 기판의 단위를 1 로트 단위 또는 1 기판 단위 중 어느 것으로 할 것인가가 로트마다 선택된다. 1 로트 단위가 선택된 경우, 해당 로트에 포함되는 기판은, 모두 동일 처리실로 반송된다. 이에 따라, 서로 다른 기판을 서로 다른 처리실에 반송하는 것에 의해, 각 처리실의 개체차나 각 처리실의 분위기의 차에 따라, 각 기판의 가공 상태에 편차가 발생하게 되는 것을 피할 수 있다. 즉, 로트 내의 기판을 모두 동일 처리실 내에서 처리함으로써 1 로트 내의 모든 기판을 동일한 환경 내에서 처리하는 것에 의해, 1 로트 단위로 특성에 편차가 없는 거의 동일한 제품을 제조할 수 있다.According to this, according to the grade of the microfabrication requested | required for each lot, which lot unit or one board | substrate unit is made into the unit of the board | substrate conveyed to the same process chamber is selected for every lot. When one lot unit is selected, the board | substrate contained in the said lot is all conveyed to the same process chamber. Thereby, by conveying different board | substrates to different process chambers, it can avoid that a deviation arises in the processing state of each board | substrate according to the individual difference of each process chamber, or the difference of the atmosphere of each process chamber. That is, by processing all the substrates in a lot in the same environment by processing all the board | substrates in a lot within the same process chamber, it is possible to manufacture almost the same product without a difference in a characteristic by a lot unit.

특히, 최근, 매우 미세한 가공이 요구되는 제품이 늘어나고 있다. 이러한 미세 가공의 요구에 대해서는, 동일 로트에 포함되는 복수의 기판에 대하여 균일하고도 품질이 높은 처리를 실시할 필요가 있으며, 지금까지 허용되어 있었던 가공 상태의 편차가 허용되지 않는 경우가 발생한다.In particular, in recent years, products which require very fine processing are increasing. In response to such a fine processing, it is necessary to perform a uniform and high quality treatment on a plurality of substrates included in the same lot, and a variation in the processing state that has been permitted so far may not be allowed.

그러나, 이러한 경우에도, 이러한 구성에 따르면, 미세 가공이 요구되어 있는 로트에 포함되는 기판의 반송 방법은, 제어 장치의 지시에 의해 가공 상태에 편차가 발생하지 않는 상기 반송 수순으로 변경된다. 이와 같이 하여, 반송 단위의 변경을 호스트 컴퓨터에 요구하지 않고서, 제어 장치가 스스로 반송 단위를 변경함으로써, 현시점에서 가동하고 있는 호스트 컴퓨터 측의 시스템의 기능을 대폭 바꾸는 일 없이, 사용자의 요구에 신속히 대응할 수 있다.However, even in such a case, according to such a structure, the conveyance method of the board | substrate contained in the lot for which microfabrication is requested | required is changed with the said conveyance procedure that a deviation does not arise in a processing state by the instruction | indication of a control apparatus. In this way, the control apparatus changes the conveying unit by itself without requiring the host computer to change the conveying unit, thereby quickly responding to the user's request without significantly changing the function of the system on the host computer currently operating. Can be.

또, 미세 가공 처리인지 여부는, 예컨대, 오퍼레이터에 의해 지정된 레시피의 종류나 레시피의 내용으로부터 판정하여도 좋고, 오퍼레이터가, 미리 단위 파라미터에 유효 또는 무효를 지정하여, 단위 파라미터가 유효이면 미세 가공 처리라고 판정하고, 단위 파라미터가 무효이면 미세 가공 처리가 아니라고 판정하여도 좋다.In addition, whether or not it is a micromachining process may be determined, for example, from the type of recipe designated by the operator or the contents of the recipe. If the operator specifies valid or invalid unit parameters in advance and the unit parameter is valid, the micromachining process is performed. If the unit parameter is invalid, it may be determined that the micromachining process is not performed.

상기 선택부에 의해 1 기판 단위가 선택된 경우, 상기 반송 제어부는, 제품용 기판을 반송하기 전에, 시용(試用) 기판을 레시피에 의해 지정된 처리실군의 각 처리실에만 반송하도록 하여도 좋다. 각 처리실에 반송하는 시용 기판의 매수는, 예컨대, 1장이어도 좋고, 2장 이상이어도 좋다.When one board | substrate unit is selected by the said selection part, the said transfer control part may make it convey only a trial board | substrate only to each process chamber of the process chamber group designated by the recipe before conveying the board | substrate for products. One piece may be sufficient as the number of sheets of the trial substrate conveyed to each process chamber, for example, and two or more sheets may be sufficient as it.

즉, 1 기판 단위의 OR 반송에서는, 제품 기판을 처리할 수 있는 상태에 있는지를 확인할 목적으로, 제품 기판을 반송하기 전에, OR 반송되는 모든 처리실에 시용 기판을 반송할 필요가 있다. 따라서, OR 반송에서는, OR 반송되는 전체 처리실분의 시용 기판이 최저한 필요하게 된다.That is, in OR conveyance of one board | substrate unit, it is necessary to convey a trial board | substrate to all the process chambers OR-conveyed before conveying a product board | substrate for the purpose of confirming whether it is in the state which can process a product board | substrate. Therefore, in OR conveyance, the board | substrate for the whole process chambers OR-conveyed needs the minimum.

그러나, 상기 선택부에 의해 1 로트 단위가 선택된 경우, 상기 반송 제어부는, 제품용 기판을 반송하기 전에, 시용 기판을 상기 선택된 처리실에만 반송하도록 하여도 좋다. 선택된 처리실에 반송하는 시용 기판의 매수는, 예컨대, 1장이어도 좋고, 2장 이상이어도 좋다.However, when 1 lot unit is selected by the said selection part, the said conveyance control part may make it convey only a trial board | substrate only to the selected process chamber before conveying a board | substrate for products. One piece may be sufficient as the number of sheets of the trial board | substrate conveyed to the selected process chamber, and two or more sheets may be sufficient as it, for example.

즉, 로트 단위의 반송에서는, 로트 내의 모든 기판은, 선택된 1개의 처리실에 반송되기 때문에, 시용 기판도 최저한 1개면 되고, 이에 따라, 비용을 줄일 수 있다.That is, in the conveyance of a lot unit, since all the board | substrates in a lot are conveyed to the selected one process chamber, at least one trial board | substrate should just be sufficient and, thereby, cost can be reduced.

상기 기억부는, 상기 복수의 처리실 중, 기판의 처리에 사용된 처리실의 수순을 기억하고, 상기 선택부는, 상기 기억부에 기억된 처리실의 수순에 근거하여, 레시피에 의해 지정된 처리실군 중 가장 이전에 처리가 실시된 처리실을 선택하며, 상기 반송부는, 상기 선택된 처리실에 상기 선택된 단위에 포함되는 기판을 차례로 반송하여도 좋다.The storage unit stores the procedure of the processing chamber used for the substrate processing among the plurality of processing chambers, and the selection unit is the most recent among the processing chamber groups designated by the recipe based on the procedure of the processing chamber stored in the storage unit. The process chamber to which the process was performed may be selected, and the said conveyance part may convey the board | substrate contained in the said selected unit to the said process chamber in order.

이것에 따르면, 선택된 단위에 포함되는 기판은, 레시피에 의해 지정된 처리실군 중 가장 예전에 처리가 실행된 처리실로 반송된다. 이에 따라, 처리실의 사 용 빈도의 치우침을 시정하여, 가능한 한 평균적으로 복수의 처리실을 사용할 수 있다. 이 결과, 각 처리실의 분위기의 편차를 억제할 수 있다. 이에 따라, 각 처리실에서 실시되는 기판 처리의 편차를 극력 억제할 수 있다.According to this, the board | substrate contained in a selected unit is conveyed to the process chamber in which the process was performed most recently among the process chamber groups designated by the recipe. Thereby, the bias of the use frequency of a process chamber can be corrected and a some process chamber can be used on average as possible. As a result, the variation of the atmosphere of each processing chamber can be suppressed. Thereby, the deviation of the substrate process performed in each process chamber can be suppressed as much as possible.

상기 기억부는, 각 처리실을 클리닝할 때까지 각 처리실에서 처리된 기판의 총 처리 매수를 처리실마다 기억하고, 상기 선택부는, 상기 기억부에 기억된 기판의 총 처리 매수에 근거하여, 레시피에 의해 지정된 처리실군 중 가장 처리 매수가 적은 처리실을 선택하며, 상기 반송부는, 상기 선택된 처리실에 상기 선택된 단위에 포함되는 기판을 차례로 반송하여도 좋다.The storage unit stores the total number of processed sheets of substrates processed in each processing chamber until each processing chamber is cleaned, and the selecting unit is designated by a recipe based on the total number of processed sheets of substrates stored in the storage unit. The processing chamber with the smallest number of processing sheets is selected among the processing chamber groups, and the transfer section may sequentially transfer the substrates included in the selected unit to the selected processing chamber.

이것에 따르면, 선택된 단위에 포함되는 기판은, 시스템 레시피에 의해 지정된 처리실군 중 처리 매수가 가장 적은 처리실에 반송된다. 이것에 의해서도, 처리실의 사용 빈도의 치우침을 시정하여, 가능한 한 평균적으로 복수의 처리실을 사용할 수 있다. 이 결과, 각 처리실의 분위기의 편차를 억제하여, 각 처리실에서 실시되는 기판 처리의 편차를 극력 억제할 수 있다.According to this, the board | substrate contained in a selected unit is conveyed to the process chamber with the fewest number of process sheets among the process chamber groups designated by the system recipe. Also by this, the bias of the use frequency of a process chamber is corrected and a some process chamber can be used on average as possible. As a result, the dispersion | variation in the atmosphere of each process chamber can be suppressed, and the variation of the board | substrate process performed in each process chamber can be suppressed as much as possible.

상기 기억부는, 각 처리실을 클리닝할 때까지 각 처리실에서 처리된 기판의 총 처리 시간을 처리실마다 기억하고, 상기 선택부는, 상기 기억부에 기억된 기판의 총 처리 시간에 근거하여, 레시피에 의해 지정된 처리실군 중 가장 처리 시간이 적은 처리실을 선택하며, 상기 반송부는, 상기 선택된 단위에 포함되는 기판을 상기 선택된 처리실에 차례로 반송하여도 좋다.The storage unit stores the total processing time of the substrate processed in each processing chamber until each processing chamber is cleaned, and the selection unit is designated by the recipe based on the total processing time of the substrate stored in the storage unit. A processing chamber having the least processing time is selected among the processing chamber groups, and the transfer section may sequentially transfer the substrate included in the selected unit to the selected processing chamber.

이것에 따르면, 선택된 단위에 포함되는 기판은, 레시피에 의해 지정된 처리실군 중 처리 시간이 가장 짧은 처리실에 반송된다. 이것에 의해서도, 처리실의 사용 빈도의 치우침을 시정하여, 가능한 한 평균적으로 복수의 처리실을 사용할 수 있다. 이 결과, 각 처리실의 분위기의 편차를 억제하여, 각 처리실에서 실시되는 기판 처리의 편차를 극력 억제할 수 있다.According to this, the board | substrate contained in a selected unit is conveyed to the processing chamber with the shortest processing time among the process chamber groups designated by the recipe. Also by this, the bias of the use frequency of a process chamber is corrected and a some process chamber can be used on average as possible. As a result, the dispersion | variation in the atmosphere of each process chamber can be suppressed, and the variation of the board | substrate process performed in each process chamber can be suppressed as much as possible.

상기 반송 제어부는, 상기 선택부에 의해 1 로트 단위가 선택된 경우, 상기 로트에 포함되는 모든 기판을 상기 선택된 처리실에 차례로 반송하고, 상기 선택부에 의해 1 기판 단위가 선택된 경우, 상기 로트에 포함되는 최초의 기판을, 레시피에 의해 지정된 처리실군 중, 가장 이전에 처리가 실시된 처리실, 가장 처리 매수가 적은 처리실 또는 가장 처리 시간이 짧은 처리실 중 어느 하나로부터 선택된 처리실에 반송하며, 동 로트에 포함되는 다음 기판을 다음 처리실에 반송하는 것을 동 로트에 포함되는 마지막 기판까지 반복하여도 좋다.When one lot unit is selected by the said selection part, the said conveyance control part conveys all the board | substrates contained in the said lot in order to the selected process chamber, and when one board | substrate unit is selected by the said selection part, it is contained in the said lot. The first substrate is returned to a processing chamber selected from one of the processing chambers previously processed, the processing chamber with the lowest number of sheets, or the processing chamber with the shortest processing time, among the processing chamber groups designated by the recipe, and included in the lot. The conveyance of the next substrate to the next processing chamber may be repeated until the last substrate included in the lot.

이것에 따르면, 반송 수순으로서 1 로트 단위가 선택된 경우, 로트에 포함되는 모든 기판을 동일 처리실에 차례로 반송함으로써, 처리실이 상이한 것에 기인하여 발생하는 기판 처리의 편차를 억제하여, 해당 로트에 포함되는 기판에 균일하고도 품질이 높은 처리를 실시할 수 있다.According to this, when one lot unit is selected as a conveyance procedure, by conveying all the board | substrates contained in a lot to the same process chamber one by one, the board | substrate contained in the said lot is suppressed by the dispersion | variation in the board | substrate process which arises from the process chamber being different Uniform and high quality treatment can be performed.

한편, 반송 수순으로서 1 기판 단위가 선택된 경우, 1 로트에 포함되는 기판은, 1장씩 서로 다른 처리실에 차례로 반송된다. 구체적으로는, 기판은, 각 기판을 그 시점에서 가장 예전에 처리가 실시된 처리실, 가장 처리 매수가 적은 처리실 또는 가장 처리 시간이 짧은 처리실 중 어느 하나로부터 선택된 처리실에 반송되ㅁ며 동 로트에 포함되는 다음 기판은, 다음 처리실로 반송된다. 이것을 동 로트에 포함되는 마지막 기판까지 반복한다. 이에 따라, 복수의 기판을 복수의 처리실에 서 동시에 처리함으로써, 기판 처리의 스루풋을 올리고, 제품의 생산성을 높일 수 있다.On the other hand, when one board | substrate unit is selected as a conveyance procedure, the board | substrate contained in one lot is conveyed one by one to different process chambers one by one. Specifically, the substrates are conveyed to the processing chamber selected from one of the processing chambers that have been previously processed at the time, the processing chamber having the fewest processing sheets, or the processing chamber having the shortest processing time, and included in the lot. The next substrate to be transferred is conveyed to the next processing chamber. Repeat this up to the last substrate included in the lot. Accordingly, by simultaneously processing a plurality of substrates in a plurality of processing chambers, throughput of substrate processing can be increased, and productivity of a product can be increased.

상기 과제를 해결하기 위하여, 본 발명의 다른 관점에 따르면, 기판에 소정의 처리를 실시하는 복수의 처리실과 상기 기판을 반송하는 반송 기구를 구비한 기판 처리 장치를 제어하는 방법으로서, 다음에 반송해야 할 처리실을 선택하는 동시에, 로트마다 요구되는 미세 가공의 정도에 따라서, 동일 처리실에 반송하는 기판의 단위를 1 로트 단위 또는 1 기판 단위 중 어느 하나로부터 선택하여, 상기 선택된 단위에 포함되는 기판을 상기 선택된 처리실에 차례로 반송하는 기판 처리 장치의 제어 방법이 제공된다.MEANS TO SOLVE THE PROBLEM In order to solve the said subject, according to another viewpoint of this invention, it is a method of controlling the board | substrate processing apparatus provided with the several process chamber which performs predetermined | prescribed process to a board | substrate, and the conveyance mechanism which conveys the said board | substrate. In addition to selecting a processing chamber, and in accordance with the degree of fine processing required for each lot, the unit of the substrate to be transferred to the same processing chamber is selected from one lot unit or one substrate unit, and the substrate included in the selected unit is Provided is a control method of a substrate processing apparatus that is sequentially conveyed to a selected processing chamber.

기판에 소정의 처리를 실시하는 복수의 처리실과 상기 기판을 반송하는 반송 기구를 구비한 기판 처리 장치의 제어를 컴퓨터에 실행시키는 제어 프로그램을 기억한 기억 매체로서, 다음에 반송해야 할 처리실을 선택하는 동시에, 로트마다 요구되는 미세 가공의 정도에 따라서, 동일 처리실에 반송하는 기판의 단위를 1 로트 단위 또는 1 기판 단위 중 어느 하나로부터 선택하는 처리와, 상기 선택된 단위에 포함되는 기판을 상기 선택된 처리실에 차례로 반송하는 처리를 컴퓨터에 실행시키는 기판 처리 장치의 제어 프로그램을 기억한 기억 매체가 제공된다.A storage medium storing a control program for causing a computer to execute control of a substrate processing apparatus having a plurality of processing chambers for performing a predetermined process on a substrate and a transport mechanism for transporting the substrate, wherein the processing chamber to be transported next is selected. At the same time, according to the degree of microfabrication required for each lot, a process for selecting a unit of a substrate to be conveyed to the same processing chamber from one lot unit or one substrate unit, and the substrate included in the selected unit to the selected processing chamber A storage medium which stores a control program of a substrate processing apparatus for causing a computer to execute a process to be sequentially conveyed is provided.

이들에 따르면, 로트 내의 기판을 모두 동일 처리실 내에서 처리함으로써 1 로트 내의 모든 기판을 동일 분위기 내에서 균일하게 처리하여, 이에 따라, 1 로트에 포함되는 모든 기판에 대해 특성에 편차가 없는 동일 제품을 제조할 수 있다.According to these, all the substrates in one lot are processed uniformly in the same atmosphere by treating all the board | substrates in a lot in the same process chamber, and therefore, the same product which does not have the difference in a characteristic with respect to all the board | substrates contained in a lot is produced. It can manufacture.

이상 설명한 바와 같이, 본 발명에 따르면, 로트마다 요구되는 미세 가공의 정도에 따라서, 반송 방법의 변경을 제어할 수 있다.As described above, according to the present invention, the change of the conveying method can be controlled in accordance with the degree of fine processing required for each lot.

이하에 첨부 도면을 참조하면서, 본 발명의 바람직한 실시예에 대하여 상세히 설명한다. 또, 이하의 설명 및 첨부 도면에 있어서, 동일한 구성 및 기능을 갖는 구성 요소에 대해서는, 동일 부호를 부여함으로써, 중복 설명을 생략한다.EMBODIMENT OF THE INVENTION Preferred embodiment of this invention is described in detail, referring an accompanying drawing below. In addition, in the following description and an accompanying drawing, the overlapping description is abbreviate | omitted by attaching | subjecting the same code | symbol about the component which has the same structure and function.

(제 1 실시예)(First embodiment)

우선, 본 발명의 제 1 실시예에 따른 기판 처리 시스템에 대하여, 도 1을 참조하면서 그 개요를 설명한다. 또, 본 실시예에서는, 기판 처리 시스템을 이용하여 실리콘 웨이퍼(이하, "웨이퍼 W"라고 칭함)를 에칭 처리하는 예를 들어 설명한다.First, the outline of the substrate processing system according to the first embodiment of the present invention will be described with reference to FIG. In addition, in the present embodiment, an example of etching the silicon wafer (hereinafter referred to as "wafer W") using the substrate processing system will be described.

(기판 처리 시스템)(Substrate processing system)

기판 처리 시스템(10)은, 호스트 컴퓨터(100), EC(Equipment Controller:장치 콘트롤러)(200), 4개의 MC(Machine Controller:머신 콘트롤러)(300a∼300d), 2개의 PM(Process Module:프로세스 모듈)(400a, 400b), 2개의 LLM(Load Lock Module:로드록 모듈)(500a, 500b) 및 관리 서버(600)를 갖고 있다.The substrate processing system 10 includes a host computer 100, an equipment controller (EC) 200, four machine controllers (MC) 300a to 300d, and two process modules (PM). Modules 400a and 400b, two LLMs (Load Lock Modules) 500a and 500b, and a management server 600.

EC(200)와 호스트 컴퓨터(100) 사이, 및 EC(200)와 관리 서버(600) 사이는, 고객 측 LAN(Local Area Network)(700a, 700b)에 의해 각각 접속되어 있다. 또한, 관리 서버(600)는, PC(Personal Computer)(800) 등의 정보 처리 기기와 접속되어 있다. 오퍼레이터는, PC(800)를 조작함으로써 기판 시스템(10)에 지령을 보내도록 되어 있다.The EC 200 and the host computer 100, and the EC 200 and the management server 600 are connected by the customer side Local Area Network (LAN) 700a, 700b, respectively. The management server 600 is also connected to an information processing device such as a personal computer (PC) 800. The operator is configured to send a command to the substrate system 10 by operating the PC 800.

EC(200), MC(300a∼300d), PM(400a, 400b), LLM(500a, 500b)은, 공장 내의 에리어 Q에 마련되어 있으며, 공장 내 LAN에 의해 각각 접속되어 있다.EC 200, MC 300a-300d, PM 400a, 400b, and LLM 500a, 500b are provided in area Q in a factory, and are respectively connected by LAN in a factory.

호스트 컴퓨터(100)는, 데이터 관리 등 기판 처리 시스템(10) 전체를 관리한다. EC(200)는, 기판을 에칭 처리하기 위해 사용하는 시스템 레시피를 유지하고, 시스템 레시피에 따라서 PM(400a, 400b), LLM(500a, 500b)을 동작시키도록 각 MC(300)에 제어 신호를 송신하는 동시에 동작 후의 데이터의 이력 관리 등을 수행한다.The host computer 100 manages the entire substrate processing system 10 such as data management. The EC 200 maintains a system recipe used to etch the substrate, and transmits a control signal to each MC 300 to operate the PMs 400a and 400b and the LLMs 500a and 500b according to the system recipe. Simultaneously transmit and perform history management of the data after operation.

MC(300a∼300d)는, 프로세스 레시피를 유지하고 있으며, EC(200)로부터 송신된 제어 신호에 근거하여, 프로세스 레시피의 수순에 따라 PM(400a, 400b)에 마련된 각 기기를 각각 구동함으로써, 웨이퍼 W의 처리를 제어하는 동시에, LLM(500a, 500b)에 마련된 각 기기를 각각 구동함으로써, 웨이퍼 W의 반송을 제어한다.The MCs 300a to 300d hold the process recipe, and drive the respective devices provided in the PMs 400a and 400b in accordance with the procedure of the process recipe, based on the control signal transmitted from the EC 200, thereby providing a wafer. The transfer of the wafer W is controlled by controlling the processing of W and driving each device provided in the LLMs 500a and 500b, respectively.

PM(400a, 400b)은, 웨이퍼 W에, 예컨대 에칭 처리 등의 소정의 처리를 실시하는 진공 처리실이다. LLM(500a, 500b)은, 웨이퍼 W를 반송하는 반송 기구를 갖는 반송실이다. 또, PM(400a, 400b) 및 LLM(500a, 500b)을 포함하고, 이들을 가동시킴으로써 기판을 처리하는 기판 처리 장치에 대해서는, 후술한다. 관리 서 버(600)는, 오퍼레이터의 조작에 의해 PC(800)로부터 송신된 데이터에 근거하여, 각 장치의 동작 조건 등을 설정한다.The PMs 400a and 400b are vacuum processing chambers that perform a predetermined process such as an etching process on the wafer W, for example. LLM 500a, 500b is a conveyance chamber which has the conveyance mechanism which conveys the wafer W. As shown in FIG. In addition, the substrate processing apparatus including PM 400a, 400b and LLM 500a, 500b, and processing a board | substrate by operating these is mentioned later. The management server 600 sets operating conditions and the like of each device based on data transmitted from the PC 800 by an operator's operation.

(EC, MC의 하드웨어 구성)(Hardware configuration of EC, MC)

다음에, EC(200)의 하드웨어 구성에 대하여, 도 2를 참조하면서 설명한다. 또, MC(300)의 하드웨어 구성은 EC(200)와 마찬가지이기 때문에, 여기서는 설명을 생략한다.Next, a hardware configuration of the EC 200 will be described with reference to FIG. 2. In addition, since the hardware structure of MC 300 is the same as that of EC200, description is abbreviate | omitted here.

도 2에 나타낸 바와 같이, EC(200)는, ROM(205), RAM(210), CPU(215), 버스(220), 내부 인터페이스(내부 I/F)(225) 및 외부 인터페이스(외부 I/F)(230)를 갖고 있다.As shown in FIG. 2, the EC 200 includes a ROM 205, a RAM 210, a CPU 215, a bus 220, an internal interface (internal I / F) 225, and an external interface (external I). / F) 230.

ROM(205)에는, EC(200)에 의해 실행되는 기본적인 프로그램이나, 이상시에 기동하는 프로그램, 각종 레시피 등이 기록되어 있다. RAM(210)에는, 각종 프로그램이나 데이터가 축적되어 있다. 또, ROM(205) 및 RAM(210)은, 기억 장치의 일례이며, EEPROM, 광 디스크, 광자기 디스크 등의 기억 장치이어도 좋다.In the ROM 205, a basic program executed by the EC 200, a program to be started in case of abnormality, various recipes, and the like are recorded. Various programs and data are stored in the RAM 210. The ROM 205 and the RAM 210 are examples of storage devices, and may be storage devices such as an EEPROM, an optical disk, a magneto-optical disk, or the like.

CPU(215)는, 각종 레시피에 따라서 기판의 처리를 제어한다. 버스(220)는, ROM(205), RAM(210), CPU(215), 내부 인터페이스(225) 및 외부 인터페이스(230)의 각 장치 사이에서 데이터를 교환하는 경로이다.The CPU 215 controls the processing of the substrate in accordance with various recipes. The bus 220 is a path for exchanging data between the devices of the ROM 205, the RAM 210, the CPU 215, the internal interface 225, and the external interface 230.

내부 인터페이스(225)는, 데이터를 입력하여, 필요한 데이터를 도시하지 않은 모니터나 스피커 등에 출력하도록 되어 있다. 외부 인터페이스(230)는, LAN 등의 네트워크에 의해 접속되어 있는 기기와의 사이에서 데이터를 송수신하도록 되어 있다.The internal interface 225 inputs data and outputs necessary data to a monitor or a speaker not shown. The external interface 230 transmits and receives data to and from devices connected by a network such as a LAN.

(기판 처리 장치의 하드웨어 구성)(Hardware Configuration of Substrate Processing Unit)

다음에, PM(400), LLM(500)을 포함하는 기판 처리 장치의 하드웨어 구성에 대하여, 도 3을 참조하면서 설명한다. 기판 처리 장치는, 제 1 프로세스 쉽 Q1, 제 2 프로세스 쉽 Q2, 반송 유닛 Q3, 위치 정렬 기구 Q4 및 카세트 스테이지 Q5를 갖고 있다.Next, a hardware configuration of the substrate processing apparatus including the PM 400 and the LLM 500 will be described with reference to FIG. 3. The substrate processing apparatus has the 1st process ship Q1, the 2nd process ship Q2, the conveyance unit Q3, the position alignment mechanism Q4, and the cassette stage Q5.

제 1 프로세스 쉽 Q1은, PM(400a) 및 LLM(500a)를 갖고 있다. 제 2 프로세스 쉽 Q2는, 제 1 프로세스 쉽 Q1과 평행하게 배치되어 있으며, PM(400b), LLM(500b)를 갖고 있다.The first process ship Q1 has a PM 400a and an LLM 500a. The 2nd process ship Q2 is arrange | positioned in parallel with the 1st process ship Q1, and has PM400b and LLM 500b.

LLM(500a, 500b)는, 그 양 단에 마련된 기밀하게 개폐 가능한 게이트 밸브 V를 개폐함으로써 내부 압력을 조정하면서, 각각 내장된 반송 아암 Arma, Armb를 이용하여 웨이퍼 W를 진공 상태에 있는 PM(400a, 400b) 및 대기 상태에 있는 반송 유닛 Q3에 반송한다. 또, PM(400)의 내부 구성의 상세에 대해서는 후술한다.The LLMs 500a and 500b adjust the internal pressure by opening and closing the airtightly openable gate valve V provided at both ends thereof, and using the built-in carrier arms Arm and Armb, respectively, the PM 400a in a vacuum state. 400b) and conveyance unit Q3 in the standby state. In addition, the detail of the internal structure of PM400 is mentioned later.

반송 유닛 Q3은, 직사각형의 반송실이며, 제 1 프로세스 쉽 Q1 및 제 2 프로세스 쉽 Q2와 접속되어 있다. 반송 유닛 Q3에는 반송 아암 Armc가 마련되어 있어, 반송 아암 Armc를 이용하여 반송 아암 Arma, Armb와 연동하면서 웨이퍼 W를 제 1 프로세스 쉽 Q1 또는 제 2 프로세스 쉽 Q2에 반송한다.The conveying unit Q3 is a rectangular conveyance chamber and is connected with the 1st process ship Q1 and the 2nd process ship Q2. The conveying arm Armc is provided in the conveying unit Q3, and conveys the wafer W to the 1st process ship Q1 or the 2nd process ship Q2, interlocking with conveyance arms Arma and Armb using a conveyance arm Armc.

반송 유닛 Q3의 한쪽 단부에는, 웨이퍼 W의 위치 결정을 하는 위치 정렬 기구 Q4가 마련되어 있으며, 웨이퍼 W를 탑재한 상태에서 회전대 Q4a를 회전시키면 서, 광학 센서 Q4b에 의해 웨이퍼 주연부의 상태를 검출함으로써, 웨이퍼 W의 위치를 맞추도록 되어 있다.At one end of the transfer unit Q3, a position alignment mechanism Q4 for positioning the wafer W is provided, and by detecting the state of the wafer peripheral portion with the optical sensor Q4b while rotating the swivel table Q4a while the wafer W is mounted thereon, The position of the wafer W is aligned.

반송 유닛 Q3의 긴 쪽 방향의 측면에는, 카세트 스테이지 Q5가 마련되어 있다. 카세트 스테이지 Q5에는, 3개의 카세트 용기 LP1∼LP3이 탑재되어 있다. 각 카세트 용기 LP에는, 예컨대, 최대 25장의 웨이퍼 W가 다단으로 수용된다.The cassette stage Q5 is provided in the side surface of the conveyance unit Q3 in the longitudinal direction. Three cassette containers LP1 to LP3 are mounted in the cassette stage Q5. In each cassette container LP, for example, up to 25 wafers W are stored in multiple stages.

이러한 구성에 의해, 예컨대 카세트 용기 LP1 내의 25장의 웨이퍼 W는, 반송 아암 Armc에 의해, 카세트 용기 LP1→위치 정렬 기구 Q4→프로세스 쉽 Q1 또는 프로세스 쉽 Q2에 교대로 1장씩 반송되고, 또한, 반송 아암 Arma 또는 반송 아암 Armb에 의해 PM(400a) 또는 PM(400b)으로 반송되며, 에칭 처리 후, 다시 카세트 용기 LP1에 수용된다. 이와 같이 웨이퍼 W를 PM(400a) 및 PM(400b)에 교대로 1장씩 반송하는 방법을 OR 반송이라고 한다.With this configuration, for example, 25 wafers W in the cassette container LP1 are conveyed one by one in turn from the cassette container LP1 to the alignment mechanism Q4 to the process ship Q1 or the process ship Q2 by the transfer arm Armc. It is conveyed to PM 400a or PM 400b by Arma or conveyance arm Armb, and is accommodated in cassette container LP1 again after an etching process. Thus, the method of conveying the wafer W one by one in turn to PM400a and PM400b is called OR conveyance.

(PM의 내부 구성)(Internal configuration of PM)

다음에, 도 4에 모식적으로 나타낸 PM(400)의 종단면도를 참조하면서, PM(400)의 내부 구성에 대하여 설명한다. PM(400)은, 천정부 및 바닥부의 대략 중앙부가 개구된 각통(角筒) 형상의 처리 용기 C를 갖고 있다. 처리 용기 C의 천정부에는, 천정부의 대략 중앙부에서 개구된 덮개(405)가 장착되어 있다. 처리 용기 C의 측벽 상부 및 덮개(405)의 측벽 하부의 접면에는 O 링(410)이 마련되고, 이에 따라, 처리실 내의 기밀(氣密)이 유지되어 있다.Next, the internal structure of PM 400 is demonstrated, referring a longitudinal cross-sectional view of PM 400 typically shown in FIG. The PM 400 has a processing chamber C having a square cylinder shape in which a substantially central portion of the ceiling portion and the bottom portion are opened. On the ceiling of the processing container C, a cover 405 opened at an approximately center portion of the ceiling is mounted. The O-ring 410 is provided in the contact surface of the upper side wall of the processing container C, and the lower side wall of the lid | cover 405, and the airtight in a process chamber is hold | maintained by this.

처리 용기 C의 내부에는, 그 상방에서 상부 전극(415)이 마련되어 있다. 상 부 전극(415)은, 처리 용기 C의 상부의 개구 주연에 마련된 절연재(420)에 의해 처리 용기 C에 대하여 전기적으로 분리되어 있다.Inside the processing container C, the upper electrode 415 is provided above it. The upper electrode 415 is electrically separated from the processing container C by the insulating material 420 provided on the opening periphery of the upper part of the processing container C.

상부 전극(415)에는, 정합 회로(425)를 거쳐 고주파 전원(430)이 접속되어 있다. 정합 회로(425)에는, 그 주위로서 천정부의 대략 중앙부에 매칭 박스(435)가 마련되어 있어, 정합 회로(425)의 접지 프레임 본체로 되는 동시에 천정부를 밀폐하고 있다.The high frequency power supply 430 is connected to the upper electrode 415 via a matching circuit 425. The matching circuit 425 is provided with a matching box 435 at a substantially center portion of the ceiling as its periphery, and serves as a ground frame body of the matching circuit 425 and seals the ceiling.

상부 전극(415)에는, 또한, 가스 라인(440)을 거쳐 처리 가스 공급부(445)가 접속되어 있고, 처리 가스 공급부(445)로부터 공급되는 소망하는 가스를 복수의 가스 분사 구멍 A로부터 처리 용기 C 내로 분사한다. 이렇게 하여, 상부 전극(415)은, 가스 샤워 헤드로서도 기능하도록 되어 있다.The processing gas supply part 445 is further connected to the upper electrode 415 via the gas line 440, and the desired gas supplied from the processing gas supply part 445 is supplied from the plurality of gas injection holes A to the processing container C. Spray into. In this way, the upper electrode 415 also functions as a gas shower head.

처리 용기 C의 내부에는, 그 하방에서 하부 전극(455)이 마련되어 있다. 하부 전극(455)은, 웨이퍼 W를 탑재하는 서셉터로서도 기능한다. 하부 전극(455)은, 절연재(460)를 거쳐서 마련된 지지체(465)에 의해 지지되어 있다. 이에 따라, 하부 전극(455)은, 처리 용기 C에 대하여 전기적으로 분리되어 있다.Inside the processing container C, the lower electrode 455 is provided below. The lower electrode 455 also functions as a susceptor on which the wafer W is mounted. The lower electrode 455 is supported by the support 465 provided via the insulating material 460. Thereby, the lower electrode 455 is electrically separated from the processing container C. FIG.

처리 용기 C의 저면에 마련된 개구의 외주 근방에는, 벨로우즈(470)의 한쪽 단부가 장착되어 있다. 벨로우즈(470)의 다른쪽 단부에는, 승강 플레이트(475)가 고착되어 있다. 이러한 구성에 의해, 처리 용기 C의 저면의 개구부는, 벨로우즈(470) 및 승강 플레이트(475)에 의해 밀폐되어 있다. 또한, 하부 전극(455)은, 웨이퍼 W를 탑재하는 위치를 처리 프로세스에 따른 높이로 조정하기 위하여, 벨로우즈(470) 및 승강 플레이트(475)와 일체로 되어 승강한다.One end of the bellows 470 is attached to the outer periphery of the opening provided in the bottom surface of the processing container C. The elevating plate 475 is fixed to the other end of the bellows 470. By this structure, the opening part of the bottom face of the processing container C is sealed by the bellows 470 and the elevating plate 475. In addition, the lower electrode 455 moves up and down integrally with the bellows 470 and the lifting plate 475 in order to adjust the position at which the wafer W is mounted to the height according to the processing process.

하부 전극(455)은, 도전로(480), 임피던스 조정부(485)를 거쳐 승강 플레이트(465)에 접속되어 있다. 상부 전극(415) 및 하부 전극(455)은, 캐소드 전극 및 애노드 전극에 상당한다. 처리 용기 내부는, 배기 기구(490)에 의해 소망하는 진공도까지 감압된다. 이러한 구성에 의해, 게이트 밸브(495)의 개폐에 의하여 처리 용기 C의 기밀을 유지하면서 웨이퍼 W가 처리 용기 C의 내부에 반송된 상태에서, 처리 용기 내부에 공급된 가스가 인가된 고주파 전력에 의해 플라즈마화되고, 생성된 플라즈마의 작용에 의해 웨이퍼 W에 소망하는 에칭이 실시된다.The lower electrode 455 is connected to the elevating plate 465 via the conductive path 480 and the impedance adjusting unit 485. The upper electrode 415 and the lower electrode 455 correspond to a cathode electrode and an anode electrode. The inside of the processing container is decompressed to the desired degree of vacuum by the exhaust mechanism 490. With this configuration, the wafer W is conveyed into the processing container C while maintaining the airtightness of the processing container C by opening and closing the gate valve 495, and by the high frequency power to which the gas supplied into the processing container is applied. Plasmaization and the desired etching are performed on the wafer W by the action of the generated plasma.

(EC의 기능 구성)(Functional composition of EC)

다음에, EC의 기능 구성에 대하여, EC(200)의 각 기능을 블럭으로 나타낸 도 5를 참조하면서 설명한다. EC(200)는, 기억부(250), 선택부(255), 반송 제어부(260), 처리 실행 제어부(265) 및 통신부(270)의 각 블럭에 의해 표시되는 기능을 갖고 있다.Next, the functional configuration of the EC will be described with reference to Fig. 5 in which each function of the EC 200 is shown in blocks. The EC 200 has a function displayed by each block of the storage unit 250, the selection unit 255, the transfer control unit 260, the process execution control unit 265, and the communication unit 270.

기억부(250)는, 각 PM(400)에서 웨이퍼 W에 소망하는 처리를 실시하기 위한 처리 수순이 표시된 각종 레시피(레시피 a∼레시피 n)를 레시피군(250a)으로서 기억하고 있다. 또한, 기억부(250)는, 미세 가공 처리를 요구하고 있는지 여부를 나타낸 단위 파라미터(250b)를 기억한다. 또한, 기억부(250)는, 웨이퍼 W의 반송 경로를 선택할 때에 필요한 정보로서 경로 선택 정보(250c)를 기억한다. 경로 선택 정보(250c)로서는, 예컨대, 웨이퍼 W에 에칭 처리를 실시한 PM의 수순을 기억하여도 좋다. 또한, 각 PM을 클리닝할 때까지 각 PM에서 처리된 웨이퍼 W의 총 처리 매수를 PM마다 기억하여도 좋다. 또한, 각 PM을 클리닝할 때까지 각 PM에서 처리된 웨이퍼 W의 총 처리 시간을 PM마다 기억하여도 좋다.The storage unit 250 stores, as the recipe group 250a, various recipes (recipe a to recipe n) in which each PM 400 displays a processing procedure for performing a desired process on the wafer W. As shown in FIG. The storage unit 250 also stores the unit parameter 250b indicating whether or not the microfabrication process is requested. In addition, the storage unit 250 stores the path selection information 250c as information necessary for selecting the transfer path of the wafer W. FIG. As the path selection information 250c, for example, the procedure of PM which etched the wafer W may be stored. In addition, you may memorize | store the total number of sheets of the wafer W processed by each PM for every PM until each PM is cleaned. The total processing time of the wafer W processed in each PM may be stored for each PM until the PMs are cleaned.

선택부(255)는, 다음 웨이퍼 W를 반송해야 할 PM을 선택하는 동시에, 로트마다 요구되는 미세 가공의 정도에 따라서, 동일 처리실에 반송하는 기판의 단위를 1 로트 단위 또는 1 기판 단위 중 어느 것으로 할 것인가를 로트마다 선택한다.The selection unit 255 selects the PM to which the next wafer W is to be conveyed, and, according to the degree of fine processing required for each lot, the unit of the substrate to be conveyed to the same processing chamber as either one lot unit or one substrate unit. Choose from lot to lot.

선택부(255)는, 다음 웨이퍼 W를 반송해야 할 PM으로서, 예컨대, 기억부(250)에 기억된 PM의 수순에 근거하여, 레시피에 의해 지정된 PM군(예컨대, PM(400a) 및 PM(400b)이 지정) 중 가장 이전에 처리가 실시된 PM(400)을 선택한다.The selection unit 255 is a PM to be conveyed to the next wafer W, for example, based on the procedure of the PM stored in the storage unit 250, for example, the PM group designated by the recipe (for example, PM 400a and PM ( 400b) selects the PM 400 that has been processed before.

선택부(255)는, 다음 웨이퍼 W를 반송해야 할 PM으로서, 기억부(250)에 기억된 웨이퍼 W의 총 처리 매수에 근거하여, 레시피에 의해 지정된 PM군 중 가장 처리 매수가 적은 PM(400)을 선택하도록 하여도 좋다. 또한, 선택부(255)는, 기억부(250)에 기억된 웨이퍼 W의 총 처리 시간에 근거하여, 레시피에 의해 지정된 PM군 중 가장 처리 시간이 짧은 PM(400)을 선택하도록 하여도 좋다.The selection unit 255 is a PM to be conveyed to the next wafer W. The PM 400 with the smallest number of processing sheets among the PM groups designated by the recipe is based on the total number of processing sheets of the wafer W stored in the storage unit 250. ) May be selected. In addition, the selection unit 255 may select the PM 400 having the shortest processing time among the PM groups designated by the recipe, based on the total processing time of the wafer W stored in the storage unit 250.

반송 제어부(260)는, 선택부(255)에 의해 선택된 단위에 포함되는 웨이퍼 W를 선택부(255)에 의해 선택된 PM(400)에 차례로 반송한다. 구체적으로는, 선택부(255)에 의해 1 로트 단위가 선택된 경우, 반송 제어부(260)는, 해당 로트에 포함되는 모든 웨이퍼 W를, 예컨대 다음에 선택해야 할 처리실로서 특정된 PM(400a)에만 차례로 반송한다(PM(400b)에는 반송하지 않음).The transfer control unit 260 sequentially transfers the wafers W included in the unit selected by the selection unit 255 to the PM 400 selected by the selection unit 255. Specifically, when one lot unit is selected by the selection unit 255, the transfer control unit 260 selects only all the wafers W included in the lot, for example, only in the PM 400a specified as the processing chamber to be selected next. It conveys in order (it does not convey to PM 400b).

한편, 선택부(255)에 의해 1 웨이퍼 단위가 선택된 경우, 반송 제어부(260)는, 해당 로트에 포함되는 최초의 웨이퍼를, 가장 이전에 처리가 실시된 PM(400)(또는, 가장 처리 매수가 적은 PM(400) 또는 가장 처리 시간이 짧은 PM(400))에 반송하고, 동 로트에 포함되는 다음 웨이퍼를 다음 PM에 반송하는 반송 방법을 동 로트에 포함되는 마지막 웨이퍼까지 반복한다.On the other hand, when one wafer unit is selected by the selection unit 255, the transfer control unit 260 performs the PM 400 (or the number of the most processed sheets) on which the first wafer included in the lot has been previously processed. The conveyance method which conveys to the few PM 400 or PM 400 with the shortest processing time, and conveys the next wafer contained in the lot to the next PM is repeated until the last wafer contained in the lot.

처리 실행 제어부(265)는, 오퍼레이터로부터 지정된 레시피를 기억부(250)로부터 선택하고, 반송 제어부(260)에 의해 PM(400) 내에 반송된 웨이퍼 W에 대해, 선택된 레시피에 나타난 수순에 근거하여 에칭 처리를 실행하기 위한 제어 신호를 생성한다.The process execution control unit 265 selects the recipe designated by the operator from the storage unit 250 and etches the wafer W transferred to the PM 400 by the transfer control unit 260 based on the procedure shown in the selected recipe. Generates a control signal for executing the process.

통신부(270)는, 주로 MC(300)와 정보를 송수신한다. 예컨대, 통신부(270)는, 처리 실행 제어부(265)에 의해 생성된 제어 신호를 MC(300)에 송출하고, 이에 따라, PM(400)에서 소망하는 에칭 처리를 실행하도록 MC(300)에 지시한다.The communication unit 270 mainly transmits and receives information with the MC 300. For example, the communication unit 270 sends the control signal generated by the process execution control unit 265 to the MC 300, thereby instructing the MC 300 to execute the desired etching process in the PM 400. do.

또, 이상 설명한 EC(200)의 각 부분의 기능은, 실제로는, 도 2의 CPU(215)가 이들의 기능을 실현하는 처리 수순을 기술한 프로그램(레시피를 포함함)을 기억한 ROM(205)이나 RAM(210) 등의 기억 매체로부터 프로그램을 판독하고, 그 프로그램을 해석하여 실행하는 것에 의해 달성된다. 예컨대, 본 실시예에서는, 선택부(255), 반송 제어부(260), 처리 실행 제어부(265)의 각 기능은, 실제로는, CPU(215)가 이들의 기능을 실현하는 처리 수순을 기술한 프로그램을 실행함으로써 달성된다.Incidentally, the functions of the respective parts of the EC 200 described above are actually ROMs 205 storing programs (including recipes) describing the processing procedures for the CPU 215 of FIG. 2 to realize these functions. And reading the program from a storage medium such as the RAM 210 and analyzing and executing the program. For example, in this embodiment, each of the functions of the selection unit 255, the transfer control unit 260, and the process execution control unit 265 is actually a program that describes the processing procedure for the CPU 215 to realize these functions. Is achieved by running

(EC의 동작)(Operation of EC)

다음에, EC(200)에 의해 실행되는 프로세스 실행 처리에 대하여, 본 실시예의 특징인 반송 수순 선택 처리를 중심으로 도 6∼도 8에 나타낸 플로우차트를 참 조하면서 설명한다. 도 6은 프로세스 실행 처리를 나타낸 메인루틴이고, 도 7은 프로세스 실행 처리 중에 호출되는 반송 수순 선택 처리를 나타낸 서브루틴이며, 도 8은 반송 수순 선택 처리 종료 후, 프로세스 실행 처리 중에 호출되는 프로세스 실행 제어 처리를 나타낸 서브루틴이다.Next, the process execution processing executed by the EC 200 will be described with reference to the flowcharts shown in Figs. 6 to 8 centering on the transport procedure selection processing, which is a feature of the present embodiment. Fig. 6 is a main routine showing a process execution process, Fig. 7 is a subroutine showing a return procedure selection process called during the process execution process, and Fig. 8 is a process execution control called during the process execution process after the return procedure selection process ends. Subroutine showing treatment.

오퍼레이터가, 레시피 a 및 로트 번호를 지정하여 로트 스타트 버튼을 「온(on)」시키면, 해당 로트가 투입되어, 그 로트에 포함되는 25장의 웨이퍼를 차례로 반송할 준비가 갖추어진다. 이 타이밍에 맞춰, 도 6의 단계 600으로부터 프로세스 실행 처리가 개시되어, 단계 605에서 반송 수순 선택 처리가 호출되고, 반송 수순 선택 처리 종료 후, 단계 610으로 진행하여 프로세스 실행 제어 처리가 호출되며, 프로세스 실행 제어 처리 종료 후, 단계 695에서 본 처리가 종료한다.When the operator designates recipe a and the lot number and "on" the lot start button, the lot is put in and ready to convey 25 wafers included in the lot in turn. In accordance with this timing, the process execution process is started from step 600 of FIG. 6, the conveyance procedure selection process is called in step 605, and after the conveyance procedure selection process ends, the process proceeds to step 610 and the process execution control process is called. After the execution control processing ends, the processing ends in step 695.

(반송 수순 선택 처리)(Return procedure selection processing)

도 6의 단계 605에서 호출된 반송 수순 선택 처리는, 도 7의 단계 700으로부터 개시되어, 단계 705로 진행하고, 선택부(255)는, 시스템 기동 후 처음의 처리인지 여부를 판정한다. 기판 처리 장치가 아이들(idle) 상태일 때, 선택부(255)는, 기동 후 처음의 처리라고 판정하고, 단계 710으로 진행하여, 레시피에 의해 지정된 PM군 중, 가장 번호가 작은 PM(400)을 선택한다. 여기서는, 레시피에 의해 PM(400a) 및 PM(400b)이 지정되어 있는 것으로 한다. 그래서, 선택부(255)는, 가장 번호가 작은 PM(400a)을 선택한다.The conveyance procedure selection process called in step 605 of FIG. 6 starts from step 700 of FIG. 7, proceeds to step 705, and the selection unit 255 determines whether it is the first process after system startup. When the substrate processing apparatus is in the idle state, the selection unit 255 determines that it is the first process after startup, and the flow proceeds to step 710, where the PM 400 having the smallest number among the PM groups specified by the recipe is selected. Select. Here, it is assumed that PMs 400a and 400b are designated by the recipe. Thus, the selection unit 255 selects the PM 400a having the smallest number.

한편, 처음의 처리가 아닌 경우, 단계 715로 진행하고, 선택부(255)는, 레시 피에 의해 지정된 PM군 중, 최종 처리가 실행된 PM(400)의 다음 PM(400)을 선택한다. 예컨대, 마지막으로 웨이퍼 W를 처리한 PM이 PM(400b)이었을 경우, 선택부(255)는 단계 715에서 PM(400a)을 선택한다.On the other hand, if it is not the first process, the process proceeds to step 715, and the selection unit 255 selects the next PM 400 of the PM 400 in which the final process has been performed among the PM groups designated by the recipe. For example, when the PM which processed the wafer W last was the PM 400b, the selection unit 255 selects the PM 400a in step 715.

이렇게 하여, 단계 710 또는 단계 715에서 다음에 웨이퍼 W를 반송해야 할 PM(400)이 선택된 후, 단계 720으로 진행하고, 선택부(255)는, 미세 가공인지 여부를 판정한다. 즉, 선택부(255)는, 기억부(250)에 기억되어 있는 단위 파라미터가 유효인지 여부를 판정한다.In this way, after the PM 400 to which the wafer W should be conveyed next is selected in step 710 or step 715, the flow advances to step 720, and the selection unit 255 determines whether or not it is fine machining. That is, the selection unit 255 determines whether the unit parameter stored in the storage unit 250 is valid.

미세 가공 처리가 요구되어 있지 않은 경우(즉, 단위 파라미터가 무효를 나타내고 있는 경우), 단계 725로 진행하여, 선택부(255)는, 반송 단위로서 웨이퍼 단위를 선택하고 단계 795로 진행해 본 처리를 종료한다. 한편, 단계 720에서 미세 가공 처리가 요구되어 있는 경우(즉, 단위 파라미터가 유효를 나타내고 있는 경우), 선택부(255)는, 단계 730으로 진행하여, 반송 단위로서 로트 단위를 선택하고 단계 795로 진행해 본 처리를 종료한다.If the micromachining process is not required (i.e., the unit parameter indicates invalidity), the process proceeds to step 725, and the selection unit 255 selects the wafer unit as the transfer unit, and proceeds to step 795 to perform this process. Quit. On the other hand, when the micromachining process is requested in step 720 (that is, when the unit parameter indicates valid), the selecting unit 255 proceeds to step 730 to select a lot unit as the conveying unit, and to step 795. Proceed to this process to end.

또, 미세 가공 처리인지 여부는, 상술한 바와 같이, 오퍼레이터가, 미리 단위 파라미터에 유효 또는 무효를 지정하여, 단위 파라미터가 유효이면 미세 가공 처리라고 판정하고, 단위 파라미터가 무효이면 미세 가공 처리가 아니라고 판정하여도 좋고, 혹은, 오퍼레이터에 의해 지정된 레시피의 종류나 레시피의 내용으로부터 판정하여도 좋다.In addition, whether or not it is a micromachining process, as mentioned above, an operator designates valid or invalid to a unit parameter previously, and determines that it is a micromachining process, if a unit parameter is valid, and it is not a micromachining process if a unit parameter is invalid. It may be determined, or may be determined from the type of recipe or content of the recipe designated by the operator.

(프로세스 실행 제어 처리)(Process execution control processing)

도 7의 반송 수순 선택 처리가 종료하면, 도 6의 단계 610에서 도 8의 프로세스 실행 제어 처리가 호출되고, 이에 따라, 도 8의 단계 800으로부터 프로세스 실행 제어 처리가 개시되며, 단계 805로 진행하여, 처리 실행 제어부(265)는, 기억부(250)에 기억된 레시피군으로부터, 오퍼레이터에 의해 지정된 레시피 a를 선택한다.When the return procedure selection process of FIG. 7 ends, the process execution control process of FIG. 8 is called in step 610 of FIG. 6, whereby the process execution control process starts from step 800 of FIG. 8, and the flow proceeds to step 805. The processing execution control unit 265 selects the recipe a specified by the operator from the recipe group stored in the storage unit 250.

다음에, 단계 810으로 진행하여, 반송 제어부(260)는, 선택부(255)에 의해 선택된 반송 단위에 따라서, 반송 경로를 나타낸 제어 신호를 생성한다. 예컨대, 오퍼레이터가, 도 9(a)에 나타낸 시스템 레시피 편집 화면에서 OR 반송 경로(즉, PM1 or PM2)의 시스템 레시피를 작성하고, 도 9(b)에 나타낸 스타트 화면에서, 앞서 작성한 OR 반송 경로의 시스템 레시피를 지정하여, 스타트를 온시킨 경우에도, 선택부(255)에 의해 로트 단위가 선택되어 있을 때에는, 기판 처리 장치의 상태를 표시한 도 9(c)에 나타낸 바와 같이, 반송 제어부(260)는, OR 지정 PM군(PM1 or PM2) 중에서 전술한 방법에 의해 1개의 PM(여기서는, PM1)을 선택하여, 해당 로트의 모든 웨이퍼 W를 PM1(PM(400a))에 의해 에칭 처리하도록, 해당 로트의 최초의 웨이퍼 W에서부터 최후의 웨이퍼 W까지 차례로 PM1에 반송하는 제어 신호를 생성한다. 따라서, 해당 로트의 모든 웨이퍼 W는 PM2(PM(400b))에는 반송되지 않게 된다.Next, the process proceeds to step 810, and the transport control unit 260 generates a control signal indicating the transport path in accordance with the transport unit selected by the selection unit 255. For example, an operator creates a system recipe of an OR conveyance path (i.e., PM1 or PM2) in the system recipe edit screen shown in FIG. 9 (a), and the OR conveyance path created earlier in the start screen shown in FIG. 9 (b). Even when a system unit is designated and the start is turned on, when the lot unit is selected by the selection unit 255, as shown in FIG. 9 (c) which shows the state of the substrate processing apparatus, the transfer control unit ( 260 selects one PM (here, PM1) from the OR-specified PM group PM1 or PM2 by the method described above, and etches all wafers W of the lot by PM1 (PM 400a). The control signal conveyed to PM1 from the first wafer W of the said lot to the last wafer W in order is produced | generated. Therefore, all the wafers W of this lot are not conveyed to PM2 (PM400b).

이어서, 단계 815로 진행하여, 처리 실행 제어부(265)는, 레시피 a에 표시된 처리 수순에 따른 에칭 처리를 나타낸 제어 신호를 생성하고, 단계 820으로 진행하여, 통신부(270)는, 생성된 제어 신호를 MC(300)에 송신한다.Subsequently, the process proceeds to step 815, the process execution control unit 265 generates a control signal indicating the etching process according to the process procedure shown in recipe a, and the process proceeds to step 820, where the communication unit 270 generates the generated control signal. To the MC 300.

다음에, 단계 825로 진행하여, 처리 실행 제어부(265)는, 해당 로트의 마지막 웨이퍼 W라고 판정될 때까지, 단계 810∼825의 처리를 반복한다. 이에 따라, 해당 로트의 모든 웨이퍼 W는, PM(400a)에서 에칭 처리되고, 마지막 웨이퍼 W를 처리한 후, 단계 830으로 진행하여 최종 처리 PM 번호 「PM(400a)」을 경로 선택 정보의 하나로서 보존하고, 단계 895로 진행하여 본 처리를 종료한다.Next, the process proceeds to step 825, and the process execution control unit 265 repeats the processes of steps 810 to 825 until it is determined that it is the last wafer W of the lot. Accordingly, all the wafers W of the lot are etched in the PM 400a, and after processing the last wafer W, the process proceeds to step 830 where the final process PM number "PM 400a" is used as one of the path selection information. The process then proceeds to step 895 to end this processing.

예컨대, LP1, LP2, LP3에 수용된 로트 1, 로트 2, 로트 3이 모두 미세 가공의 대상인 경우, 도 10에 나타낸 바와 같이, 로트 1의 모든 웨이퍼는 PM1(PM(400a))에서 처리되고, 로트 2의 모든 웨이퍼는, 그 시점에서 가장 이전에 처리가 실시된 처리실인 PM2(PM(400b))에서 처리되며, 로트 3의 모든 웨이퍼는, 그 시점에서 가장 이전에 처리가 실시된 처리실인 PM1(PM(400a))에서 처리된다.For example, when Lot 1, Lot 2, and Lot 3 housed in LP1, LP2, LP3 are all subject to micromachining, as shown in FIG. 10, all wafers of Lot 1 are processed in PM1 (PM 400a), and Lot All wafers of 2 are processed in PM2 (PM 400b), which is the processing chamber that was most recently processed at that time, and all wafers of lot 3 are processed in PM1 (processing chamber, which was most recently processed at that time). PM 400a).

한편, 선택부(255)에 의해 웨이퍼 단위가 선택되어 있을 때, 단계 810에서, 처리 실행 제어부(265)는, 시스템 레시피에 의해 지정된 PM군에 OR 반송을 하도록 지정한 제어 신호를 생성한다. 이렇게 하여 생성된 반송용의 제어 신호와 함께 단계 815에서 생성된 프로세스 실행용의 제어 신호를 MC(300)에 송신하는 것을 최종 로트까지 반복한다. 이에 따라, 로트의 최초의 웨이퍼 W는, 앞서 선택된 PM(400a)에 반송되고, 동 로트의 다음 웨이퍼 W는, 다음 처리실인 PM(400b)에 반송되며, 동 로트의 그 다음 웨이퍼 W는, 그 다음 처리실인 PM(400a)에 반송된다. 이와 같이 하여, 해당 로트의 최종 웨이퍼 W까지, 웨이퍼 W는, PM(400a) 및 PM(400b)에 교대로 1장씩 OR 반송되어, 각 PM(400)에 의해 병행하여 에칭 처리된다.On the other hand, when the wafer unit is selected by the selection unit 255, in step 810, the process execution control unit 265 generates a control signal designated to perform OR transport to the PM group designated by the system recipe. The transmission of the control signal for process execution generated in step 815 to the MC 300 together with the generated control signal for the transfer is repeated until the final lot. Thereby, the first wafer W of the lot is conveyed to the PM 400a previously selected, the next wafer W of the lot is conveyed to the PM 400b which is the next processing chamber, and the next wafer W of the lot is It is conveyed to PM400a which is a next process room. In this way, up to the final wafer W of the lot, the wafers W are OR-transferred one by one to the PM 400a and the PM 400b alternately, and are etched in parallel by the respective PMs 400.

이상에서 설명한 바와 같이, 로트 단위의 반송에 따르면, 로트 내의 모든 웨 이퍼 W를 동일 PM 내에 반송하여 처리함으로써, 동일 환경 하에서 1 로트에 포함되는 모든 웨이퍼 W에 균일하게 처리를 실시할 수 있으며, 이에 따라, 1 로트 단위로 특성에 편차가 없는 동일 제품을 제조할 수 있다. 한편, 웨이퍼 W 단위의 OR 반송에 따르면, 병행하여 웨이퍼 W가 처리되기 때문에, 로트 단위로 반송하는 경우에 비해 스루풋을 향상시킬 수 있다.As described above, according to the conveying in a lot unit, all wafers W in a lot are conveyed and processed in the same PM, and it can process uniformly to all the wafers W contained in one lot in the same environment. Therefore, it is possible to produce the same product without variation in characteristics in units of one lot. On the other hand, according to the OR conveyance of the wafer W unit, since the wafer W is processed in parallel, the throughput can be improved compared with the case of conveying by the lot unit.

웨이퍼 단위의 반송에서는, 제품용 웨이퍼를 반송하기 전에, 시용 웨이퍼가, 레시피에 의해 지정된 PM군의 각 처리실에, 예컨대, 1장씩 반송된다. 즉, 웨이퍼 단위의 OR 반송에서는, 제품 웨이퍼를 처리할 수 있는 상태에 있는지를 확인할 목적으로, 제품 웨이퍼를 반송하기 전에, OR 반송되는 모든 처리실에 시용 웨이퍼를 반송할 필요가 있다. 따라서, OR 반송에서는, OR 반송되는 전체 처리실분의 시용 웨이퍼가 최저한 필요하게 된다.In the conveyance on a wafer basis, the trial wafer is conveyed, for example, one by one to each processing chamber of the PM group designated by the recipe before conveying the wafer for the product. That is, in OR conveyance by a wafer unit, it is necessary to convey a trial wafer to all the process chambers which are OR-conveyed before conveying a product wafer in order to confirm whether it is in the state which can process a product wafer. Therefore, in OR conveyance, the minimum amount of the test wafers for all the process chambers which are OR-conveyed is needed.

그러나, 로트 단위의 반송에서는, 제품용 기판을 반송하기 전에, 시용 웨이퍼는, 선택부(255)에 의해 선택된 PM에, 예컨대, 1장만 반송된다. 즉, 로트 단위의 반송에서는, 로트 내의 모든 웨이퍼 W는, 선택된 하나의 처리실로 반송되기 때문에, 시용 웨이퍼 W도 최저한 1개면 되어, 이에 따라, 비용을 줄일 수 있다.However, in lot unit conveyance, for example, only one sheet of a trial wafer is conveyed to PM selected by the selection part 255 before conveying the board | substrate for products. That is, in the conveyance of a lot unit, since all the wafers W in a lot are conveyed to the selected one process chamber, at least one trial wafer W is also needed, and, thereby, cost can be reduced.

(제 2 실시예)(Second embodiment)

다음에, 제 2 실시예에 따른 기판 처리 시스템(10)에 대하여 설명한다. 제 2 실시예에서는, 다음에 반송해야 할 PM(400)을 선택할 때에, 보다 사용 빈도가 낮은 PM(400)을 선택하는 방법으로서 각 PM(400)에서 처리된 웨이퍼 W의 매수에 착안 하고 있다는 점에서, 가장 이전에 사용한 PM(400)에 착안하고 있는 제 1 실시예와 다르다. 따라서, 이 차이점을 중심으로 본 실시예에 따른 기판 처리 시스템(10)에 대하여, 도 11 및 도 12를 참조하면서 설명한다.Next, the substrate processing system 10 according to the second embodiment will be described. In the second embodiment, when selecting the PM 400 to be conveyed next time, attention is paid to the number of wafers W processed by each PM 400 as a method of selecting a PM 400 having a less frequent use. Is different from the first embodiment focusing on the PM 400 most recently used. Accordingly, the substrate processing system 10 according to the present embodiment will be described with reference to Figs. 11 and 12 based on this difference.

제 2 실시예에서는, EC(200)의 기능 구성(도 5 참조)은 동일하며, 도 11의 반송 수순 선택 처리 및 도 12의 프로세스 실행 제어 처리의 일부가 상이하다. 구체적으로는, 도 6의 프로세스 실행 처리 중에 호출되는 도 11의 반송 수순 선택 처리에서는, 단계 1100에 계속되는 단계 705에서 처음의 처리인 경우, 제 1 실시예와 마찬가지로, 단계 710을 실행하지만, 단계 705에서 처음의 처리가 아닌 경우, 단계 1105로 진행하여, 선택부(255)는, 시스템 레시피에 의해 지정된 PM군 중, 웨이퍼의 총 처리 매수가 가장 적은 PM(400)을 선택한다. 또, 웨이퍼의 총 처리 매수는 기억부(250)의 경로 선택 정보(250c)의 하나로서 PM마다 누적되어 있다.In the second embodiment, the functional configuration (see Fig. 5) of the EC 200 is the same, and some of the conveyance procedure selection processing in Fig. 11 and the process execution control processing in Fig. 12 are different. Specifically, in the return procedure selection process of FIG. 11 called during the process execution process of FIG. 6, if it is the first process in step 705 following step 1100, step 710 is executed in the same manner as in the first embodiment, but step 705 is performed. If it is not the first process, the process proceeds to step 1105, where the selector 255 selects the PM 400 having the smallest total number of wafers processed from the PM group designated by the system recipe. The total number of processed wafers is accumulated for each PM as one of the path selection information 250c of the storage unit 250.

이렇게 하여, 다음에 반송해야 할 PM을 선택한 후, 선택부(255)는, 제 1 실시예의 경우와 마찬가지로 단계 720∼단계 730을 처리하고, 단계 1195로 진행하여 본 처리를 종료한다.In this way, after selecting PM to be conveyed next, the selection part 255 processes step 720 to step 730 similarly to the case of 1st Embodiment, and progresses to step 1195 and complete | finishes this process.

도 11의 반송 수순 선택 처리의 종료 후, 도 6의 프로세스 실행 처리 중에 호출되는 도 12의 프로세스 실행 제어 처리에서는, 단계 1200에 계속되는 단계 805∼단계 815에서, 처리 실행 제어부(265)는, 제 1 실시예와 마찬가지로 웨이퍼 W의 반송 및 웨이퍼 W의 에칭 처리를 제어하기 위한 제어 신호를 생성하고, 단계 820에서, 통신부(270)는, 생성된 제어 신호를 MC(300)에 송신한다. 그 후, 단계 1205로 진행하여, 처리 실행 제어부(265)는, 해당 PM에서 처리된 웨이퍼의 매수를 카운트 하고, 단계 825로 진행한다.In the process execution control process of FIG. 12 called during the process execution process of FIG. 6 after the return procedure selection process of FIG. 11, in step 805 to step 815 following step 1200, the process execution control unit 265 performs the first process. As in the embodiment, a control signal for controlling the transfer of the wafer W and the etching process of the wafer W is generated. In step 820, the communication unit 270 transmits the generated control signal to the MC 300. After that, the process proceeds to step 1205 and the process execution control unit 265 counts the number of wafers processed in the PM, and proceeds to step 825.

단계 825에서, 처리된 웨이퍼 W가 로트의 최종 웨이퍼라고 판정할 때까지, 처리 실행 제어부(265)는, 단계 810∼단계 820 및 단계 1205의 처리를 반복하고, 단계 825에서 최종 웨이퍼라고 판정한 경우, 단계 1210으로 진행하여, 기억부(250)는, 클리닝할 때까지 각 PM(400)에서 처리한 웨이퍼의 총 처리 매수를 PM마다 보존한다.In step 825, the process execution control unit 265 repeats the processing in steps 810 to 820 and step 1205 until it determines that the processed wafer W is the final wafer in the lot, and determines in step 825 that it is the final wafer. Proceeding to step 1210, the storage unit 250 stores the total number of processed sheets of wafers processed by each PM 400 for each PM until cleaning.

이것에 따르면, 기판은, 시스템 레시피에 의해 지정된 PM군 중 처리 매수가 가장 적은 PM(400)으로 반송된다. 이에 따라, 사용 빈도가 낮다고 예측되는 PM(400)에서 웨이퍼 W를 처리할 수 있다. 이 결과, 복수의 PM(400)의 사용 빈도가 치우치는 일 없이, 가능한 한 평균적으로 모든 PM(400)에서 소망하는 처리를 실행할 수 있다. 이에 따라, 각 PM(400)의 분위기의 편차를 억제하여, 이에 따라, 각 PM(400)에서 실시되는 처리의 편차를 극력 억제할 수 있다.According to this, the board | substrate is conveyed to PM400 with the fewest number of processes among the PM group designated by the system recipe. Accordingly, the wafer W can be processed in the PM 400 predicted to have low use frequency. As a result, the desired processing can be executed in all the PMs 400 on the average as much as possible without the frequency of use of the plurality of PMs 400 being biased. Thereby, the dispersion | variation in the atmosphere of each PM 400 can be suppressed, and the variation of the process performed by each PM 400 can be suppressed as much as possible by this.

(제 3 실시예)(Third embodiment)

다음에, 제 3 실시예에 따른 기판 처리 시스템(10)에 대하여 설명한다. 제 3 실시예에서는, 다음에 반송해야 할 PM(400)을 선택할 때에, 보다 사용 빈도가 낮은 PM(400)을 선택하는 방법으로서 각 PM(400)을 이용한 웨이퍼 W의 처리 시간에 착안하고 있다는 점에서, 각 PM(400)에서 처리된 웨이퍼 W의 매수에 착안하고 있는 제 2 실시예와 다르다. 따라서, 이 상위점을 중심으로 본 실시예에 따른 기판 처리 시스템(10)에 대하여, 도 13 및 도 14를 참조하면서 설명한다.Next, the substrate processing system 10 according to the third embodiment will be described. In the third embodiment, when selecting the PM 400 to be conveyed next, attention is paid to the processing time of the wafer W using each PM 400 as a method of selecting a PM 400 having a lower frequency of use. Is different from the second embodiment focusing on the number of wafers W processed by each PM 400. Accordingly, the substrate processing system 10 according to the present embodiment will be described with reference to FIG. 13 and FIG. 14 mainly based on this difference.

제 3 실시예에서는, EC(200)의 기능 구성(도 5 참조)은 동일하며, 도 13의 반송 수순 선택 처리 및 도 14의 프로세스 실행 제어 처리의 일부가 상이하다. 구체적으로는, 도 6의 프로세스 실행 처리 중에 호출되는 도 13의 반송 수순 선택 처리에서는, 단계 1300에 계속되는 단계 705에서 처음의 처리인 경우, 제 2 실시예와 마찬가지로, 단계 710을 실행하지만, 단계 705에서 처음의 처리가 아닌 경우, 단계 1305로 진행하여, 선택부(255)는, 시스템 레시피에 의해 지정된 PM군 중, 웨이퍼의 총 처리 시간이 가장 짧은 PM(400)을 선택한다. 또, 웨이퍼의 총 처리 시간은 기억부(250)의 경로 선택 정보(250c)의 하나로서 PM마다 누적되어 있다.In the third embodiment, the functional configuration of the EC 200 (see FIG. 5) is the same, and part of the conveyance procedure selection process in FIG. 13 and the process execution control process in FIG. 14 are different. Specifically, in the return procedure selection process of FIG. 13 called during the process execution process of FIG. 6, if it is the first process in step 705 following step 1300, step 710 is executed in the same manner as in the second embodiment, but step 705 is performed. If it is not the first process, the process proceeds to step 1305, where the selector 255 selects the PM 400 having the shortest total processing time of the wafer among the PM groups designated by the system recipe. The total processing time of the wafer is accumulated for each PM as one of the path selection information 250c of the storage unit 250.

이렇게 하여, 다음에 반송해야 할 PM을 선택한 후, 선택부(255)는, 제 2 실시예의 경우와 마찬가지로 단계 720∼단계 730을 처리하고, 단계 1395로 진행하여 본 처리를 종료한다.In this way, after selecting PM to be conveyed next, the selection part 255 processes step 720 to step 730 similarly to the case of 2nd Example, and progresses to step 1395 and complete | finishes this process.

반송 수순 선택 처리 종료 후, 도 6의 프로세스 실행 처리 중에 호출되는 도 14의 프로세스 실행 제어 처리에서는, 단계 1400에 계속되는 단계 805∼단계 820에서, 처리 실행 제어부(265)는, 제 2 실시예와 마찬가지로 웨이퍼 W의 반송 및 웨이퍼 W의 처리를 제어하기 위한 제어 신호를 생성하고, 통신부(270)는, 생성된 제어 신호를 MC(300)에 송신한다. 그 후, 단계 1405로 진행하여, 처리 실행 제어부(265)는, 해당 PM에서 웨이퍼가 처리된 시간을 카운트하고, 단계 825로 진행한다.In the process execution control process of FIG. 14 called during the process execution process of FIG. 6 after completion of the return procedure selection process, in steps 805 to 820 following step 1400, the process execution control unit 265 is similar to the second embodiment. The control signal for controlling the conveyance of the wafer W and the processing of the wafer W is generated, and the communication unit 270 transmits the generated control signal to the MC 300. After that, the process proceeds to step 1405 and the process execution control unit 265 counts the time the wafer has been processed in the corresponding PM, and proceeds to step 825.

단계 825에서 처리된 웨이퍼 W가 로트의 최종 웨이퍼라고 판정할 때까지, 처리 실행 제어부(265)는, 단계 810∼단계 820 및 단계 1405의 처리를 반복하고, 단 계 825에서 최종 웨이퍼라고 판정한 경우, 단계 1410으로 진행하여, 기억부(250)는, 클리닝할 때까지 각 PM(400)에서 처리한 웨이퍼의 총 처리 시간을 PM마다 보존한다.The process execution control unit 265 repeats the processing of steps 810 to 820 and 1405 until it is determined that the wafer W processed in step 825 is the final wafer of the lot, and determines that the wafer W is the final wafer in step 825. In step 1410, the storage unit 250 stores the total processing time of the wafers processed by the PMs 400 for each PM until cleaning.

이것에 따르면, 선택된 단위에 포함되는 기판은, 시스템 레시피에 의해 지정된 PM군 중 처리 시간이 가장 짧은 PM(400)에 반송된다. 이에 따라, 사용 빈도가 낮다고 예측되는 PM(400)에서 웨이퍼 W를 처리할 수 있다. 이 결과, 복수의 PM(400)의 사용 빈도가 치우치는 일 없이, 가능한 한 평균적으로 모든 PM(400)에서 소망하는 처리를 실행할 수 있다. 이에 따라, 각 PM(400)의 분위기의 편차를 억제하여, 이에 따라, 각 PM(400)에서 실시되는 처리의 편차를 극력 억제할 수 있다.According to this, the board | substrate contained in a selected unit is conveyed to the PM 400 with the shortest processing time among PM groups designated by the system recipe. Accordingly, the wafer W can be processed in the PM 400 predicted to have low use frequency. As a result, the desired processing can be executed in all the PMs 400 on the average as much as possible without the frequency of use of the plurality of PMs 400 being biased. Thereby, the dispersion | variation in the atmosphere of each PM 400 can be suppressed, and the variation of the process performed by each PM 400 can be suppressed as much as possible by this.

이상에서 설명한 각 실시예에 있어서, 각 부의 동작은 서로 관련되어 있어, 서로의 관련성을 고려하면서, 일련의 동작으로서 치환할 수 있으며, 이에 따라, 기판 처리 장치의 제어 장치의 실시예를, 기판 처리 장치의 제어 방법의 실시예로 할 수 있다. 또한, 상기 각 부의 동작을, 각 부의 처리로 치환함으로써, 기판 처리 장치의 제어 방법의 실시예를, 기판 처리 장치의 제어 프로그램의 실시예로 할 수 있다. 또한, 기판 처리 장치의 제어 프로그램을 컴퓨터 판독 가능한 기록 매체에 기억시키는 것에 의해, 기판 처리 장치의 제어 프로그램의 실시예를 제어 프로그램에 기록한 컴퓨터 판독 가능한 기록 매체의 실시예로 할 수 있다.In each of the embodiments described above, the operations of the respective parts are related to each other, and can be replaced as a series of operations while taking into account the relationship with each other. Thus, the embodiment of the control device of the substrate processing apparatus is subjected to substrate processing. It can be set as an embodiment of the control method of the device. In addition, by substituting the operation of each unit by the processing of each unit, the embodiment of the control method of the substrate processing apparatus can be made into the embodiment of the control program of the substrate processing apparatus. Further, by storing the control program of the substrate processing apparatus in a computer readable recording medium, the embodiment of the control program of the substrate processing apparatus can be made into the embodiment of the computer readable recording medium in which the control program is recorded.

이상, 첨부 도면을 참조하면서 본 발명의 바람직한 실시예에 대하여 설명하였지만, 본 발명은 이러한 예에 한정되지 않는 것은 말할 필요도 없다. 당업자라면, 특허 청구의 범위에 기재된 범주 내에서, 각종 변경예 또는 수정례를 생각할 수 있음은 분명하며, 그것들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.As mentioned above, although preferred embodiment of this invention was described referring an accompanying drawing, it cannot be overemphasized that this invention is not limited to this example. It is apparent to those skilled in the art that various changes or modifications can be made within the scope of the claims, and they are naturally understood to belong to the technical scope of the present invention.

(기판 처리 장치의 변형예)(Modified example of substrate processing apparatus)

예컨대, 기판 처리 장치로서는, 도 3에 나타낸 구조에 한정되지 않고, 도 15에 나타낸 구조를 갖고 있어도 좋다. 도 15의 기판 처리 장치에는, 웨이퍼 W를 반송하는 반송 시스템 H와 웨이퍼 W에 대하여 성막 처리 또는 에칭 처리 등의 기판 처리를 하는 처리 시스템 S가 마련되어 있다. 반송 시스템 H와 처리 시스템 S는, 로드록실(LLM)(400t1, 400t2)을 거쳐 연결되어 있다.For example, the substrate processing apparatus is not limited to the structure shown in FIG. 3, and may have the structure shown in FIG. 15. The substrate processing apparatus of FIG. 15 is provided with the processing system S which carries out substrate processing, such as a film-forming process or an etching process, with respect to the conveyance system H which conveys a wafer W, and the wafer W. As shown in FIG. The conveying system H and the processing system S are connected via load lock chamber (LLM) 400t1, 400t2.

반송 시스템 H는, 카세트 스테이지(400H1)와 반송 스테이지(400H2)를 갖고 있다. 카세트 스테이지(400H1)에는, 용기 탑재대 H1a가 마련되어 있으며, 용기 탑재대 H1a에는, 4개의 카세트 용기 H1b1∼H1b4가 탑재되어 있다. 각 카세트 용기 H1b는, 처리 전의 제품 기판(웨이퍼 W), 처리 완료된 제품 기판 및 더미 처리용의 비제품 기판을 다단으로 수용할 수 있다.The conveyance system H has the cassette stage 400H1 and the conveyance stage 400H2. The container mounting table H1a is provided in the cassette stage 400H1, and four cassette containers H1b1 to H1b4 are mounted to the container mounting table H1a. Each cassette container H1b can accommodate a product substrate (wafer W) before processing, a processed product substrate, and a non-product substrate for dummy processing in multiple stages.

반송 스테이지(420H2)에는, 굴신 및 선회 가능한 2개의 반송 아암 H2a1, H2a2가, 자기(磁氣) 구동에 의해 슬라이드 이동하도록 지지되어 있다. 반송 아암 H2a1, H2a2는, 선단에 장착된 포크 상에 웨이퍼 W를 유지하도록 되어 있다.Two conveyance arms H2a1 and H2a2 which can be stretched and revolving are supported by the conveyance stage 420H2 so that a slide movement can be carried out by magnetic drive. The conveyance arms H2a1 and H2a2 hold | maintain the wafer W on the fork attached to the front-end | tip.

반송 스테이지(400H2)의 한쪽 단부에는, 웨이퍼 W의 위치 결정을 하는 위치 정렬 기구 H2b가 마련되어 있다. 위치 정렬 기구 H2b는, 웨이퍼 W를 탑재한 상태로 회전대 H2b1을 회전시키면서, 광학 센서 H2b2에 의해 웨이퍼 W의 주연부의 상태 를 검출함으로써, 웨이퍼 W의 위치를 맞추도록 되어 있다.At one end of the transfer stage 400H2, a position alignment mechanism H2b for positioning the wafer W is provided. The position alignment mechanism H2b adjusts the position of the wafer W by detecting the state of the periphery of the wafer W by the optical sensor H2b2 while rotating the rotating table H2b1 with the wafer W mounted thereon.

로드록실(400t1, 400t2)에는, 그 내부에서 웨이퍼 W를 탑재하는 탑재대가 각각 마련되어 있는 동시에, 그 양 단에서 기밀하게 개폐 가능한 게이트 밸브 V가 각각 마련되어 있다. 이러한 구성에 의해, 반송 시스템 H는, 카세트 용기 H1b1∼H1b3과 로드록실(400t1, 400t2)과 위치 정렬 기구 H2b와의 사이에서 웨이퍼 W를 반송하도록 되어 있다.In the load lock chambers 400t1 and 400t2, mounting tables for mounting the wafers W are provided inside the gate lock chambers 400, respectively, and gate valves V that can be opened and closed in airtightness at both ends thereof. With this configuration, the conveying system H is configured to convey the wafer W between the cassette containers H1b1 to H1b3, the load lock chambers 400t1 and 400t2, and the position alignment mechanism H2b.

처리 시스템 S에는, 트랜스퍼 챔버(T/C)(400t3) 및 6개의 프로세스 챔버(P/C)(400s1∼400s6)(=PM1∼PM6)가 마련되어 있다. 트랜스퍼 챔버(400t3)는, 기밀하게 개폐 가능한 게이트 밸브 s1a∼s1f를 거쳐서 프로세스 챔버(400s1∼400s6)와 각각 접합되어 있다. 트랜스퍼 챔버(400t3)에는, 굴신 및 선회 가능한 반송 아암 Sa가 마련되어 있다.The processing system S is provided with transfer chamber (T / C) 400t3 and six process chambers (P / C) 400s1 to 400s6 (= PM1 to PM6). The transfer chamber 400t3 is respectively joined to the process chambers 400s1 to 400s6 via gate valves s1a to s1f that can be opened and closed airtightly. Transfer chamber 400t3 is provided with the conveyance arm Sa which can be extended and revolved.

이러한 구성에 의해, 웨이퍼 W는, 반송 아암 Sa을 이용하여 로드록실(400t1, 400t2)로부터 트랜스퍼 챔버(400t3)를 경유하여 프로세스 챔버(400s1∼400s6)에 반입되고, 에칭 처리 등의 프로세스가 실시된 후, 다시, 트랜스퍼 챔버(400t3)를 경유하여 로드록실(400t1, 400t2)로 반출된다.By such a configuration, the wafer W is loaded into the process chambers 400s1 to 400s6 from the load lock chambers 400t1 and 400t2 via the transfer chambers 400t3 using the transfer arm Sa, and a process such as an etching process is performed. After that, it is again carried out to the load lock chambers 400t1 and 400t2 via the transfer chamber 400t3.

도 15에 나타낸 기판 처리 장치의 경우에 있어서도, 6개의 프로세스 챔버 P/C(PM(400)에 상당) 중, 그 시점에서 가장 사용 빈도가 낮은 P/C가 선택되어, 로트의 미세 가공의 정도에 따라 선택된 로트 단위 또는 웨이퍼 단위에 따라서, 로트 내의 웨이퍼 W는, 모두 선택된 P/C에 차례로 반송되거나(로트 단위의 경우), 선택된 P/C로부터 차례로 각각의 P/C로 1장씩 OR 반송된다(웨이퍼 단위의 경우).Also in the case of the substrate processing apparatus shown in FIG. 15, among the six process chambers P / C (corresponding to the PM 400), P / C having the lowest frequency of use at that time is selected, and the degree of fine processing of the lot is shown. According to the lot unit or the wafer unit selected according to the above, all the wafers W in the lot are sequentially conveyed to the selected P / C (in the case of the lot unit) or OR conveyed one by one to each P / C in order from the selected P / C. (For wafer units).

이 결과, 미세 가공이 요구되는 로트의 웨이퍼 W는, 모두 동일 처리실에서 처리되는 것에 의해, 처리의 편차를 억제하여 거의 동일한 제품을 형성할 수 있다. 한편, 미세 가공이 요구되지 않는 로트의 웨이퍼 W는, 각각의 처리실에 반송되어, 병행하여 처리되는 것에 의해, 처리의 스루풋을 향상시킬 수 있다.As a result, since the wafers W of the lot which require microfabrication are all processed in the same processing chamber, variations in the processing can be suppressed to form almost identical products. On the other hand, the wafer W of the lot in which fine processing is not required is conveyed to each processing chamber and processed in parallel, thereby improving throughput of the processing.

또, 본 발명에 따른 기판 처리 장치의 처리실의 수는, 도 4에 나타낸 2개나 도 15에 나타낸 6개에 한정되지 않고, 몇 개이어도 좋다. 또한, 본 발명에 따른 처리실은, 성막 처리에 한정되지 않고, 열 확산 처리, 에칭 처리, 등의 모든 기판 처리를 실행 가능하다.The number of processing chambers of the substrate processing apparatus according to the present invention is not limited to two shown in FIG. 4 or six shown in FIG. 15, and may be any number. In addition, the processing chamber which concerns on this invention is not limited to the film-forming process, All board | substrate processes, such as a thermal-diffusion process and an etching process, can be performed.

또한, 이들의 처리를 실행하는 장치의 일례로서는, 에칭 장치, CVD(Chemical Vapor Deposition:화학 기상 성장법) 장치, 애싱 장치, 스퍼터링 장치, 코터 디벨로퍼, 세정 장치, CMP(Chemical Mechanical Polishing:화학적 기계적 연마) 장치, PVD(Physical Vapor Deposition:물리 기상 성장법) 장치, 노광 장치, 이온 임플란터 등을 들 수 있다. 이들 장치는, 마이크로파 플라즈마 기판 처리 장치, 유도 결합형 플라즈마 기판 처리 장치 및 용량 결합형 플라즈마 기판 처리 장치 등에 의해 구현화되어도 좋다.Moreover, as an example of the apparatus which performs these processes, an etching apparatus, a CVD (chemical vapor deposition) apparatus, an ashing apparatus, a sputtering apparatus, a coater developer, a washing | cleaning apparatus, CMP (Chemical Mechanical Polishing: chemical mechanical polishing) ) PVD (Physical Vapor Deposition) apparatus, exposure apparatus, ion implanter, etc. are mentioned. These devices may be embodied by a microwave plasma substrate processing apparatus, an inductively coupled plasma substrate processing apparatus, a capacitively coupled plasma substrate processing apparatus, or the like.

또한, 본 발명에 이용되는 기판은, 유리 기판에 한정되지 않고, 예컨대 실리콘 웨이퍼이더라도 좋다. 즉, 본 발명에 이용되는 기판은, 예컨대, 유기 EL 디스플레이나 플라즈마 디스플레이, 액정 모니터(LCD:Liquid Crystal Display) 등에 이용되는 기판이면 된다.In addition, the board | substrate used for this invention is not limited to a glass substrate, For example, a silicon wafer may be sufficient. That is, the board | substrate used for this invention should just be a board | substrate used for an organic EL display, a plasma display, a liquid crystal monitor (LCD), etc., for example.

또한, 본 발명에 따른 제어 장치는, EC(200)만으로 구현화되어도 좋고, EC(200)와 MC(300)로 구현화되어 있어도 좋다.In addition, the control apparatus which concerns on this invention may be implemented only by EC200, and may be implemented by EC200 and MC300.

도 1은 본 발명의 제 1∼제 3 실시예에 따른 기판 처리 시스템의 개념도,1 is a conceptual diagram of a substrate processing system according to the first to third embodiments of the present invention;

도 2는 제 1∼제 3 실시예에 따른 EC의 하드웨어 구성도,2 is a hardware configuration diagram of an EC according to the first to third embodiments;

도 3은 제 1∼제 3 실시예에 따른 기판 처리 장치의 구성도,3 is a configuration diagram of substrate processing apparatuses according to the first to third embodiments;

도 4는 제 1∼제 3 실시예에 따른 PM의 종단면의 모식도,4 is a schematic view of a longitudinal section of a PM according to the first to third embodiments;

도 5는 제 1∼제 3 실시예에 따른 EC의 기능 구성도,5 is a functional configuration diagram of the EC according to the first to third embodiments;

도 6은 제 1∼제 3 실시예에서 실행되는 프로세스 실행 처리 루틴(메인루틴)을 나타낸 플로우차트,6 is a flowchart showing a process execution processing routine (main routine) executed in the first to third embodiments;

도 7은 제 1 실시예에서 실행되는 반송 수순 선택 처리 루틴(서브루틴)을 나타낸 플로우차트,7 is a flowchart showing a conveyance procedure selection processing routine (subroutine) executed in the first embodiment;

도 8은 제 1 실시예에서 실행되는 프로세스 실행 제어 처리 루틴(서브루틴)을 나타낸 플로우차트,8 is a flowchart showing a process execution control processing routine (subroutine) executed in the first embodiment,

도 9(a)는 레시피를 편집하기 위한 화면,9 (a) is a screen for editing a recipe,

도 9(b)는 카세트 LP1의 로트를 스타트시키기 위한 화면,9 (b) is a screen for starting the lot of cassette LP1;

도 9(c)는 기판 처리 장치의 상태를 표시한 화면,9 (c) is a screen displaying a state of a substrate processing apparatus;

도 10은 로트 단위로 반송된 경우의 반송 상태를 설명하기 위한 도면,10 is a view for explaining a conveyance state when conveyed in a lot unit;

도 11은 제 2 실시예에서 실행되는 반송 수순 선택 처리 루틴을 나타낸 플로우차트,11 is a flowchart showing a conveyance procedure selection processing routine executed in the second embodiment,

도 12는 제 2 실시예에서 실행되는 프로세스 실행 제어 처리 루틴을 나타낸 플로우차트,12 is a flowchart showing a process execution control processing routine executed in the second embodiment,

도 13은 제 3 실시예에서 실행되는 반송 수순 선택 처리 루틴을 나타낸 플로우차트,13 is a flowchart showing a conveyance procedure selection processing routine executed in the third embodiment,

도 14는 제 3 실시예에서 실행되는 프로세스 실행 제어 처리 루틴을 나타낸 플로우차트,14 is a flowchart showing a process execution control processing routine that is executed in the third embodiment,

도 15는 PM의 다른 내부 구성의 종단면을 모식적으로 나타낸 도면.15 is a diagram schematically showing a longitudinal section of another internal configuration of a PM;

도면의 주요 부분에 대한 부호의 설명Explanation of symbols for the main parts of the drawings

100 : 호스트 컴퓨터100: host computer

200 : EC200: EC

250 : 기억부250: memory

255 : 선택부255: selection unit

260 : 반송 제어부260: return control unit

265 : 처리 실행 제어부265 processing execution control unit

270 : 통신부270: communication unit

300, 300a∼300d : MC300, 300a to 300d: MC

400, 400a, 400b : PM400, 400a, 400b: PM

500, 500a, 500b : LLM500, 500a, 500b: LLM

600 : 관리 서버600: management server

700, 700a, 700b : 고객 측 LAN700, 700a, 700b: customer side LAN

800 : PC800: PC

LP, LP1, LP2, LP3 : 카세트 용기LP, LP1, LP2, LP3: Cassette Container

Claims (9)

기판에 소정의 처리를 실시하는 복수의 처리실과 상기 기판을 반송하는 반송 기구를 구비한 기판 처리 장치를 제어하는 제어 장치로서,As a control apparatus which controls the substrate processing apparatus provided with the several process chamber which performs a predetermined process to a board | substrate, and the conveyance mechanism which conveys the said board | substrate, 다음에 반송해야 할 처리실을 선택함과 아울러, 로트마다 요구되는 미세 가공의 정도에 따라서, 동일 처리실에 반송하는 기판의 단위를 1 로트 단위 또는 1 기판 단위 중 어느 것으로 할 것인가를 로트마다 선택하는 선택부와,In addition to selecting the processing chamber to be transported next, the selection of selecting one lot unit or one substrate unit for each lot according to the degree of microfabrication required for each lot according to the degree of fine processing required for each lot. Wealth, 상기 선택부에 의해 선택된 단위에 포함되는 기판을 상기 선택부에 의해 선택된 처리실에 차례로 반송하는 반송 제어부Transfer control part which conveys the board | substrate contained in the unit selected by the said selection part in turn to the process chamber selected by the said selection part 를 구비하는 제어 장치.Control device having a. 제 1 항에 있어서,The method of claim 1, 상기 기억부는,The storage unit, 상기 복수의 처리실 중, 기판의 처리에 사용된 처리실의 수순을 기억하고,Of the plurality of processing chambers, the procedure of the processing chamber used for the processing of the substrate is stored, 상기 선택부는,The selection unit, 상기 기억부에 기억된 처리실의 수순에 근거하여, 레시피에 의해 지정된 처리실군 중 가장 이전에 처리가 실시된 처리실을 선택하며,Based on the procedure of the processing chamber stored in the storage unit, selecting the processing chamber which has been previously processed from among the processing chamber group designated by the recipe; 상기 반송부는,The conveying unit, 상기 선택된 처리실에 상기 선택된 단위에 포함되는 기판을 차례로 반송하는To sequentially transfer the substrates included in the selected unit to the selected processing chamber. 제어 장치.controller. 제 1 항에 있어서,The method of claim 1, 상기 기억부는,The storage unit, 각 처리실을 클리닝할 때까지 각 처리실에서 처리된 기판의 총 처리 매수를 처리실마다 기억하고,The total number of processed sheets of substrates processed in each processing chamber is stored for each processing chamber until each processing chamber is cleaned, 상기 선택부는,The selection unit, 상기 기억부에 기억된 기판의 총 처리 매수에 근거하여, 레시피에 의해 지정된 처리실군 중 가장 처리 매수가 적은 처리실을 선택하며,Based on the total number of processed sheets of the substrate stored in the storage unit, a process chamber having the smallest number of processed sheets among the process chamber groups designated by the recipe is selected, 상기 반송부는,The conveying unit, 상기 선택된 처리실에 상기 선택된 단위에 포함되는 기판을 차례로 반송하는To sequentially transfer the substrates included in the selected unit to the selected processing chamber. 제어 장치.controller. 제 1 항에 있어서,The method of claim 1, 상기 기억부는,The storage unit, 각 처리실을 클리닝할 때까지 각 처리실에서 처리된 기판의 총 처리 시간을 처리실마다 기억하고,The total processing time of the substrate processed in each processing chamber is memorized for each processing chamber until each processing chamber is cleaned, 상기 선택부는,The selection unit, 상기 기억부에 기억된 기판의 총 처리 시간에 근거하여, 레시피에 의해 지정된 처리실군 중 가장 처리 시간이 짧은 처리실을 선택하며,Based on the total processing time of the board | substrate memorize | stored in the said storage part, the process chamber with the shortest processing time is selected from the process chamber group designated by the recipe, 상기 반송부는,The conveying unit, 상기 선택된 단위에 포함되는 기판을 상기 선택된 처리실에 차례로 반송하는To sequentially transfer the substrate included in the selected unit to the selected processing chamber 제어 장치.controller. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 2 to 4, 상기 반송 제어부는,The conveying control unit, 상기 선택부에 의해 1 로트 단위가 선택된 경우, 상기 로트에 포함되는 모든 기판을 상기 선택된 처리실에 차례로 반송하고, 상기 선택부에 의해 1 기판 단위가 선택된 경우, 상기 로트에 포함되는 최초의 기판을, 레시피에 의해 지정된 처리실군 중, 가장 이전에 처리가 실시된 처리실, 가장 처리 매수가 적은 처리실 또는 가장 처리 시간이 짧은 처리실 중 어느 하나로부터 선택된 처리실에 반송하여, 동(同) 로트에 포함되는 다음 기판을 다음 처리실에 반송하는 것을 동 로트에 포함되는 마지막 기판까지 반복하는When one lot unit is selected by the selection unit, all the substrates included in the lot are sequentially transferred to the selected processing chamber, and when one substrate unit is selected by the selection unit, the first substrate included in the lot is selected. The next substrate included in the lot by conveying to a processing chamber selected from one of the processing chambers previously processed, the processing chamber having the fewest processing sheets, or the processing chamber having the shortest processing time, among the processing chamber groups designated by the recipe. Conveying the next process chamber to the last substrate included in the lot 제어 장치.controller. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 반송 제어부는,The conveying control unit, 상기 선택부에 의해 1 로트 단위가 선택된 경우, 제품용 기판을 반송하기 전에, 시용(試用) 기판을 상기 선택된 처리실에만 반송하는When 1 lot unit is selected by the said selection part, before a board | substrate for products is conveyed, a board | substrate for trials is conveyed only to the said selected process chamber. 제어 장치.controller. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 반송 제어부는,The conveying control unit, 상기 선택부에 의해 1 기판 단위가 선택된 경우, 제품용 기판을 반송하기 전에, 시용 기판을 레시피에 의해 지정된 처리실군의 각 처리실에만 반송하는When one board | substrate unit is selected by the said selection part, before conveying a board | substrate for products, a board | substrate for trials is conveyed only to each process chamber of the process chamber group designated by the recipe. 제어 장치.controller. 기판에 소정의 처리를 실시하는 복수의 처리실과 상기 기판을 반송하는 반송 기구를 구비한 기판 처리 장치를 제어하는 방법으로서,As a method of controlling the substrate processing apparatus provided with the several process chamber which performs a predetermined process to a board | substrate, and the conveyance mechanism which conveys the said board | substrate, 다음에 반송해야 할 처리실을 선택함과 아울러, 로트마다 요구되는 미세 가공의 정도에 따라서, 동일 처리실에 반송하는 기판의 단위를 1 로트 단위 또는 1 기판 단위 중 어느 하나로부터 선택하고,Next, the process chamber to be conveyed is selected, and according to the degree of fine processing required for each lot, the unit of the substrate conveyed to the same process chamber is selected from one lot unit or one substrate unit, 상기 선택된 단위에 포함되는 기판을 상기 선택된 처리실에 차례로 반송하는To sequentially transfer the substrate included in the selected unit to the selected processing chamber 기판 처리 장치의 제어 방법.Control method of substrate processing apparatus. 기판에 소정의 처리를 실시하는 복수의 처리실과 상기 기판을 반송하는 반송 기구를 구비한 기판 처리 장치의 제어를 컴퓨터에 실행시키는 제어 프로그램을 기억한 기억 매체로서,As a storage medium storing a control program for causing a computer to execute control of a substrate processing apparatus including a plurality of processing chambers for performing a predetermined process on a substrate and a transport mechanism for conveying the substrate, 다음에 반송해야 할 처리실을 선택함과 아울러, 로트마다 요구되는 미세 가공의 정도에 따라서, 동일 처리실에 반송하는 기판의 단위를 1 로트 단위 또는 1 기판 단위 중 어느 하나로부터 선택하는 처리와,In addition to selecting a process chamber to be transported next, the process of selecting a unit of a substrate to be transported to the same process chamber from one lot unit or one substrate unit according to the degree of fine processing required for each lot, 상기 선택된 단위에 포함되는 기판을 상기 선택된 처리실에 차례로 반송하는 처리를 컴퓨터에 실행시키는Causing a computer to execute a process of sequentially conveying a substrate included in the selected unit to the selected processing chamber; 기판 처리 장치의 제어 프로그램을 기억한 기억 매체.A storage medium storing a control program of the substrate processing apparatus.
KR1020070121461A 2006-11-28 2007-11-27 Control apparatus of substrate processing apparatus and control method of the same, and recorded medium for storing control program KR100980510B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2006-00319832 2006-11-28
JP2006319832A JP2008135517A (en) 2006-11-28 2006-11-28 Controller and controlling method of substrate processor, and storage medium storing control program

Publications (2)

Publication Number Publication Date
KR20080048410A true KR20080048410A (en) 2008-06-02
KR100980510B1 KR100980510B1 (en) 2010-09-06

Family

ID=39487093

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070121461A KR100980510B1 (en) 2006-11-28 2007-11-27 Control apparatus of substrate processing apparatus and control method of the same, and recorded medium for storing control program

Country Status (4)

Country Link
JP (1) JP2008135517A (en)
KR (1) KR100980510B1 (en)
CN (1) CN101192055B (en)
TW (1) TWI496230B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9330898B2 (en) 2010-08-23 2016-05-03 Tokyo Electron Limited Separation system, separation method, program and computer storage medium
KR20170108866A (en) * 2016-03-17 2017-09-27 도쿄엘렉트론가부시키가이샤 Method for cleaning substrate transfer mechanism and substrate processing system
KR20210024108A (en) * 2018-07-04 2021-03-04 도쿄엘렉트론가부시키가이샤 Substrate processing method and substrate processing apparatus

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
JP5469015B2 (en) * 2009-09-30 2014-04-09 東京エレクトロン株式会社 Substrate processing apparatus and substrate transfer method
WO2015107955A1 (en) 2014-01-20 2015-07-23 株式会社Screenホールディングス Substrate processing method and substrate processing device
JP6089082B1 (en) 2015-09-29 2017-03-01 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
JP6403722B2 (en) * 2016-07-21 2018-10-10 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and program
JP6723110B2 (en) * 2016-08-18 2020-07-15 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
JP6625098B2 (en) * 2017-07-20 2019-12-25 株式会社Kokusai Electric Substrate processing system, semiconductor device manufacturing method and program
CN109950187B (en) 2017-12-20 2024-04-12 株式会社国际电气 Substrate processing apparatus, method for manufacturing semiconductor device, and recording medium
JP6704008B2 (en) * 2018-03-26 2020-06-03 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
JP7174581B2 (en) * 2018-09-20 2022-11-17 株式会社Screenホールディングス Recipe display device, recipe display method, and recipe display program

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2739858B2 (en) * 1996-03-25 1998-04-15 日本電気株式会社 Production control system and device
KR20000003307A (en) * 1998-06-27 2000-01-15 윤종용 Rote flow control method of semiconductor manufacturing installation management system
JP4524720B2 (en) * 1999-12-28 2010-08-18 ルネサスエレクトロニクス株式会社 Process control device
JP2001257141A (en) * 2000-03-10 2001-09-21 Mitsubishi Electric Corp Process control device and process control method
JP2002237507A (en) * 2000-12-08 2002-08-23 Tokyo Electron Ltd Processing system, and method for conveying element to be processed of the processing system
JP4334817B2 (en) * 2002-05-15 2009-09-30 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP4566574B2 (en) * 2004-02-13 2010-10-20 大日本スクリーン製造株式会社 Substrate processing equipment

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9330898B2 (en) 2010-08-23 2016-05-03 Tokyo Electron Limited Separation system, separation method, program and computer storage medium
KR20170108866A (en) * 2016-03-17 2017-09-27 도쿄엘렉트론가부시키가이샤 Method for cleaning substrate transfer mechanism and substrate processing system
US10569310B2 (en) 2016-03-17 2020-02-25 Tokyo Electron Limited Method for cleaning substrate transfer mechanism and substrate processing system
US11148179B2 (en) 2016-03-17 2021-10-19 Tokyo Electron Limited Method for cleaning substrate transfer mechanism and substrate processing system
KR20210024108A (en) * 2018-07-04 2021-03-04 도쿄엘렉트론가부시키가이샤 Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
JP2008135517A (en) 2008-06-12
KR100980510B1 (en) 2010-09-06
TWI496230B (en) 2015-08-11
CN101192055B (en) 2010-12-01
TW200841412A (en) 2008-10-16
CN101192055A (en) 2008-06-04

Similar Documents

Publication Publication Date Title
KR100980510B1 (en) Control apparatus of substrate processing apparatus and control method of the same, and recorded medium for storing control program
US7738987B2 (en) Device and method for controlling substrate processing apparatus
US7854821B2 (en) Substrate processing apparatus
JP2011181771A (en) Substrate processing device
US20080112780A1 (en) Vacuum processing apparatus
TWI489520B (en) Control device and control method of substrate processing device
JP5020605B2 (en) Host control device, subordinate control device, screen operation right granting method, and storage medium storing screen operation right granting program
JP4673548B2 (en) Substrate processing apparatus and control method thereof
JP5571122B2 (en) Substrate processing apparatus and method for controlling substrate processing apparatus
KR100929944B1 (en) Storage medium recording the control device of the substrate processing apparatus and the control program of the substrate processing apparatus
KR100882221B1 (en) Controller of substrate processing apparatus, controlling method of substrate processing apparatus, and storage medium storing control program of substrate processing apparatus
JP2011054679A (en) Substrate processor
US11823877B2 (en) Substrate processing system, substrate processing method, and controller
JP5522776B2 (en) Substrate processing apparatus, control method and maintenance method for substrate processing apparatus
JP2011096719A (en) Substrate treatment apparatus
JP2012079922A (en) Substrate processing apparatus
JP2012094599A (en) Substrate processing device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130822

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140825

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150730

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 8