KR20080045803A - Structure of exhaust line in semiconductor device manufacturing apparatus - Google Patents

Structure of exhaust line in semiconductor device manufacturing apparatus Download PDF

Info

Publication number
KR20080045803A
KR20080045803A KR1020060114921A KR20060114921A KR20080045803A KR 20080045803 A KR20080045803 A KR 20080045803A KR 1020060114921 A KR1020060114921 A KR 1020060114921A KR 20060114921 A KR20060114921 A KR 20060114921A KR 20080045803 A KR20080045803 A KR 20080045803A
Authority
KR
South Korea
Prior art keywords
exhaust line
process chamber
semiconductor device
exhaust
exhaust port
Prior art date
Application number
KR1020060114921A
Other languages
Korean (ko)
Inventor
송대근
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060114921A priority Critical patent/KR20080045803A/en
Publication of KR20080045803A publication Critical patent/KR20080045803A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16LPIPES; JOINTS OR FITTINGS FOR PIPES; SUPPORTS FOR PIPES, CABLES OR PROTECTIVE TUBING; MEANS FOR THERMAL INSULATION IN GENERAL
    • F16L55/00Devices or appurtenances for use in, or in connection with, pipes or pipe systems
    • F16L55/07Arrangement or mounting of devices, e.g. valves, for venting or aerating or draining
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A structure of an exhaust line in semiconductor device fabrication equipment is provided to facilitate discharge of residual particles and byproducts within a process chamber without causing tear of the exhaust line and maintain pressure of the process chamber at a predetermined level, thereby suppressing air leakage from the exhaust line and contamination in the process chamber. A structure of an exhaust line(128) in semiconductor device fabrication equipment comprises a unit connector(130), and an exhaust port connector(132). The unit connector is connected to a processor chamber where a unit process is carried out on a wafer. The exhaust port connector is connected to an exhaust port for discharging air pumped from the process chamber, and is Teflon type to be flexible for adjusting the length of the exhaust line.

Description

반도체 디바이스 제조설비의 배기라인 구조{structure of exhaust line in semiconductor device manufacturing apparatus}Structure of exhaust line in semiconductor device manufacturing apparatus

도 1 및 도 2는 종래 기술에 따른 배기라인의 일부 구조를 나타낸다.1 and 2 show some structures of the exhaust line according to the prior art.

도 3은 본 발명의 바람직한 실시예에 따른 배기라인이 적용되는 통상의 반도체 디바이스 제조설비를 나타낸다.3 shows a conventional semiconductor device manufacturing facility to which an exhaust line according to a preferred embodiment of the present invention is applied.

도 4 및 도 5는 본 발명의 바람직한 실시예에 따른 배기라인 구조를 나타낸다.4 and 5 show an exhaust line structure according to a preferred embodiment of the present invention.

< 도면의 주요 부분에 대한 부호의 설명 ><Description of Symbols for Main Parts of Drawings>

100: 프로세스 챔버 102: 상부전극100: process chamber 102: upper electrode

104: 샤워헤드 106: 버퍼공간104: shower head 106: buffer space

108: 가스분사홀 110: DTCU108: gas injection hole 110: DTCU

112: 돔 114: 램프112: dome 114: lamp

116: RF 코일 118: 하부전극116: RF coil 118: lower electrode

120: 척 122: 클램프 링120: chuck 122: clamp ring

124: 리프트핀 126: 리프트124: lift pin 126: lift

128: 배기라인 130: 유닛 연결단128: exhaust line 130: unit connection

132: 배기구 연결단132: exhaust outlet connection

본 발명은 반도체 디바이스 제조설비에 관한 것으로서, 보다 상세하게는 반도체 디바이스 제조설비의 배기라인 구조에 관한 것이다.The present invention relates to a semiconductor device manufacturing facility, and more particularly to an exhaust line structure of the semiconductor device manufacturing facility.

최근, 정보 통신 분야의 급속한 발달과 컴퓨터와 같은 정보 매체의 급속한 대중화에 따라 반도체 디바이스도 비약적으로 발전하고 있다. 따라서, 그 기능적인 면에 있어서도 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구되고 있다. 또한, 반도체 디바이스의 고집적화 및 대용량화 추세로 인해 반도체 디바이스의 메모리셀을 구성하는 각각의 단위소자의 사이즈 또한 축소되고 있다. 이처럼 단위소자의 사이즈가 축소되어 공정 마진이 감소됨에 따라 반도체 디바이스를 제조하기 위한 단위 공정에 있어서 최상의 정밀성이 요구되고 있다.In recent years, with the rapid development of the information communication field and the rapid popularization of information media such as computers, semiconductor devices are also rapidly developing. Therefore, it is required to operate at high speed and to have a large storage capacity in terms of its functionality. In addition, due to the trend toward higher integration and higher capacity of semiconductor devices, the size of each unit element constituting a memory cell of the semiconductor device is also reduced. As the size of the unit device is reduced and the process margin is reduced, the highest precision is required in the unit process for manufacturing a semiconductor device.

일반적으로 반도체 디바이스는 웨이퍼 표면 상부에 여러 가지 기능을 수행하는 박막을 증착하고 이를 패터닝하여 다양한 회로 기하구조를 형성함으로써 제조하게 된다. 이러한 반도체 디바이스를 제조하기 위한 단위 공정은, 크게 반도체 내부로 3B족(예컨대, B) 또는 5B족(예컨대, P 또는 As)의 불순물 이온을 주입하는 불순물 이온주입 공정, 반도체 기판 상에 절연성 또는 도전성의 물질막을 형성하는 박막 증착(deposition)공정, 상기 박막 증착 공정을 통해 형성된 물질막을 소정의 패 턴으로 형성하는 식각 공정, 그리고 반도체 기판 상부에 층간절연막등을 증착한 후에 일괄적으로 연마하여 단차를 없애는 평탄화(CMP:Chemical Mechanical Polishing) 공정을 비롯하여 웨이퍼를 비롯한 프로세스 챔버 내부의 오염물질을 제거하기 위한 세정공정등으로 구분할 수 있다. 따라서, 반도체 디바이스를 제조하기 위해서는 상기와 같은 여러 단위 공정들을 각각의 프로세스 챔버를 이용하여 선택적이고도 반복적으로 실시하게 된다. In general, semiconductor devices are manufactured by depositing and patterning thin films that perform various functions on the wafer surface to form various circuit geometries. The unit process for manufacturing such a semiconductor device is an impurity ion implantation step of injecting impurity ions of Group 3B (eg, B) or Group 5B (eg, P or As) into the semiconductor, and insulating or conductive on the semiconductor substrate. A thin film deposition process for forming a material film of the material layer, an etching process for forming a material film formed by the thin film deposition process into a predetermined pattern, and an interlayer insulating film, etc., deposited on the semiconductor substrate and then polished in a batch It can be classified into a chemical mechanical polishing (CMP) process, and a cleaning process for removing contaminants in the process chamber including a wafer. Accordingly, in order to manufacture a semiconductor device, the above-described various unit processes may be selectively and repeatedly performed using respective process chambers.

한편, 상기와 같은 다양한 단위 공정이 진행되는 프로세스 챔버에는 상기 프로세스 챔버 내부를 펌핑 및 벤트하는 진공 시스템이 구비되어 있다. 이러한 진공 시스템은 터보 펌프 및 상기 터보 펌프를 보조하는 보조 펌프(예컨대, 드라이 펌프)로 구성되는 펌핑부, 그리고 상기 펌핑부와 프로세스 챔버를 연결하는 배기라인으로 구성될 수 있다. 이러한 펌핑 시스템은 프로세스 챔버 내부를 공정시 요구되는 소정의 압력으로 유지시킴은 물론, 프로세스 챔버 내부의 잔류 공정가스 및 공정 부산물과 같은 파티클을 외부로 배출시키는 역할을 담당하게 되는데, 하기 도 1 및 도 2에는 종래 기술에 따른 진공 시스템의 배기라인 구조가 도시되어 있다. On the other hand, the process chamber through which the various unit processes as described above is provided with a vacuum system for pumping and venting the inside of the process chamber. Such a vacuum system may include a pumping unit including a turbo pump and an auxiliary pump (eg, a dry pump) that assists the turbo pump, and an exhaust line connecting the pumping unit and the process chamber. The pumping system not only maintains the inside of the process chamber at a predetermined pressure required for the process, but also serves to discharge particles such as residual process gases and process by-products inside the process chamber to the outside. 2 shows an exhaust line structure of a vacuum system according to the prior art.

도 1 및 도 2는 종래 기술에 따른 진공 시스템의 배기라인 구조로서, 도 1는 상기 배기라인이 이완된 상태를 나타내고, 도 2는 상기 배기라인이 수축된 상태를 나타낸다.1 and 2 show the exhaust line structure of the vacuum system according to the prior art, FIG. 1 shows a state in which the exhaust line is relaxed, and FIG. 2 shows a state in which the exhaust line is contracted.

도 1 및 도 2를 참조하면, 진공 시스템에 적용되는 배기라인(10)의 일부 구조가 도시되어 있다. 상기 배기라인(10)의 도면상 상단부에는 프로세스 챔버에 연결되는 유닛 연결단(12)이 형성되어 있으며, 상기 배기라인(10)의 하단부에는 배기 구와 연결되는 자바라 형상의 배기구 연결단(14)이 형성되어 있다. 여기서, 상기 배기라인(14)은 통상적으로 PVC 재질로 이루어져 있다.1 and 2, some structures of the exhaust line 10 applied to a vacuum system are shown. A unit connection end 12 is formed at an upper end of the exhaust line 10 in the drawing, and a bellows-shaped exhaust port connection end 14 connected to an exhaust port is formed at a lower end of the exhaust line 10. Formed. Here, the exhaust line 14 is typically made of a PVC material.

따라서, 도 1 및 도 2에 도시된 것과 같이 상기 배기라인(14)이 수축과 이완을 반복하게 되면, 특히 도 1의 참조부호 A로 나타낸 굴곡 부분이 마찰에 취약하여 찢어지는 경우가 많이 발생한다.Therefore, as shown in FIGS. 1 and 2, when the exhaust line 14 repeatedly contracts and relaxes, in particular, the bent portion indicated by reference numeral A of FIG. 1 is vulnerable to friction and frequently torn. .

이처럼, 상기 배기라인(14)이 찢어지게 되면, 전체적인 펌핑 시스템의 펌핑력이 저하되어 프로세스 챔버 내부의 에어를 원활히 배출할 수 없게 된다. 그 결과, 프로세스 챔버 내부를 공정시 요구되는 소정의 압력으로 유지시킬 수 없게 됨은 물론, 프로세스 챔버 내부의 잔류 공정가스 및 공정 부산물과 같은 파티클을 외부로 원활히 배출시킬 수 없게 되어 프로세스 챔버 내부를 오염시킨다. 구체적으로, 프로세스 챔버 내부의 배기가 원활히 이루어지지 못할 경우, 환경성 파티클인 몽글이 디펙이 발생하며, 이로 인해 추가 프로세싱이 요구되는등 반도체 디바이스의 신뢰성 및 생산성을 크게 저하시키는 문제점이 있다.As such, when the exhaust line 14 is torn, the pumping force of the entire pumping system is lowered, so that air in the process chamber cannot be smoothly discharged. As a result, the inside of the process chamber cannot be maintained at a predetermined pressure required for the process, and particles such as residual process gases and process by-products inside the process chamber cannot be smoothly discharged to the outside, contaminating the inside of the process chamber. . Specifically, when the exhaust inside the process chamber is not smoothly generated, the mongle, which is an environmental particle, occurs, which causes a problem of significantly lowering the reliability and productivity of the semiconductor device, such as requiring additional processing.

상기와 같은 종래의 문제점을 해결하기 위한 본 발명의 목적은, 배기 손실을 최소화하여 프로세스 챔버에 대한 펌핑력을 일정하게 유지할 수 있도록 하는 반도체 디바이스 제조설비의 배기라인을 제공함에 있다. SUMMARY OF THE INVENTION An object of the present invention for solving the conventional problems as described above is to provide an exhaust line of a semiconductor device manufacturing facility to minimize the exhaust loss to maintain a constant pumping force to the process chamber.

본 발명의 다른 목적은, 배기 라인의 에어 누출을 방지할 수 있도록 하는 반도체 디바이스 제조설비의 배기라인을 제공함에 있다. Another object of the present invention is to provide an exhaust line of a semiconductor device manufacturing facility which can prevent air leakage of the exhaust line.

본 발명의 다른 목적은, 프로세스 챔버 내부의 오염을 방지하고, 반도체 디바이스의 신뢰성 및 생산성을 향상시킬 수 있도록 하는 반도체 디바이스 제조설비의 배기라인을 제공함에 있다.Another object of the present invention is to provide an exhaust line of a semiconductor device manufacturing facility for preventing contamination in a process chamber and improving the reliability and productivity of the semiconductor device.

상기한 목적들을 달성하기 위한 본 발명에 따른 반도체 디바이스 제조설비의 배기라인은, 웨이퍼에 대하여 단위 공정이 실시되는 프로세스 챔버에 연결되는 유닛 연결단; 및 상기 프로세스 챔버로부터 펌핑된 에어가 배출되는 배기구에 연결되며, 배기라인의 길이를 조절하기 위해 플렉시블하게 움직이는 테프론 원형 타입으로 이루어진 배기구 연결단을 포함함을 특징으로 한다.An exhaust line of a semiconductor device manufacturing apparatus according to the present invention for achieving the above objects, the unit connection stage is connected to the process chamber is a unit process is performed on the wafer; And an exhaust port connection end connected to an exhaust port through which the pumped air is discharged from the process chamber, and having a Teflon circular type that is flexible to move the length of the exhaust line.

이하, 첨부된 도면을 참조하여 본 발명을 보다 상세히 설명하기로 한다. 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 본 발명의 카테고리를 벗어나지 않는 범위내에서 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다.Hereinafter, with reference to the accompanying drawings will be described in detail the present invention. The present invention is not limited to the embodiments disclosed below, but can be embodied in various other forms without departing from the scope of the present invention, and only the embodiments allow the disclosure of the present invention to be complete and common knowledge It is provided to fully inform the person of the scope of the invention.

도 3은 본 발명의 바람직한 실시예에 따른 배기라인이 적용되는 통상의 반도체 디바이스 제조설비를 나타낸다.3 shows a conventional semiconductor device manufacturing facility to which an exhaust line according to a preferred embodiment of the present invention is applied.

도 3을 참조하면, 상기 반도체 디바이스 제조설비는 플라즈마 식각 설비로서, 웨이퍼 상에 형성된 피가공막을 전기적 특성을 가지는 패턴으로 식각하기 위한 식각 공정이 진행되는 일정 두께의 챔버 벽을 가지는 프로세스 챔버(100)가 구비된 다. 보다 구체적으로, 상기 프로세스 챔버는 웨이퍼 상부의 피가공막을 소정의 패턴으로 식각하기 위한 공정가스가 주입되는 상부 챔버 및 웨이퍼가 로딩되는 하부 챔버로 이루어진다.Referring to FIG. 3, the semiconductor device manufacturing apparatus is a plasma etching apparatus, and includes a process chamber 100 having a chamber wall having a predetermined thickness through which an etching process for etching a processed film formed on a wafer into a pattern having electrical characteristics is performed. Is provided. More specifically, the process chamber includes an upper chamber into which a process gas for etching a process film on the wafer in a predetermined pattern and a lower chamber into which a wafer is loaded.

또한, 상부 챔버에는 RF 파워가 인가되는 상부전극(102)이 형성되어 있다. 상기 RF 파워는 약 60MHz 이상의 고주파로서, 이러한 고주파수를 인가함으로써, 프로세스 챔버(100) 내부에 주입된 공정가스를 플라즈마화시킬 수 있게 되고, 10mT 이하의 저압조건하에서도 플라즈마에 의한 식각 공정이 가능하도록 하여 디자인룰 감소에 대응할 수 있도록 한다. 그리고, 상기 상부 챔버에는 샤워헤드(104)가 형성되어 있다. 이러한 상기 샤워헤드(104)는 석영 재질 또는 상기 석영 재질에 비해 강도가 우수하며 절연특성이 있는 세라믹 재질로 형성할 수 있다. 상기 샤워헤드(104)의 구조를 구체적으로 살펴보면, 가스공급관을 통해 공급되는 공정가스를 그 내부에 일시적으로 저장시키는 버퍼공간(106)이 마련되어 있으며, 상기 버퍼공간(106)에 일시적으로 저장된 공정가스를 프로세스 챔버 내부로 분사시키기 위한 복수개의 가스분사홀(108)이 형성되어 있다.In addition, an upper electrode 102 to which RF power is applied is formed in the upper chamber. The RF power is a high frequency of about 60 MHz or more, and by applying such a high frequency, plasma processing of the process gas injected into the process chamber 100 can be performed, and etching can be performed by plasma even under a low pressure condition of 10 mT or less. To reduce design rules. In addition, a shower head 104 is formed in the upper chamber. The shower head 104 may be formed of a quartz material or a ceramic material having excellent strength and insulation characteristics compared with the quartz material. Looking at the structure of the shower head 104 in detail, a buffer space 106 for temporarily storing the process gas supplied through the gas supply pipe therein is provided, the process gas temporarily stored in the buffer space 106 A plurality of gas injection holes 108 for injecting the gas into the process chamber are formed.

또한, 상기 상부 챔버에는 RF 파워와 연결되어 RF 에너지가 공급되며, 프로세스 챔버 내부의 온도를 약 80℃의 적정온도로 유지시켜주는 보조 챔버로서 기능하는 DTCU(Dome Temp Control Unit:110)가 설치되어 있다. 그리고, 상기 상부 챔버에는 상부 챔버의 천정을 커버하는 형성하는 돔(112)이 설치되어 있다. 보다 구체적으로, 상기 돔(112)은 RF 파워와 온도 제어를 위하여 돔 온도 제어 유닛(DTCU) 내부에 설치되며, 수정, 알루미나 또는 알파 알루미나(사파이어)와 같은 절연재질 또는 세라믹 재질로 형성될 수 있다. 또한, 상기 돔(112)은 플라즈마 식각 공정을 진행하는 과정에서 발생된 폴리머를 보다 용이하고 신속하게 흡착하여 웨이퍼 로스를 최소화하기 위한 목적으로 구비된 것으로서, 도 3에 도시된 것과 같이, 통상적으로 반구형태로 이루어져 있다. 그리고, 상기 돔(112)의 상측에는 프로세스 챔버(100)의 내부를 소정의 온도 조건으로 유지시키기 위한 다수개의 램프(114) 및 특히 플라즈마 형성에 필요한 RF 파워를 공급하는 RF 코일(116)이 구비되어 있다. 또한, 도면상으로 도시되지는 않았으나, 상기 돔(112)의 천정에는 식각 종료 시점을 검출하도록 하는 식각 종말점 감지부가 형성되어 있다. In addition, the upper chamber is connected to the RF power is supplied with RF energy, DTCU (Dome Temp Control Unit: 110) is installed as a secondary chamber to maintain the temperature inside the process chamber at an appropriate temperature of about 80 ℃ is installed have. In the upper chamber, a dome 112 is formed to cover the ceiling of the upper chamber. More specifically, the dome 112 is installed inside the dome temperature control unit DTCU for RF power and temperature control, and may be formed of an insulating material or ceramic material such as quartz, alumina or alpha alumina (sapphire). . In addition, the dome 112 is provided for the purpose of minimizing the wafer loss by easily and quickly adsorbing the polymer generated during the plasma etching process, as shown in FIG. It consists of forms. In addition, an upper portion of the dome 112 includes a plurality of lamps 114 for maintaining the inside of the process chamber 100 at a predetermined temperature condition, and in particular, an RF coil 116 for supplying RF power required for plasma formation. It is. In addition, although not shown in the drawings, an etching end point detection unit is formed on the ceiling of the dome 112 to detect an etching end point.

또한, 상기 프로세스 챔버(100)의 하부 챔버에는 RF 파워가 인가되는 하부전극(118)이 설치되어 있고, 상기 하부전극(118) 상부에는 웨이퍼가 안착되는 척(120)이 형성되어 있다. 여기서, 상기 하부전극(118)에 인가되는 RF 파워의 주파수는 약 2MHz로서, 웨이퍼 측으로 플라즈마 이온들을 유인하게 된다. 그리고, 상기 척(120)의 종류로서, 먼저 진공척은 단위공정들이 진공 조건하에서 수행될 경우, 진공척과 외부 진공간의 압력차이가 생성되지 않기 때문에 사용에 한계가 있으며, 또한 흡입작용에 의해 웨이퍼를 고정하기 때문에 정밀한 고정이 불가능한 결점이 있다. 따라서, 최근에는 전위차에 의해 발생되는 유전분극 현상과 정전기적 원리를 이용하여 웨이퍼를 척킹하는 정전척(ESC:Electro Static Chuck)이 보다 보편적으로 사용되고 있다. 그리고, 상기 척(120)의 에지부에는 클램프 링(122)이 설치되어 있는데, 이러한 클램프 링(122)은 상기 척(120)에 안착된 웨이퍼의 에지부위를 에워싸는 환형으로 이루어져 있다. 이러한 클램프 링(122)에 의해 척(120) 상부에 안착 된 웨이퍼는 소정의 위치에 고정될 수 있으며, 플라즈마 환경 영역을 웨이퍼의 외측 부위까지 확대시켜 웨이퍼 전체 영역이 플라즈마 작용을 받을 수 있도록 한다. 여기서, 상기 클램프 링(122)은 강도가 높고, 내식성, 내산화성, 내열충격성이 우수한 소재로서, 예컨대 탄화규소(SiC)로 형성하는 것이 바람직하다.In addition, a lower electrode 118 to which RF power is applied is installed in the lower chamber of the process chamber 100, and a chuck 120 on which the wafer is seated is formed on the lower electrode 118. Here, the frequency of the RF power applied to the lower electrode 118 is about 2 MHz, which attracts plasma ions to the wafer side. In addition, as a kind of the chuck 120, first, the vacuum chuck is limited in use because no pressure difference between the vacuum chuck and the external vacuum is generated when the unit processes are performed under vacuum conditions, and the wafer may be removed by suction. Because of the fixing, there is a drawback that precise fixing is impossible. Therefore, in recent years, electrostatic chucks (ESCs) that chuck wafers using dielectric polarization and electrostatic principles caused by potential differences are more commonly used. In addition, a clamp ring 122 is installed at an edge of the chuck 120, and the clamp ring 122 has an annular shape surrounding an edge of a wafer seated on the chuck 120. The wafer seated on the chuck 120 by the clamp ring 122 may be fixed at a predetermined position. The wafer may be extended to an outer portion of the wafer so that the entire wafer area may be subjected to the plasma action. Here, the clamp ring 122 is a material having high strength and excellent corrosion resistance, oxidation resistance, and thermal shock resistance, and is preferably formed of, for example, silicon carbide (SiC).

그리고, 상기 프로세스 챔버(100)의 하부 챔버에는 웨이퍼를 상하 방향으로 수직운동시키기 위한 리프트핀(124)을 포함한 리프트(126)가 형성되어 있다. 상기 리프트(126)는 소정의 구동장치를 이용하여 상기 리프트 핀(124)을 승하강시키며, 이러한 리프트 핀(124)의 승하강에 의해 웨이퍼의 수직 운동이 이루어지게 된다. 이때, 웨이퍼는 하부 챔버의 일측에 설치된 웨이퍼 투입구(도시되지 않음)를 통해 척(120) 상부로 로딩되어진다.In addition, a lift 126 including a lift pin 124 for vertically moving the wafer in the lower chamber of the process chamber 100 is formed. The lift 126 raises and lowers the lift pin 124 by using a predetermined driving device, and the vertical movement of the wafer is performed by raising and lowering the lift pin 124. In this case, the wafer is loaded onto the chuck 120 through a wafer inlet (not shown) installed at one side of the lower chamber.

또한, 상기 프로세스 챔버(100)의 일측 측부에는 배기라인(128)이 연결된다. 상기 배기라인(128)에는 프로세스 챔버(100) 내부를 진공 상태로 만들고, 프로세스 내부의 가스 및 파티클등을 외부로 배출시키기 위한 터보 펌프(도시되지 않음)가 연결되어 있다. 통상적으로, 플라즈마 식각 공정을 수행하기 위해서는, 프로세스 챔버(100) 내부를 소정의 압력분위기로 조성하여야 하는데, 상기 배기라인(128)과 연결된 터보 펌프를 이용하여 프로세스 챔버(100) 내부를 일정한 진공압 상태(약 0.1mT 이하)로 유지시킬 수 있다. 그리고, 이러한 프로세스 챔버(100)에 대한 진공압의 조절은 터보 펌프 상측에 형성되어 있는 게이트 밸브에 의해서 이루어진다. 또한, 상기 터보 펌프에는 드라이 펌프(도시되지 않음)가 연결되어 있는데, 이러한 드라이 펌프는 상기 터보 펌프와 함께 플라즈마 식각 공정이 진행되는 프로세스 챔 버(100) 내부의 공정 가스를 배출시키기 위한 기능을 수행하는 펌프로서, 상기 드라이 펌프 자체에서 발생되는 열을 냉각시키기 위한 오일 시스템(도시되지 않음) 및 프로세스 쿨링 워터를 공급하는 워터 플로우 라인(도시되지 않음)이 구비된다. 또한, 상기 드라이 펌프는 일반적으로 프로세스 챔버 및 버퍼 기능의 트랜스퍼 챔버의 압력을 진공상태로 유지하는 기능을 수행하기 위하여 항시 펌핑기능을 유지하고 있다.In addition, an exhaust line 128 is connected to one side of the process chamber 100. The exhaust line 128 is connected to a turbo pump (not shown) for vacuuming the inside of the process chamber 100 and discharging gas and particles in the process to the outside. In general, in order to perform the plasma etching process, the inside of the process chamber 100 should be formed at a predetermined pressure atmosphere, and a constant vacuum pressure is applied to the inside of the process chamber 100 by using a turbo pump connected to the exhaust line 128. It can be kept in a state (about 0.1 mT or less). And, the control of the vacuum pressure for the process chamber 100 is made by a gate valve formed above the turbo pump. In addition, a dry pump (not shown) is connected to the turbo pump, and the dry pump performs a function for discharging the process gas inside the process chamber 100 where the plasma etching process is performed along with the turbo pump. The pump is provided with an oil system (not shown) for cooling the heat generated by the dry pump itself and a water flow line (not shown) for supplying process cooling water. In addition, the dry pump generally maintains a pumping function at all times in order to perform a function of maintaining a pressure in a process chamber and a transfer chamber of a buffer function in a vacuum state.

한편, 상기 배기라인(128)을 구현함에 있어서, 본 발명에서는 반복되는 수축 및 이완 작용에 의해 배기라인이 쉽게 찢어지는 종래의 문제점을 해소할 수 있는 개선된 배기라인(128)을 제공한다.On the other hand, in implementing the exhaust line 128, the present invention provides an improved exhaust line 128 that can solve the conventional problem that the exhaust line is easily torn by repeated shrinking and relaxation action.

도 4 및 도 5에는 본 발명의 바람직한 실시예에 따른 배기라인 구조가 도시되어 있다. 도 4는 상기 배기라인이 이완된 상태를 나타내고, 도 5는 상기 배기라인이 수축된 상태를 나타낸다.4 and 5 illustrate an exhaust line structure according to a preferred embodiment of the present invention. 4 illustrates a state in which the exhaust line is relaxed, and FIG. 5 illustrates a state in which the exhaust line is contracted.

도 4 및 도 5를 참조하면, 진공 시스템에 적용되는 배기라인(128)의 일부 구조가 도시되어 있다. 상기 배기라인(128)은 통상적으로 PVC 재질로 이루어져 있으며, 상기 배기라인(128)의 도면상 상단부에는 프로세스 챔버에 연결되는 유닛 연결단(130)이 형성되어 있다. 그리고, 상기 배기라인(130)의 하단부에는 배기구와 연결되는 배기구 연결단(132)이 테프론 원형 타입으로 형성되어 있다. 상기 배기구 연결단(132)은 상기 배기라인(128)의 길이 조절을 담당하는 영역으로서, 테프론 원형 타입으로 형성함으로써 플렉시블(flexible)하게 구현한다. 보다 구체적으로, 상기 배기구 연결단(132)을 상단 및 하단으로 분리한다. 보다 구체적으로는, 상기 하 단 배기구 연결단은 고정시키고 상단 배기구 연결단이 상하 운동하도록 함으로써, 배기라인의 길이를 조절한다. 4 and 5, some structures of the exhaust line 128 applied to a vacuum system are shown. The exhaust line 128 is typically made of PVC material, and a unit connection end 130 connected to the process chamber is formed at an upper end of the exhaust line 128. In addition, an exhaust port connecting end 132 connected to the exhaust port is formed at the lower end of the exhaust line 130 in a Teflon circular type. The exhaust port connecting end 132 is a region that controls the length of the exhaust line 128, and is formed flexibly by forming a Teflon circular type. More specifically, the exhaust port connecting end 132 is separated into the top and bottom. More specifically, the lower exhaust port connecting end is fixed and the upper exhaust port connecting end moves up and down, thereby adjusting the length of the exhaust line.

종래에는 배기라인을 구현함에 있어서, 상기 배기라인의 길이 조절을 위한 플렉시블 영역을 자바라 형상으로 제작하였다. 따라서, 상기 배기라인이 수축과 이완을 반복하는 과정에서 상기 자바라 영역이 손상되어 찢어지고, 그로 인해 펌핑 시스템의 펌핑력이 저하되어 프로세스 챔버 내부의 에어를 원활히 배출할 수 없는 문제점이 있었다.Conventionally, in implementing an exhaust line, a flexible region for adjusting the length of the exhaust line is manufactured in a bellows shape. Therefore, the bellows area is damaged and torn in the process of repeatedly contracting and relaxing the exhaust line, thereby lowering the pumping force of the pumping system, thereby preventing the air inside the process chamber from being smoothly discharged.

따라서, 본 발명에서는 상기한 종래의 문제점을 해소하고자, 상기 배기라인의 길이 조절을 위한 플렉시블 영역을 테프론 원형 타입으로 제작하였다. 그 결과, 도 4 및 도 5에 도시된 것과 같이, 상기 배기라인(128)의 길이 조절을 위해 상기 배기라인(128)이 이완 및 수축을 반복할 경우, 상기 배기라인(128)이 상하 운동을 하게 되므로 상기 테프론 원형 타입의 플렉시블 영역을 손상시키지 않게 되어 반구적으로 사용할 수 있게 된다.Therefore, in the present invention, in order to solve the above-mentioned conventional problems, a flexible region for adjusting the length of the exhaust line is manufactured in a Teflon circular type. As a result, as shown in FIGS. 4 and 5, when the exhaust line 128 is repeatedly relaxed and contracted to adjust the length of the exhaust line 128, the exhaust line 128 performs vertical movement. Since it does not damage the flexible region of the Teflon circular type it can be used hemispherically.

그로 인해, 배기라인이 찢어지는 종래의 문제점을 해소하여 프로세스 챔버 내부의 에어를 원활히 배출할 수 있게 됨으로써, 프로세스 챔버 내부를 공정시 요구되는 소정의 압력으로 유지시킬 수 있게 된다. 그리고, 프로세스 챔버 내부의 잔류 공정가스 및 공정 부산물과 같은 파티클을 외부로 원활히 배출시킬 수 있게 되어 환경성 파티클인 몽글이 디펙 발생률을 크게 저하시키며, 그로 인해 프로세스 챔버 내부에 대한 PM 주기를 연장시킬 수 있게 되고 배기라인 교체 시기를 늦출 수 있어 결과적으로 기료비 감소와 함께 반도체 디바이스의 신뢰성 및 생산성을 보다 향상시킬 수 있게 된다.Therefore, it is possible to smoothly discharge the air inside the process chamber by eliminating the conventional problem in which the exhaust line is torn, thereby maintaining the inside of the process chamber at a predetermined pressure required during the process. In addition, it is possible to smoothly discharge particles such as residual process gas and process by-products inside the process chamber to the outside, so that the environmental particles, mongle, greatly reduce the incidence of defects, thereby extending the PM cycle for the inside of the process chamber. As a result, the replacement time of the exhaust line can be delayed, resulting in a reduction in fuel cost and further improving the reliability and productivity of the semiconductor device.

실질적으로, 1개 라인을 기준으로 안정적인 사용을 위해 년 3회 교체를 실시한다고 가정할 경우, "총 252개×3회=756개/년" 의 배기라인이 소요된다. 그리고, 년간 사용금액은 "756×22,000원=16,632,000원" 이 소요된다. 따라서, 본 발명에서와 같이 배기라인의 플렉시블 영역을 반영구적 사용이 가능한 테프론 원형 타입으로 구현할 경우, 년간 16,632,000원을 절감할 수 있는 결과를 얻을 수 있다. Practically, assuming three replacements per year for stable use on a one line basis, a total of 252 × 3 times = 756 / year exhaust lines are required. The annual usage amount is "756 x 22,000 won = 16,632,000 won". Therefore, when the flexible region of the exhaust line is implemented in a Teflon circular type that can be used semi-permanently as in the present invention, it is possible to obtain a result that can save 16,632,000 won per year.

상기한 바와 같이 본 발명에서는, 배기라인의 길이 조절을 위한 플렉시블 영역을 반영구적 사용이 가능한 테프론 원형 타입으로 제작하여 프로세스 챔버 내부의 에어가 원활히 배출될 수 있도록 한다. 이처럼 프로세스 챔버 내부에 대한 펌핑력이 강화될 경우, 프로세스 챔버 내부를 공정시 요구되는 소정의 압력으로 유지시킬 수 있음은 물론, 프로세스 챔버 내부의 잔류 공정가스 및 공정 부산물과 같은 파티클을 외부로 원활히 배출시킴으로써, 기료비를 감소하고, PM 주기를 연장시키며 반도체 디바이스의 신뢰성 및 생산성을 보다 향상시킬 수 있도록 한다.As described above, in the present invention, the flexible region for adjusting the length of the exhaust line is manufactured in a Teflon circular type that can be used semi-permanently, so that air in the process chamber can be smoothly discharged. In this way, when the pumping force for the inside of the process chamber is enhanced, the process chamber can be maintained at a predetermined pressure required for the process, and particles such as residual process gases and process by-products inside the process chamber can be smoothly discharged to the outside. This reduces the fuel cost, prolongs the PM cycle, and further improves the reliability and productivity of the semiconductor device.

Claims (4)

반도체 디바이스 제조설비의 배기라인 구조에 있어서:In the exhaust line structure of a semiconductor device manufacturing facility: 웨이퍼에 대하여 단위 공정이 실시되는 프로세스 챔버에 연결되는 유닛 연결단; 및 A unit connection end connected to a process chamber in which a unit process is performed on a wafer; And 상기 프로세스 챔버로부터 펌핑된 에어가 배출되는 배기구에 연결되며, 배기라인의 길이를 조절하기 위해 플렉시블하게 움직이는 테프론 원형 타입으로 이루어진 배기구 연결단을 포함함을 특징으로 하는 반도체 디바이스 제조설비의 배기라인 구조.An exhaust port connection end connected to an exhaust port through which the pumped air is discharged from the process chamber, the exhaust port connection end having a Teflon circular type that is flexible to move the length of the exhaust line. 제 1항에 있어서, 상기 배기구 연결단은 상단 배기구 연결단 및 하단 배기구 연결단으로 구성됨을 특징으로 하는 반도체 디바이스 제조설비의 배기라인 구조.2. The exhaust line structure of a semiconductor device manufacturing facility according to claim 1, wherein the exhaust port connection end comprises an upper exhaust port connection end and a lower exhaust port connection end. 제 2항에 있어서, 상기 배기구 연결단은 상하방향으로 이동하는 상단 배기구 연결단 및 고정된 하단 배기구 연결단으로 구성됨을 특징으로 하는 반도체 디바이스 제조설비의 배기라인 구조.3. The exhaust line structure of a semiconductor device manufacturing facility according to claim 2, wherein the exhaust port connection end comprises an upper exhaust port connection end and a fixed lower exhaust port connection end that move in the vertical direction. 제 3항에 있어서, 상기 배기라인은 PVC 재질로 이루어져 있음을 특징으로 하는 반도체 디바이스 제조설비의 배기라인 구조.The exhaust line structure of a semiconductor device manufacturing facility according to claim 3, wherein the exhaust line is made of PVC material.
KR1020060114921A 2006-11-21 2006-11-21 Structure of exhaust line in semiconductor device manufacturing apparatus KR20080045803A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060114921A KR20080045803A (en) 2006-11-21 2006-11-21 Structure of exhaust line in semiconductor device manufacturing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060114921A KR20080045803A (en) 2006-11-21 2006-11-21 Structure of exhaust line in semiconductor device manufacturing apparatus

Publications (1)

Publication Number Publication Date
KR20080045803A true KR20080045803A (en) 2008-05-26

Family

ID=39663014

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060114921A KR20080045803A (en) 2006-11-21 2006-11-21 Structure of exhaust line in semiconductor device manufacturing apparatus

Country Status (1)

Country Link
KR (1) KR20080045803A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101052818B1 (en) * 2008-11-18 2011-07-29 세메스 주식회사 Maintenance method in substrate processing apparatus and substrate processing apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101052818B1 (en) * 2008-11-18 2011-07-29 세메스 주식회사 Maintenance method in substrate processing apparatus and substrate processing apparatus
US8631756B2 (en) 2008-11-18 2014-01-21 Semes Co. Ltd. Apparatus for processing substrate and method of maintaining the apparatus

Similar Documents

Publication Publication Date Title
JP6728196B2 (en) Ceramic electrostatic chuck bonded to metal base by high temperature polymer bonding
KR100578129B1 (en) Plasma Etching Machine
JP4547182B2 (en) Plasma processing equipment
KR101141488B1 (en) Method and apparatus for reducing substrate backside deposition during processing
CN106057616B (en) Edge ring for bevel polymer reduction
US20060087793A1 (en) Methods adapted for use in semiconductor processing apparatus including electrostatic chuck
JP4753888B2 (en) Substrate holding mechanism and plasma processing apparatus
KR101980994B1 (en) Substrate processing device and substrate processing method
JP2016530706A (en) Single ring design for high yield and reduced edge defect in ICP plasma processing chamber
KR20070098674A (en) Substrate transferring apparatus, substrate processing apparatus, and substrate processing method
KR100676206B1 (en) Cleaning method of processing chamber in semiconductor device manufacturing apparatus
TW201301334A (en) Plasma processing apparatus
JP2009224385A (en) Annular component for plasma processing, plasma processing apparatus, and outer annular member
WO2022109044A1 (en) Structure and method of mirror grounding in lcos devices
JP7345289B2 (en) Substrate processing equipment, substrate processing system, and substrate transport method
KR20080045803A (en) Structure of exhaust line in semiconductor device manufacturing apparatus
TWI826845B (en) Multi-pressure bipolar electrostatic chucking
US7396432B2 (en) Composite shadow ring assembled with dowel pins and method of using
US20040000375A1 (en) Plasma etch chamber equipped with multi-layer insert ring
KR20070000686A (en) Wafer lifting apparatus of semiconductor device manufacturing equipment
KR20070043456A (en) Lift pin in electro static chuk of semiconductor production device
KR20060116378A (en) Fixing structure for focus ring in plasma discharger
JP2024511441A (en) Capacitive method to detect wafer chucking and dechucking
KR20070000692A (en) Wafer lifting apparatus of semiconductor device manufacturing equipment
KR20070037893A (en) Semiconductor apparatus having cleaning gas supplier for cleaning exhaust line

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination