KR20080042262A - Method for fabricating contact in semiconductor device using solid phase epitaxy process - Google Patents

Method for fabricating contact in semiconductor device using solid phase epitaxy process Download PDF

Info

Publication number
KR20080042262A
KR20080042262A KR1020060110434A KR20060110434A KR20080042262A KR 20080042262 A KR20080042262 A KR 20080042262A KR 1020060110434 A KR1020060110434 A KR 1020060110434A KR 20060110434 A KR20060110434 A KR 20060110434A KR 20080042262 A KR20080042262 A KR 20080042262A
Authority
KR
South Korea
Prior art keywords
contact
forming
semiconductor device
ion implantation
layer
Prior art date
Application number
KR1020060110434A
Other languages
Korean (ko)
Inventor
안태항
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020060110434A priority Critical patent/KR20080042262A/en
Publication of KR20080042262A publication Critical patent/KR20080042262A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Abstract

A method for manufacturing a contact of a semiconductor device using a solid phase epitaxy process is provided to realize contact interface characteristic of high quality and to reduce contact resistance by reducing an interface oxide layer through a physical destruction. An ion implantation is performed by using ion implantation energy passing through an interface oxide layer. Inert gas, argon, nitrogen, silicon or phosphorous is implanted in order to pass through the interface oxide layer and physically breakdown the interface oxide layer. The ion implantation energy is 10-50 keV. Ion implantation dose is 5E14-1E16 atoms/cm^2. The interface oxide layer becomes a physically destroyed state(32A). An amorphous layer(33) is formed under a surface of a semiconductor substrate(21) by an ion implantation.

Description

고상에피택시공정을 이용한 반도체소자의 콘택 형성 방법{METHOD FOR FABRICATING CONTACT IN SEMICONDUCTOR DEVICE USING SOLID PHASE EPITAXY PROCESS}Method for forming contact of semiconductor device using solid phase epitaxy process {METHOD FOR FABRICATING CONTACT IN SEMICONDUCTOR DEVICE USING SOLID PHASE EPITAXY PROCESS}

도 1은 종래기술에 따른 고상에피택시 공정을 이용한 반도체소자의 콘택 형성 방법을 도시한 도면.1 is a view showing a method for forming a contact of a semiconductor device using a solid-phase epitaxy process according to the prior art.

도 2a 내지 도 2d는 본 발명의 실시예에 따른 반도체소자의 콘택 형성 방법을 도시한 공정 단면도.2A to 2D are cross-sectional views illustrating a method for forming a contact in a semiconductor device according to an embodiment of the present invention.

* 도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

21 : 반도체기판 22 : 소자분리막21 semiconductor substrate 22 device isolation film

23 : 게이트절연막 24 : 게이트전극23: gate insulating film 24: gate electrode

25 : 게이트하드마스크 26 : 게이트스페이서25: gate hard mask 26: gate spacer

27 : 소스/드레인접합 28 : 층간절연막27 source / drain junction 28 interlayer insulating film

29 : 콘택홀 30 : 에피택셜실리콘층29: contact hole 30: epitaxial silicon layer

31 : 비정질실리콘층 32 : 계면산화막31: amorphous silicon layer 32: interfacial oxide film

본 발명은 반도체 제조 기술에 관한 것으로, 특히 고상에피택시(SPE) 공정을 이용한 반도체소자의 콘택 형성 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to semiconductor manufacturing technology, and more particularly, to a method for forming a contact of a semiconductor device using a solid phase epitaxy (SPE) process.

반도체 소자가 점점 고직접화되는 상황에서, DRAM의 콘택 형성도 많은 영향을 받고 있다. 즉, 반도체 소자가 점점 소형화, 고직접화 되면서 콘택면적이 점점 감소하므로 콘택저항(contact resistance)의 증가 및 동작전류(drive current)의 감소 현상이 나타나고 있고 이로 인해 반도체 소자의 tWR(write recovery time) 불량 등과 같은 소자 열화(degradation) 현상이 나타나고 있다. 이런 상황에서 소자의 콘택저항을 낮추고 동작전류를 향상시키고자, 실리콘 기판의 접합 부분의 도펀트 농도를 높이거나 현재 사용중인 폴리실리콘(배치형 퍼니스(batch-type furnace)에서 증착, 500~600℃, SiH4/PH3 가스 사용, 인(Phosphorus) 농도 0.1∼3.0E20 atoms/cm2) 내의 도펀트인 인(phosphorus) 농도를 높이는 방법을 사용 중이나, 과도하게 높일 경우 오히려 소자의 데이터리텐션타임(data retention time) 특성을 저하시키는 경향이있다.In a situation where semiconductor devices are becoming more and more direct, contact formation of DRAMs is also affected. In other words, as semiconductor devices become smaller and more direct, the contact area gradually decreases, resulting in an increase in contact resistance and a decrease in drive current, which causes a write recovery time (tWR) of the semiconductor device. Device degradation such as defects is appearing. In this situation, to reduce the contact resistance of the device and improve the operating current, the dopant concentration of the junction of the silicon substrate may be increased or polysilicon (deposited in a batch-type furnace, 500 to 600 ° C.) SiH 4 / PH 3 gas is used. Phosphorus concentration is increased by 0.1 ~ 3.0E20 atoms / cm 2. However, if excessively increased, the data retention time of the device is increased. tends to degrade retention time characteristics.

그러나, 폴리실리콘 증착시 대기압 하에서 퍼니스(furnace)에 로딩될 때 존재하는 산소 농도(대략 수십 ppm)에 의해 폴리실리콘과 실리콘 기판 사이 계면에 미세 산화막이 형성되고 있어 이것이 소자의 콘택저항을 증가시키는 한 원인이 되고 있다. 이러한 폴리실리콘을 계속 적용 시, 앞으로 반도체 소자가 계속 고직접화 되는 추세에 따라 콘택저항을 낮추고 소자의 특성을 향상시키기 어려운 상황이다.However, as long as the silicon oxide is formed at the interface between the polysilicon and the silicon substrate due to the oxygen concentration (approximately tens of ppm) present when loaded into the furnace under atmospheric pressure during polysilicon deposition, this increases the contact resistance of the device. It is the cause. When the polysilicon is continuously applied, it is difficult to lower the contact resistance and improve the characteristics of the device according to the trend that the semiconductor devices continue to be directly integrated in the future.

이상과 같은 문제점들을 극복하고 소자의 콘택저항을 낮출 뿐만 아니라 소자 특성을 향상시키고자 개발되고 있는 것이 에피택셜실리콘(epitaxial-Silicon)이다. 그 중에서도 기존 반도체 소자 제조 공정에 그대로 적용하면서 저온증착이 가능하고, 저농도의 도핑농도로도 충분히 기존 폴리실리콘의 문제점을 극복할 수 있는 고상에피택시(Solid phase epitaxy; SPE) 공정이 있다. In order to overcome the above problems and lower the contact resistance of the device as well as to improve the device characteristics, epitaxial silicon is being developed. Among them, there is a solid phase epitaxy (SPE) process that can be applied to an existing semiconductor device manufacturing process as it is, at low temperature, and can sufficiently overcome the problems of existing polysilicon even at a low concentration of doping.

도 1은 종래기술에 따른 고상에피택시 공정을 이용한 반도체소자의 콘택 형성 방법을 도시한 도면이다.1 is a view showing a method for forming a contact of a semiconductor device using a solid-phase epitaxy process according to the prior art.

도 1을 참조하면, 반도체기판(11) 상에 게이트절연막, 게이트전극 및 게이트하드마스크의 순서로 적층된 게이트패턴을 형성하고, 게이트패턴의 측벽에 게이트스페이서를 형성한다.Referring to FIG. 1, a gate pattern stacked in the order of a gate insulating layer, a gate electrode, and a gate hard mask is formed on a semiconductor substrate 11, and a gate spacer is formed on sidewalls of the gate pattern.

이어서, 게이트패턴 사이의 반도체기판(11)에 이온주입을 통해 소스/드레인접합(16)을 형성한 후, 고상에피택시 공정을 이용하여 콘택층을 형성한다. 여기서, 고상에피택시 공정의 특성상 초기(as-deposited)에는 에피택셜실리콘층(17)과 에피택셜실리콘층(17) 위에 비정질실리콘층(18)이 동시에 형성된다. 이후, 후속공정으로 열처리를 진행하면, 하부 에피택셜실리콘층(17)이 시드층의 역할을 하여 비정질실리콘층(18)을 에피택셜실리콘층으로 재성장된다.Subsequently, the source / drain junction 16 is formed on the semiconductor substrate 11 between the gate patterns through ion implantation, and then a contact layer is formed using a solid phase epitaxy process. Here, an amorphous silicon layer 18 is simultaneously formed on the epitaxial silicon layer 17 and the epitaxial silicon layer 17 at an initial stage as-deposited due to the characteristics of the solid phase epitaxy process. Subsequently, when the heat treatment is performed in a subsequent process, the lower epitaxial silicon layer 17 serves as a seed layer to regrow the amorphous silicon layer 18 into the epitaxial silicon layer.

위와 같은 종래기술에서 콘택저항 개선을 위해 고상에피택시 공정 전에 전처리(Pre treatment)를 진행하는데, 종래기술의 전처리는 엑시튜 습식세정(Ex-situ wet cleaning)을 사용한다.In the prior art as described above, a pretreatment is performed before the solid phase epitaxy process to improve contact resistance. The prior art pretreatment uses Ex-situ wet cleaning.

그러나, 종래기술은 고상에피택시 공정전의 전처리가 엑시튜 습식세정을 사용함에 따라 소스/드레인접합(16)과 에피택셜실리콘층(17)의 계면에 계면산화막(19)이 형성되는 것을 피할 수 없다. 즉, 엑시튜 습식세정 후 퍼니스(Furnace) 내의 챔버로 이동이 불가피하여 대기중에 노출됨에 따라 SiO2와 같은 계면산화막(19)이 형성된다.However, the prior art cannot avoid the formation of the interfacial oxide film 19 at the interface between the source / drain junction 16 and the epitaxial silicon layer 17 as the pretreatment before the solid phase epitaxy process uses Exitus wet cleaning. . That is, after exitu wet cleaning, movement to the chamber in the furnace is inevitably exposed to the air, thereby forming an interfacial oxide film 19 such as SiO 2 .

이와 같은 계면 산화막(19)은 접합(Junction) 또는 소스/드레인접합(16)과 에피택셜실리콘층(17)간의 절연막으로 작용할뿐만 아니라 도펀트의 상호확산을 방해하므로써 소자의 콘택저항을 증가시키고 결국 소자 특성을 열화시킬 수 있다.Such an interfacial oxide film 19 not only acts as an insulating film between the junction or the source / drain junction 16 and the epitaxial silicon layer 17 but also increases the contact resistance of the device by interfering with the diffusion of the dopant and eventually the device. It may deteriorate characteristics.

따라서, 이와 같은 계면 산화막을 감소시켜 소자특성을 더욱 향상시킬 필요가 있다. Therefore, it is necessary to reduce such an interface oxide film and to further improve device characteristics.

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 고상에피택시 공정을 이용하여 콘택층을 형성할 때 잔류할 수 있는 계면산화막을 더욱 감소시켜 소자의 콘택저항 및 특성을 향상시킬 수 있는 반도체소자의 콘택 형성 방법을 제공하는데 그 목적이 있다.The present invention has been proposed to solve the above problems of the prior art, it is possible to further improve the contact resistance and characteristics of the device by further reducing the interfacial oxide film that may remain when forming a contact layer using a solid-phase epitaxy process. It is an object of the present invention to provide a method for forming a contact of a semiconductor device.

상기 목적을 달성하기 위한 본 발명의 반도체소자의 콘택 형성 방법은 기판 의 일부 표면을 노출시키는 콘택홀을 형성하는 단계; 상기 콘택홀에 대해 전처리하는 단계; 고상에피택시(SPE) 공정을 이용하여 상기 콘택홀을 일부 채우는 콘택층을 형성하는 단계; 상기 콘택층과 기판의 계면을 관통하도록 도펀트를 이온주입하는 단계; 및 열처리를 통해 상기 콘택층의 일부를 재성장시키는 단계를 포함하는 것을 특징으로 하고, 상기 이온주입은 상기 콘택층과 기판의 계면을 관통하는 이온주입에너지를 사용하여 이온주입하는 것을 특징으로 하며, 상기 이온주입시 이온주입에너지는 10∼50keV로 하고 도즈(dose)는 5E14∼1E16atoms/cm2으로 하는 것을 특징으로 하며, 상기 콘택층은 50∼400Å 두께로 얇게 형성하는 것을 특징으로 하고, 상기 이온주입시 실리콘(Si), 인(Phosphorous, P), 아르곤(Ar) 또는 질소(Nitrogen, N)를 사용하는 것을 특징으로 한다.The method of forming a contact of a semiconductor device of the present invention for achieving the above object comprises the steps of forming a contact hole exposing a portion of the surface of the substrate; Preprocessing the contact hole; Forming a contact layer partially filling the contact hole using a solid state epitaxy (SPE) process; Implanting a dopant to penetrate the interface between the contact layer and the substrate; And regrowing a part of the contact layer through heat treatment, wherein the ion implantation is ion implantation using ion implantation energy penetrating the interface between the contact layer and the substrate. In the ion implantation, the ion implantation energy is 10 to 50 keV and the dose is 5E14 to 1E16 atoms / cm 2 , and the contact layer is formed to be thin with a thickness of 50 to 400 kV. Si silicon, phosphorous (P), argon (Ar) or nitrogen (Nitrogen, N) is used.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부 도면을 참조하여 설명하기로 한다.Hereinafter, the preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. .

도 2a 내지 도 2d는 본 발명의 실시예에 따른 반도체소자의 콘택 형성 방법을 도시한 공정 단면도이다.2A through 2D are cross-sectional views illustrating a method for forming a contact in a semiconductor device according to an embodiment of the present invention.

도 2a에 도시된 바와 같이, 반도체 기판(21)에 소자간 분리를 위한 소자분리(isolation) 공정을 실시하여 소자분리막(22)을 형성한 후, 반도체기판(21) 상에 게이트절연막(23), 게이트전극(24), 게이트하드마스크(25)의 순서로 적층된 게이트 패턴을 형성한다. As shown in FIG. 2A, after forming an isolation layer 22 by performing an isolation process for isolation between devices on the semiconductor substrate 21, the gate insulating layer 23 is formed on the semiconductor substrate 21. The gate patterns stacked in the order of the gate electrode 24 and the gate hard mask 25 are formed.

이어서, 게이트패턴을 포함한 반도체기판(21) 상에 절연막을 증착한 후 전면식각하여 게이트패턴의 양측벽에 접하는 게이트스페이서(26)를 형성한다. 이때, 게이트하드마스크(25)와 게이트스페이서(26)는 후속 층간절연막과 식각선택비를 갖는 물질을 이용하되, 층간절연막이 실리콘산화막인 경우 실리콘질화막(silicon nitride)을 이용한다. Subsequently, an insulating film is deposited on the semiconductor substrate 21 including the gate pattern and then etched to form a gate spacer 26 in contact with both sidewalls of the gate pattern. In this case, the gate hard mask 25 and the gate spacer 26 may be formed of a material having an etching selectivity with a subsequent interlayer dielectric layer, and may be silicon nitride when the interlayer dielectric layer is a silicon oxide layer.

다음에, 게이트패턴 사이에 노출된 반도체기판(21)에 공지된 이온주입법을 이용하여 트랜지스터의 소스/드레인 역할을 수행하는 접합층(27)을 형성한다. 여기서, 접합층(27)은 LDD(Lightly Doped Drain) 구조일 수 있고, 비소(As)와 같은 n형 도펀트 또는 보론(Boron)과 같은 p형 도펀트가 이온주입된다.Next, a junction layer 27 serving as a source / drain of the transistor is formed using a known ion implantation method on the semiconductor substrate 21 exposed between the gate patterns. Here, the bonding layer 27 may be a lightly doped drain (LDD) structure, and an n-type dopant such as arsenic (As) or a p-type dopant such as boron is implanted.

다음에, 게이트패턴을 포함한 반도체기판(21) 상에 층간절연막(Inter Layer Dielectric; ILD)(28)을 증착한다. 이때, 층간절연막(28)은 산화물을 이용하는데, BPSG(Boron Phosphorous Silicate Glass), USG(Undoped Silicate Glass), TEOS(Tetra-Etyl-Ortho-Silicate), PSG(Phosphorous Silicate Glass) 또는 BSG(Boron Silicate Galss) 중에서 선택되는 실리콘산화막계 물질을 이용한다.Next, an interlayer dielectric (ILD) 28 is deposited on the semiconductor substrate 21 including the gate pattern. In this case, the interlayer insulating layer 28 uses an oxide, and includes boron phosphorous silicate glass (BPSG), undoped silicate glass (USG), tetra-ethyl-ortho-silicate (TEOS), phosphorous silicate glass (PSG), or boron silicalicate (SGS). Galss) using a silicon oxide film material selected from.

다음에, 게이트패턴의 상부가 드러날때까지 층간절연막(28)을 CMP(Chemical Mechanical Polishing) 공정을 통해 평탄화시킨다. 이어서, 포토/식각 공정, 즉 감광막 도포, 노광 및 현상을 통해 콘택마스크를 형성한 후 콘택마스크(도시 생략)를 식각마스크로 층간절연막(28)을 식각하여 셀랜딩플러그콘택을 위한 콘택홀(29)을 형성한다.Next, the interlayer insulating film 28 is planarized through a chemical mechanical polishing (CMP) process until the top of the gate pattern is exposed. Subsequently, after forming a contact mask through a photo / etch process, that is, photoresist coating, exposure, and development, the interlayer insulating layer 28 is etched using a contact mask (not shown) as an etching mask to form a contact hole 29 for a cell plug plug contact. ).

이때, 초고집적소자에서는 하부층과의 포토/식각 공정마진이 부족하므로 층간절연막(28)을 게이트하드마스크(25) 및 게이트스페이서(26)와 식각선택비가 좋은 조건에서 자기정렬콘택식각(Self Aligned Contact; SAC)을 진행한다. 이에 따라 포토공정에 의해 노출된 층간절연막(28)인 실리콘산화막계 물질은 빠른 속도로 식각되지만, 게이트하드마스크(25) 및 게이트스페이서(26)인 실리콘질화막의 식각속도는 느리므로 게이트패턴의 상부 또는 측벽의 실리콘질화막은 어느 정도 보호되면서 반도체기판(21)의 접합층(27)을 노출시킨다.In this case, since the photo / etch process margin with the lower layer is insufficient in the ultra-high integrated device, the interlayer insulating layer 28 may be self aligned with the gate hard mask 25 and the gate spacer 26 under a good etching selectivity. ; SAC). Accordingly, the silicon oxide based material, which is the interlayer insulating film 28 exposed by the photo process, is etched at a high speed, but the etching speed of the silicon nitride film, which is the gate hard mask 25 and the gate spacer 26, is slow, so that the upper portion of the gate pattern is etched. Alternatively, the silicon nitride film on the sidewall is protected to some extent to expose the bonding layer 27 of the semiconductor substrate 21.

한편, 층간절연막(28)을 식각하여 형성된 콘택홀(29)의 측벽 및 저면에는 유기오염물(도시되지 않음)이 잔류하므로, 이를 제거하기 위해 전처리 공정을 진행한다. 여기서, 전처리 공정은 엑시튜(Ex-situ)로 진행하되, 건식과 습식 공정 모두 사용하며, 습식세정은 'HF-last' 공정(불산(HF)을 마지막에 사용)을 사용하고, 건식은 플라즈마, 열공정, 반응성 가스 세정 공정이 사용된다. 그리고, 습식세정시 온도는 상온∼150℃ 범위이고, 건식세정은 100∼850℃ 범위에서 진행된다.Meanwhile, since organic contaminants (not shown) remain on the sidewalls and the bottom of the contact hole 29 formed by etching the interlayer insulating layer 28, a pretreatment process is performed to remove them. Here, the pretreatment process proceeds to Ex-situ, but uses both dry and wet processes, and wet cleaning uses the 'HF-last' process (uses hydrofluoric acid (HF) last), and dry is plasma. Thermal processes and reactive gas cleaning processes are used. In addition, the wet cleaning temperature is in the range of room temperature to 150 ° C, and the dry cleaning is performed in the range of 100 to 850 ° C.

도 2b에 도시된 바와 같이, 위와 같은 엑시튜 전처리 이후에, 450∼700℃ 범위에서 고상에피택시(SPE) 공정을 진행하여 콘택홀(29)에 비정질실리콘층(31)을 얇게 성장시킨다. 이때, 고상에피택시공정시 초기 증착상태(As-deposited)에서는 콘택홀(29)의 바닥 표면 상에 에피택셜실리콘층(30)이 형성되고, 증착이 진행될수록 에피택셜실리콘층(30) 상에 비정질실리콘층(31)이 형성된다. 여기서, 에피택셜실리콘층(30)과 비정질실리콘층(31)의 총 두께는 50∼400Å 두께로 얇게 형성하는데, 이처럼 얇게 형성하므로써 후속 이온주입시 도펀트가 계면산화막(32)까지 관통하도 록 한다.As shown in FIG. 2B, after the exit pretreatment as described above, the amorphous silicon layer 31 is grown thinly in the contact hole 29 by a solid-phase epitaxy (SPE) process in the range of 450 to 700 ° C. At this time, in the initial deposition state (As-deposited) during the solid-phase epitaxy process, the epitaxial silicon layer 30 is formed on the bottom surface of the contact hole 29, and as the deposition proceeds, the epitaxial silicon layer 30 is formed. An amorphous silicon layer 31 is formed. Here, the total thickness of the epitaxial silicon layer 30 and the amorphous silicon layer 31 is formed to be 50 to 400 kPa thin, so that the dopant penetrates to the interfacial oxide film 32 during subsequent ion implantation.

위와 같은 고상에피택시 공정을 위한 증착 방식은, RPCVD(Reduced pressure CVD), LPCVD(Low Pressure CVD), VLPCVD(Very Low Pressure CVD), PECVD(Plasma Enhanced CVD), UHVCVD(Ultra High Vacuum CVD), RTCVD(Rapid Thermal CVD), APCVD(Atmosphere Pressure CVD) 또는 MBE(Molecular Beam Epitaxy) 중에서 선택된다. 그리고, 고상에피택시 공정을 진행할때 인시튜로 도펀트를 도핑시키는데, 이때 도펀트는 인(Phosphorous, P)이고, 도핑농도는 5E19∼1E21atoms/cm2이다.The deposition method for the solid-phase epitaxy process is RPCVD, Low Pressure CVD (LPCVD), Very Low Pressure CVD (VLPCVD), Plasma Enhanced CVD (PECVD), Ultra High Vacuum CVD (UHVCVD), RTCVD. (Rapid Thermal CVD), APCVD (Atmosphere Pressure CVD) or MBE (Molecular Beam Epitaxy). When the solid phase epitaxy process is performed, the dopant is doped in situ, wherein the dopant is phosphorous (P), and the doping concentration is 5E19 to 1E21 atoms / cm 2 .

고상에피택시(SPE) 공정을 이용하여 콘택물질로 사용하는 비정질실리콘층(31)과 에피택셜실리콘층(30)을 형성하였으나, 고상에피택시 공정에 의해 형성되는 콘택물질은 실리콘 외에 저마늄(Ge), 실리콘저마늄(SiGe)도 적용 가능하다. 즉, 에피택셜저마늄/비정질저마늄, 에피택셜실리콘저마늄/비정질실리콘저마늄으로도 형성 가능하다. Although the amorphous silicon layer 31 and the epitaxial silicon layer 30 used as the contact materials were formed by using the solid phase epitaxy (SPE) process, the contact materials formed by the solid phase epitaxy process include germanium (Ge) in addition to silicon. ), Silicon germanium (SiGe) is also applicable. That is, it can also be formed from epitaxial germanium / amorphous germanium and epitaxial silicon germanium / amorphous silicon germanium.

위와 같이, 고상에피택시 공정이 전처리 공정과 엑시튜로 진행되므로 에피택셜실리콘층(30)과 반도체기판(21)의 접합 또는 소스/드레인접합(27) 표면 사이에는 계면산화막(32)이 발생되는 것을 피할 수 없다.As described above, since the solid-phase epitaxy process proceeds to the pretreatment process and the excitus, an interfacial oxide film 32 is generated between the epitaxial silicon layer 30 and the semiconductor substrate 21 or the surface of the source / drain junction 27. Can not be avoided.

본 발명은 계면산화막(32) 제거를 위해 다음 도 2c에 도시된 것처럼, 이온주입공정을 진행한다.The present invention proceeds to the ion implantation process, as shown in Figure 2c to remove the interfacial oxide film (32).

도 2c에 도시된 바와같이, 계면산화막(32)을 관통할 수 있는 이온주입에너지를 사용하여 이온주입을 진행한다. 이때, 이온주입은 계면산화막(32)을 관통하여 계면산화막(32)을 물리적으로 깨뜨리도록(Breakdown) 비활성가스인 아르곤(Ar) 또는 질소(Nitrogen, N)를 이온주입하거나 실리콘(Si) 또는 인(Phophorous, P)을 이온주입하고, 이온주입에너지는 10∼50keV로 한다. 그리고, 이온주입시 도즈(dose)는 5E14∼1E16atoms/cm2으로 한다.As shown in FIG. 2C, ion implantation is performed using ion implantation energy that can penetrate the interfacial oxide film 32. In this case, ion implantation is performed by injecting argon (Ar) or nitrogen (Nitrogen, N), an inert gas, or silicon (Si) or phosphorus to penetrate the interfacial oxide film 32 to physically break the interfacial oxide film 32. (Phophorous, P) is ion implanted, and ion implantation energy is 10-50 keV. The dose during ion implantation is 5E14 to 1E16 atoms / cm 2 .

따라서, 계면산화막(32)은 물리적으로 파괴된 상태(32A)가 된다.Therefore, the interfacial oxide film 32 is in a physically broken state 32A.

이와 동시에, 이온주입에 의해 반도체 기판(21) 표면 아래에 비정질층(33)이 형성된다. 이와 같이 비정질층(33)이 형성되는 것을 비정질화(Amorphization)라 한다.At the same time, an amorphous layer 33 is formed under the surface of the semiconductor substrate 21 by ion implantation. Thus, the amorphous layer 33 is formed as an amorphous (Amorphization).

도 2d에 도시된 바와 같이, 비교적 저온에서 열처리하여 비정질층(33) 및 비정질실리콘층(31)을 에피택셜실리콘층(31A, 33A)으로 재성장(Regrowth)시킨다. 여기서, 열처리는 550∼650℃ 범위의 온도에서 30분∼10시간동안 진행하는데, 550℃에서는 10시간동안 진행하고, 650℃에서는 30분동안 진행한다. 따라서, 열처리시간은 열처리 온도가 높을수록 짧게 하고, 열처리온도가 낮을수록 길게 설정한다.As shown in FIG. 2D, the amorphous layer 33 and the amorphous silicon layer 31 are regrown into the epitaxial silicon layers 31A and 33A by heat treatment at a relatively low temperature. Here, the heat treatment is carried out for 30 minutes to 10 hours at a temperature in the range of 550 ~ 650 ℃, proceeds for 10 hours at 550 ℃, 30 minutes at 650 ℃. Therefore, the heat treatment time is set shorter as the heat treatment temperature is higher and longer as the heat treatment temperature is lower.

고상에피택시공정은 계면산화막(32)이 불규칙적으로 존재하여도 반도체기판(21)과 직접 콘택되는 부분이 있으면 에피택셜실리콘층으로 성장이 가능하므로, 위와 같이 에피택셜실리콘층(31A, 33A)의 재성장이 가능하다.In the solid phase epitaxy process, even if the interfacial oxide film 32 is irregular, if there is a part directly contacting the semiconductor substrate 21, the epitaxial silicon layer can be grown into an epitaxial silicon layer. Thus, the epitaxial silicon layers 31A and 33A may be formed. Regrowth is possible.

도시하지 않았지만, 콘택홀의 나머지 영역을 채우기 전에 표면을 습식 또는 건식세정으로 전처리한 후, 후속 공정으로 콘택홀의 나머지 영역을 동일한 실리콘 물질로서 채운다. 그 일예로는, 높은 인 농도를 가지는 폴리실리콘으로 채우는 방 법, 전술한 고상에피택시 방법으로 다시 에피택셜실리콘층을 채우는 방법 또는 금속물질을 채우는 방법이 있다. 여기서, 금속물질은 티타늄, 코발트, 니켈, 몰리브덴과 같은 금속실리사이드와 텅스텐의 적층일 수 있고, 금속실리사이드와 텅스텐 사이에 확산방지막으로서 텅스텐질화막, 티타늄질화막을 형성시킬 수도 있다. 한편, 콘택홀의 나머지 영역을 채우기 전에 표면을 습식 또는 건식세정으로 전처리할 수 있다.Although not shown, the surface is pretreated by wet or dry cleaning prior to filling the remaining area of the contact hole, followed by subsequent processing to fill the remaining area of the contact hole with the same silicon material. Examples thereof include a method of filling with polysilicon having a high phosphorus concentration, a method of filling the epitaxial silicon layer again by the aforementioned solid phase epitaxy method, or a method of filling a metal material. The metal material may be a stack of tungsten and a metal silicide such as titanium, cobalt, nickel, and molybdenum, and a tungsten nitride film and a titanium nitride film may be formed as a diffusion barrier between the metal silicide and tungsten. Meanwhile, the surface may be pretreated by wet or dry cleaning before filling the remaining area of the contact hole.

이와 같이 진행했을 때, 최종적으로 소자의 콘택저항을 낮출 수 있고, 소자특성도 향상시키며 수율도 향상시킬 수 있다. In this way, the contact resistance of the device can be finally lowered, the device characteristics can be improved, and the yield can be improved.

그리고 나서, 화학적기계적연마나 에치백을 진행하여 콘택 공정을 완료한다. 한편, 상술한 콘택 공정은 셀영역과 주변회로영역에서 모두 적용된다.Then, chemical mechanical polishing or etch back is performed to complete the contact process. On the other hand, the above contact process is applied in both the cell region and the peripheral circuit region.

상술한 실시예에 따르면, 이온주입에 의해 계면산화막(32)을 물리적으로 깨뜨려 계면산화막(32)이 크게 감소하므로 양질의 에피택셜실리콘층(30, 31A, 33A)이 콘택영역에 형성된다. 또한, SEG(Selective Epitaxial Growth) 공정의 수준과 비슷한 정도로 양질의 콘택 계면 특성을 얻을뿐만 아니라 에피택셜실리콘층 내의 인 농도를 그대로 유지할 수 있다. According to the above-described embodiment, since the interfacial oxide film 32 is physically broken by ion implantation, the interfacial oxide film 32 is greatly reduced, so that high quality epitaxial silicon layers 30, 31A, and 33A are formed in the contact region. In addition, it is possible to obtain a good contact interfacial property to a level similar to that of the Selective Epitaxial Growth (SEG) process as well as to maintain the phosphorus concentration in the epitaxial silicon layer.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 본 발명은 고상에피택시공정을 이용하여 콘택물질을 형성할 때 발생하는 계면산화막을 물리적으로 파괴하여 계면산화막을 감소시키므로써 SEG 공정의 수준과 비슷한 정도로 양질의 콘택계면특성을 얻을뿐만 아니라, 에피택셜실리콘층 내의 인 농도를 그대로 유지하므로써 콘택저항을 감소시키고, 더불어 신뢰성 및 수율을 확보할 수 있는 효과가 있다.As described above, the present invention not only obtains the contact interface characteristics that are similar to those of the SEG process by reducing the surface oxide film by physically destroying the surface oxide film generated when the contact material is formed using the solid phase epitaxy process. By maintaining the phosphorus concentration in the epitaxial silicon layer as it is, the contact resistance is reduced, and reliability and yield can be secured.

Claims (12)

기판의 일부 표면을 노출시키는 콘택홀을 형성하는 단계;Forming a contact hole exposing a portion of the surface of the substrate; 상기 콘택홀에 대해 전처리하는 단계;Preprocessing the contact hole; 고상에피택시(SPE) 공정을 이용하여 상기 콘택홀을 일부 채우는 콘택층을 형성하는 단계; Forming a contact layer partially filling the contact hole using a solid state epitaxy (SPE) process; 상기 콘택층과 기판의 계면을 관통하도록 도펀트를 이온주입하는 단계; 및Implanting a dopant to penetrate the interface between the contact layer and the substrate; And 열처리를 통해 상기 콘택층의 일부를 재성장시키는 단계Regrowing a portion of the contact layer through heat treatment 를 포함하는 반도체소자의 콘택 형성 방법.Contact forming method of a semiconductor device comprising a. 제1항에 있어서,The method of claim 1, 상기 이온주입하는 단계는,The ion implantation step, 상기 콘택층과 기판의 계면을 관통하는 이온주입에너지를 사용하여 이온주입하는 반도체소자의 콘택 형성 방법.A method for forming a contact of a semiconductor device by ion implantation using ion implantation energy penetrating the interface between the contact layer and the substrate. 제2항에 있어서,The method of claim 2, 상기 이온주입에너지는, 10∼50keV로 하는 반도체소자의 콘택 형성 방법.The ion implantation energy is 10 to 50 keV, the contact forming method of a semiconductor device. 제3항에 있어서,The method of claim 3, 상기 이온주입시 도즈(dose)는 5E14∼1E16atoms/cm2으로 하는 반도체소자의 콘택 형성 방법.The method of forming a contact of a semiconductor device in which the dose at the time of ion implantation is 5E14 ~ 1E16 atoms / cm 2 . 제1항에 있어서,The method of claim 1, 상기 콘택층은, 50∼400Å 두께로 형성하는 반도체소자의 콘택 형성 방법.The contact layer is a contact forming method of a semiconductor device to form a thickness of 50 ~ 400Å. 제1항 내지 제5항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 5, 상기 이온주입하는 단계는,The ion implantation step, 상기 도펀트로서 비활성가스를 이온주입하는 반도체소자의 콘택 형성 방법.A method for forming a contact in a semiconductor device in which ion inert gas is implanted as the dopant. 제6항에 있어서,The method of claim 6, 상기 비활성가스는, 아르곤(Ar) 또는 질소(Nitrogen, N)를 사용하는 반도체소자의 콘택 형성 방법.The inert gas is a contact forming method of a semiconductor device using argon (Ar) or nitrogen (Nitrogen, N). 제1항 내지 제5항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 5, 상기 이온주입하는 단계는,The ion implantation step, 상기 도펀트로 실리콘(Si) 또는 인(Phosphorous, P)을 이온주입하는 반도체소자의 콘택 형성 방법.A method for forming a contact in a semiconductor device in which silicon (Si) or phosphorous (P) are ion implanted with the dopant. 제1항에 있어서,The method of claim 1, 상기 콘택층의 일부를 재성장시키는 단계후에,After regrowing a portion of the contact layer, 상기 재성장된 콘택층 위에 상기 콘택홀의 나머지 영역을 채우는 콘택용 도전층을 추가로 형성하는 반도체소자의 콘택 형성 방법.And forming a contact conductive layer filling the remaining region of the contact hole on the regrown contact layer. 제9항에 있어서,The method of claim 9, 상기 콘택용 도전층은,The contact conductive layer, 폴리실리콘, 고상에피택시 방법에 의한 에피택셜실리콘층(Epitaxial silicon) 또는 금속물질 중에서 선택되는 반도체소자의 콘택 형성 방법.A method for forming a contact of a semiconductor device selected from polysilicon, an epitaxial silicon layer or a metal material by a solid phase epitaxy method. 제10항에 있어서,The method of claim 10, 상기 금속물질은 금속실리사이드와 텅스텐(W)의 적층이며, 상기 금속실리사이드와 텅스텐 사이에 확산방지막이 삽입되는 반도체소자의 콘택 형성 방법.The metal material is a stack of metal silicide and tungsten (W), and a diffusion barrier is inserted between the metal silicide and tungsten. 제1항에 있어서,The method of claim 1, 상기 열처리는,The heat treatment is, 550∼650℃ 범위의 온도 및 30분∼10시간의 범위에서 진행하되, 온도가 높을수록 시간을 짧게 하는 반도체소자의 콘택 형성 방법.A method of forming a contact for a semiconductor device in which the temperature is in the range of 550 to 650 ° C. and in the range of 30 minutes to 10 hours, but the time is shorter as the temperature is higher.
KR1020060110434A 2006-11-09 2006-11-09 Method for fabricating contact in semiconductor device using solid phase epitaxy process KR20080042262A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060110434A KR20080042262A (en) 2006-11-09 2006-11-09 Method for fabricating contact in semiconductor device using solid phase epitaxy process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060110434A KR20080042262A (en) 2006-11-09 2006-11-09 Method for fabricating contact in semiconductor device using solid phase epitaxy process

Publications (1)

Publication Number Publication Date
KR20080042262A true KR20080042262A (en) 2008-05-15

Family

ID=39649011

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060110434A KR20080042262A (en) 2006-11-09 2006-11-09 Method for fabricating contact in semiconductor device using solid phase epitaxy process

Country Status (1)

Country Link
KR (1) KR20080042262A (en)

Similar Documents

Publication Publication Date Title
KR100637690B1 (en) Semiconductor device using solid phase epitaxy and method for manufacturing the same
JP2006303402A (en) Forming method of contact of semiconductor device using solid phase epitaxial system
KR100416627B1 (en) Semiconductor device and Method for manufacturing the same
US6639282B2 (en) Semiconductor device on silicon-on-insulator and method for manufacturing the semiconductor device
KR100444306B1 (en) Manufacturing method for semiconductor device
US20020090787A1 (en) Self-aligned elevated transistor
US20070022941A1 (en) Method of forming a layer and method of manufacturing a semiconductor device using the same
KR100517328B1 (en) Semiconductor device having contact plug using selective epitaxial growth and method of fabricating the same
KR20000062635A (en) Process for the fabrication of dual gate structures for CMOS devices
KR100898581B1 (en) Method for forming contact in semiconductor device
KR20050000059A (en) Method of manufacturing semicondutor device
KR20100038631A (en) Method for fabricaing semiconductor device
KR20080042262A (en) Method for fabricating contact in semiconductor device using solid phase epitaxy process
US7026250B2 (en) Method for reducing contact resistance of a semiconductor device
KR100744689B1 (en) Method for forming contact in semiconductor device
KR100717811B1 (en) Method for forming contact in semiconductor device
KR20110121163A (en) Method for fabricating semiconductor device with buried gate
KR100681210B1 (en) Contact plug of semiconductor device and method for forming the same
KR100733428B1 (en) Method for manufacturing contact in semiconductor device
KR100691937B1 (en) Method of manufacturing a semiconductor device
KR101253740B1 (en) Method for manufacturing a semiconductor device
KR100672757B1 (en) Method of forming shallow jucntion in semiconductor device
KR20050104228A (en) Method for forming contact plug of semiconductor device
KR20050104229A (en) Method of manufacturing semiconductor device
KR100494127B1 (en) Method for forming plug in semiconductor device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination