KR20080011488A - Method of manufacturing semiconductor device having multiple channels mos transistor - Google Patents

Method of manufacturing semiconductor device having multiple channels mos transistor Download PDF

Info

Publication number
KR20080011488A
KR20080011488A KR1020060071875A KR20060071875A KR20080011488A KR 20080011488 A KR20080011488 A KR 20080011488A KR 1020060071875 A KR1020060071875 A KR 1020060071875A KR 20060071875 A KR20060071875 A KR 20060071875A KR 20080011488 A KR20080011488 A KR 20080011488A
Authority
KR
South Korea
Prior art keywords
pattern
gate
layer
forming
single crystal
Prior art date
Application number
KR1020060071875A
Other languages
Korean (ko)
Inventor
김민상
이성영
김성민
윤은정
최인혁
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060071875A priority Critical patent/KR20080011488A/en
Publication of KR20080011488A publication Critical patent/KR20080011488A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Abstract

A method for fabricating a semiconductor device including a multiple channel MOS transistor is provided to simplify an ion implantation process of a source/drain layer by forming a planarized source/drain layer on the upper surface of a semiconductor device without a facet. A preliminary active pattern(40) is formed on a semiconductor substrate(10) wherein a plurality of gate formation layers and a plurality of single crystal silicon layers are repeatedly stacked in the preliminary active pattern. A hard mask is formed on the preliminary active pattern. By using the hard mask, the preliminary active pattern is etched to the surface of the substrate to form an active channel pattern. A source/drain layer having a flat upper surface is formed in a portion removed in forming the active channel pattern. The plurality of gate formation layers are selectively etched to form a plurality of tunnels. A gate(50) fills the plurality of tunnels, surrounding the active channel pattern and protruding to the upper portion of the active channel pattern. The gate formation layer can be made of germanium or silicon germanium having etch selectivity with respect to the single crystal silicon layer.

Description

다중 채널 모스 트랜지스터를 포함하는 반도체 장치의 제조 방법{Method of manufacturing semiconductor device having multiple channels MOS transistor}TECHNICAL FIELD A manufacturing method of a semiconductor device including a multi-channel MOS transistor.

도 1a는 본 발명의 일 실시예에 의한 다중 채널을 갖는 MOS 트랜지스터의 액티브 패턴 및 액티브 채널 패턴을 도시한 사시도이다.1A is a perspective view illustrating an active pattern and an active channel pattern of a MOS transistor having multiple channels according to an embodiment of the present invention.

도 1b는 본 발명의 일 실시예에 의한 다중 채널을 갖는 MOS 트랜지스터의 게이트 전극을 도시한 사시도이다.1B is a perspective view illustrating a gate electrode of a MOS transistor having multiple channels according to an embodiment of the present invention.

도 2는 본 발명의 일 실시예에 의한 다중 채널을 갖는 MOS트랜지스터의 단면도이다. 2 is a cross-sectional view of a MOS transistor having multiple channels according to an embodiment of the present invention.

도 3a 내지 도 3o는 본 발명의 일 실시예에 의한 반도체 장치의 제조 방법을 설명하기 위한 단면도들이다.3A to 3O are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

도 4a 내지 도 4c는 반도체 장치 제조의 각 단계에 따른 사시도들이다.4A through 4C are perspective views of respective stages of semiconductor device fabrication.

< 도면의 주요부분에 대한 부호의 설명 ><Description of Symbols for Major Parts of Drawings>

10 : 반도체 기판 12 : 채널 분리 영역10 semiconductor substrate 12 channel isolation region

14 : 게이트 형성층 16 : 단결정 실리콘층14 gate forming layer 16 single crystal silicon layer

18 : 필드 영역 20 : 게이트 하드 마스크18: field area 20: gate hard mask

25 : 선택적 에피택시얼 단결정막 25' : 평탄한 선택적 에피택시얼 단결정막25: selective epitaxial single crystal film 25 ': planar selective epitaxial single crystal film

26 : 소스/드레인층 30 : 실리콘 질화막26 source / drain layer 30 silicon nitride film

34 : 산화막 스페이서 36 : 액티브 채널 패턴34 oxide film spacer 36 active channel pattern

38 : 터널 40 : 액티브 패턴38: tunnel 40: active pattern

42 : 게이트 절연막 44 : 제1 도전막 패턴42: gate insulating film 44: first conductive film pattern

50 : 게이트 52 : 제2 도전막 패턴50: gate 52: second conductive film pattern

본 발명은 반도체 장치의 제조 방법에 관한 것으로, 보다 상세하게는 다중 채널을 갖는 모스(MOS) 트랜지스터 반도체 장치의 제조 방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a MOS transistor semiconductor device having multiple channels.

반도체 장치가 고집적화됨에 따라, 소자형성영역, 즉 액티브 영역의 크기가 감소하게 되었고, 액티브 영역에 형성되는 MOS 트랜지스터의 채널 길이가 줄어들게 되었다. MOS 트랜지스터의 채널 길이가 작아지면, 채널 영역에서의 전계나 전위에 미치는 소스 및 드레인의 영향이 현저해지는데 이러한 현상을 쇼트-채널 효과(short channel effect)라 한다. 또한, 액티브 영역의 축소에 따라 채널의 폭도 감소하게 되어 문턱 전압(threshold voltage)이 감소하는 역협채널 효과(reverse narrow width effect)가 나타난다.As the semiconductor device is highly integrated, the size of the device formation region, that is, the active region, is reduced, and the channel length of the MOS transistor formed in the active region is reduced. As the channel length of the MOS transistor decreases, the influence of the source and the drain on the electric field or potential in the channel region becomes remarkable. In addition, as the active region shrinks, the width of the channel decreases, resulting in a reverse narrow width effect in which a threshold voltage is reduced.

따라서, 기판 상에 형성되는 소자들의 크기를 축소시키면서 소자의 성능을 극대화시키기 위한 여러 가지 방법들이 연구 개발되고 있다. 그 대표적인 것으로, 핀(fin) 구조, DELTA(fully DEpleted Lean-channel TrAnsistor) 구조, GAA(Gate All Around) 구조와 같은 수직형 트랜지스터(vertical transistor) 구조, 멀티-브리지 채널형 트랜지스터(multi-bridge channel transistor) 구조를 들 수 있다.Accordingly, various methods for maximizing device performance while reducing the size of devices formed on a substrate have been researched and developed. Typical examples include a fin structure, a vertically depleted lean-channel TrAnsistor (DELTA) structure, a vertical transistor structure such as a gate all around (GAA) structure, and a multi-bridge channel transistor. transistor) structure.

예를 들면, 미합중국 특허 제6,413,802호에는 평행한 복수개의 얇은 채널 핀(fin)이 소스/드레인 영역 사이에 제공되고 상기 채널의 상면 및 측벽 상으로 게이트 전극이 확장되는 구조의 핀형 MOS 트랜지스터가 개시되어 있다. 상기 핀형 MOS 트랜지스터에 의하면, 채널 핀의 양 측면 상에 게이트 전극이 형성되어 상기 양 측면으로부터 게이트 제어가 이루어짐으로써 쇼트-채널 효과를 감소시킬 수 있다. 그러나, 핀형 MOS 트랜지스터는 복수개의 채널 핀이 게이트의 폭 방향을 따라 평행하게 형성되기 때문에 채널 영역 및 소스/드레인 영역이 차지하는 면적이 커지게 되고, 채널 수가 늘어남에 따라 소스/드레인 접합 캐패시턴스(junction capacitance)가 증가하는 문제가 있다.For example, US Pat. No. 6,413,802 discloses a finned MOS transistor having a structure in which a plurality of parallel thin channel fins are provided between a source / drain region and a gate electrode extends over the top and sidewalls of the channel. have. According to the fin MOS transistor, gate electrodes are formed on both sides of the channel fin, and gate control is performed from both sides, thereby reducing the short-channel effect. However, in the fin-type MOS transistor, since a plurality of channel fins are formed in parallel along the width direction of the gate, an area occupied by the channel region and the source / drain region increases, and as the number of channels increases, source / drain junction capacitance is increased. There is a problem that increases.

상기 DELTA 구조의 MOS 트랜지스터 예는 미합중국 특허공보 제4,996,574호 등에 기재되어 있다. DELTA 구조에서는 채널을 형성하는 액티브층이 일정 폭을 가지고 수직으로 돌출되도록 형성된다. 또한, 게이트 전극이 수직으로 돌출된 채널 영역을 감싸도록 형성된다. 따라서, 돌출된 부분의 높이가 채널의 폭을 이루고, 돌출된 부분의 폭이 채널층의 두께가 형성된다. 이렇게 형성된 채널에서는 돌출된 부분의 양면을 모두 이용할 수 있으므로, 채널의 폭이 두 배가 되는 효과를 얻을 수 있어 협채널 효과를 방지할 수 있다. 또한, 돌출된 부분의 폭을 줄일 경우, 양면에 형성되는 채널의 공핍층이 서로 겹치도록 만들어 채널 도전성을 증가시킬 수 있다.Examples of the MOS transistor having the DELTA structure are described in US Patent No. 4,996,574 and the like. In the DELTA structure, the active layer forming the channel is formed to protrude vertically with a predetermined width. In addition, the gate electrode is formed to surround the vertically protruding channel region. Thus, the height of the protruding portion constitutes the width of the channel, and the width of the protruding portion forms the thickness of the channel layer. In the channel formed as described above, since both sides of the protruding portion can be used, the effect of doubling the width of the channel can be obtained, thereby preventing the narrow channel effect. In addition, when the width of the protruding portion is reduced, the depletion layers of the channels formed on both sides may overlap each other, thereby increasing channel conductivity.

그러나, 이러한 DELTA 구조의 MOS 트랜지스터를 벌크형 실리콘 기판에 구현 하는 경우, 기판에 채널을 이루게 될 부분이 돌출되도록 기판을 가공하고 돌출된 부분을 산화 방지막으로 덮은 상태에서 기판 산화를 실시하여야 한다. 이때, 산화를 과도하게 실시하면 채널을 이룰 돌출부와 기판 본체를 연결하는 부분이 산화 방지막으로 보호되지 않은 부분으로부터 측면 확산된 산소에 의해 산화됨으로써, 채널과 기판 본체가 격리된다. 이와 같이 과도한 산화에 의해 채널 격리가 이루어지면서 연결부쪽 채널의 두께가 좁아지고, 단결정층이 산화 과정에서 응력을 받아 손상을 입는 문제가 발생한다.However, when the MOS transistor of the DELTA structure is implemented on a bulk silicon substrate, the substrate should be processed while the substrate is processed so that the portion which will form a channel on the substrate is protruded and the protrusion is covered with an anti-oxidation film. At this time, if the oxidation is excessively performed, the portion connecting the protrusion forming the channel and the substrate main body is oxidized by oxygen diffused laterally from a portion not protected by the antioxidant film, thereby separating the channel and the substrate main body. As the channel is isolated by excessive oxidation, the thickness of the channel at the connection portion is narrowed, and the single crystal layer is stressed and damaged in the oxidation process.

반면에, 이러한 DELTA 구조의 MOS 트랜지스터를 SOI(Silicon-On-Insulator)형 기판에 형성할 경우에는 SOI층을 좁은 폭을 갖도록 식각하여 채널 영역을 형성하므로 벌크형 기판을 사용할 때의 과도한 산화로 인한 문제가 없어진다. 그러나, SOI형 기판을 사용하면 채널의 폭이 SOI층의 두께에 의해 제한되는데, 완전 공핍 방식(fully depletion type)의 SOI형 기판은 SOI층의 두께가 수백 Å에 불과하므로 사용에 제한이 따르게 된다.On the other hand, when the DELTA structured MOS transistor is formed on a silicon-on-insulator (SOI) type substrate, the SOI layer is etched to have a narrow width to form a channel region, thereby causing problems due to excessive oxidation when using a bulk substrate. Disappears. However, when the SOI substrate is used, the width of the channel is limited by the thickness of the SOI layer. However, a fully depletion type SOI substrate has a limitation of use because the thickness of the SOI layer is only several hundreds of microseconds. .

한편, 상기 GAA 구조의 MOS 트랜지스터에서는, 통상적으로 SOI층으로 액티브 패턴을 형성하고 그 표면이 게이트 절연막으로 덮인 액티브 패턴의 채널 영역을 게이트 전극이 둘러싸도록 형성한다. 따라서, DELTA 구조에서 언급한 효과와 유사한 효과를 얻을 수 있다.On the other hand, in the MOS transistor of the GAA structure, an active pattern is typically formed of an SOI layer, and the gate electrode is formed so as to surround the channel region of the active pattern whose surface is covered with the gate insulating film. Therefore, effects similar to those mentioned in the DELTA structure can be obtained.

그러나, GAA 구조를 구현하기 위해서는 게이트 전극이 채널 영역에서 액티브 패턴을 둘러싸도록 형성하기 위해 액티브 패턴 아래쪽의 매몰 산화막을 등방성 식각의 언더 컷 현상을 이용하여 식각한다. 이때, 상기 SOI층이 그대로 채널 영역 및 소스/드레인 영역으로 이용되기 때문에, 이러한 등방성 식각 과정 동안 채널 영역의 하부뿐만 아니라 소스/드레인 영역의 하부도 상당 부분 제거된다. 따라서, 게이트 전극용 도전막을 증착할 때 채널 영역 뿐만 아니라 소스/드레인 영역의 하부에도 게이트 전극이 형성됨으로써 기생 캐패시턴스(parasitic capacitance)가 커지는 문제가 있다.However, in order to implement the GAA structure, the buried oxide film under the active pattern is etched using an undercut phenomenon of isotropic etching to form the gate electrode to surround the active pattern in the channel region. In this case, since the SOI layer is used as the channel region and the source / drain region, the lower portion of the source / drain region as well as the lower portion of the channel region is removed during the isotropic etching process. Therefore, when the conductive film for the gate electrode is deposited, the parasitic capacitance is increased because the gate electrode is formed not only in the channel region but also under the source / drain region.

또한, 상기 등방성 식각 과정에서 채널 영역의 하부가 수평 식각되어 후속 공정에서 게이트 전극으로 매립되어질 터널의 수평 길이(또는 폭)가 커지게 된다. 따라서, 채널의 폭보다 작은 게이트 길이를 갖는 모스 트랜지스터를 제조하는 것이 불가능해지고, 게이트 길이를 축소하는데 한계가 있다. In addition, the lower portion of the channel region is horizontally etched in the isotropic etching process, so that the horizontal length (or width) of the tunnel to be filled with the gate electrode in a subsequent process is increased. Therefore, it is impossible to manufacture a MOS transistor having a gate length smaller than the width of the channel, and there is a limit in reducing the gate length.

이러한 한계의 대안으로서, 멀티-브리지 채널 구조의 모스 트랜지스터가 개발되고 있다. 이때, 상기 멀티-브리지 채널형 모스 트랜지스터는 복수개의 채널들과 채널들 사이를 관통하는 터널들을 포함하는 액티브 채널 패턴을 형성하고, 상기 터널들을 매립하면서 상기 복수개의 채널들을 둘러싸도록 형성된 게이트 전극 및 상기 복수개의 채널들과 연결되는 소스/드레인 영역을 형성한다. 따라서, 채널 수가 증가하더라도 균일한 소스/드레인 접합 캐패시턴스를 유지할 수 있어 소자의 집적도와 속도를 향상시키는 효과를 얻을 수 있다. As an alternative to this limitation, MOS transistors having a multi-bridge channel structure have been developed. In this case, the multi-bridge channel type MOS transistor forms an active channel pattern including a plurality of channels and tunnels passing through the channels, and includes a gate electrode formed to surround the plurality of channels while filling the tunnels. A source / drain region is formed to be connected to the plurality of channels. Therefore, even if the number of channels increases, it is possible to maintain a uniform source / drain junction capacitance, thereby improving the integration and speed of the device.

그러나, 제작된 멀티-브리지 채널형 모스 트랜지스터는 소스/드레인의 형태가 종심형을 이루고 있어 소스/드레인 영역에 이온 주입하기 위한 일정한 도핑 프로파일을 갖기 어렵고, 멀티-브리지를 형성하는 상기 채널층이 상부로 갈수록 길이가 짧아져 소스/드레인까지의 채널 형성 거리가 하부로 갈수록 길어짐에 따라 트랜 지스터의 동작 특성에 영향을 주고 있다. 또한, 소스/드레인 영역이 평탄하지 않고 굴곡진 형태를 가지므로 후속의 증착 및 사진 공정에 부담이 되고 있다. However, the fabricated multi-bridge channel type MOS transistor has a center-shaped source / drain, so that it is difficult to have a constant doping profile for ion implantation into the source / drain region, and the channel layer forming the multi-bridge is on top. As the length becomes shorter, the channel forming distance to the source / drain becomes longer toward the bottom, which affects the operation characteristics of the transistor. In addition, the source / drain regions are not flat and have a curved shape, which burdens subsequent deposition and photography processes.

상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 평탄화된 소스/드레인 영역을 갖는 다중 채널을 갖는 반도체 장치의 제조 방법을 제공하는데 있다. An object of the present invention for solving the above problems is to provide a method of manufacturing a semiconductor device having a multi-channel having a planarized source / drain region.

상기 본 발명의 목적을 달성하기 위한 본 발명의 다중 채널을 갖는 반도체 장치의 제조 방법은 반도체 기판에 복수개의 게이트 형성층 및 단결정 실리콘층이 서로 반복 적층된 예비 액티브 패턴을 형성한다. 상기 예비 액티브 패턴 상에 하드 마스크를 형성한다. 상기 하드 마스크를 이용하여 상기 기판의 표면 부위까지 상기 예비 액티브 패턴을 식각하여 액티브 채널 패턴을 형성한다. 상기 액티브 채널 패턴을 형성하는 식각 단계에서 제거된 부위에 평탄한 상부면을 갖는 소스/드레인층을 형성한다. 상기 복수개의 게이트 형성층을 선택적으로 식각하여, 복수개의 터널을 형성한다. 상기 복수개의 터널을 매립하면서 상기 액티브 채널 패턴을 둘러싸고, 상기 액티브 채널 패턴 상부에 돌출되는 게이트를 형성한다. 그 결과, 다중 채널을 갖는 모스 트랜지스터를 포함하는 반도체 장치가 형성된다. A method of manufacturing a multi-channel semiconductor device of the present invention for achieving the above object of the present invention forms a preliminary active pattern in which a plurality of gate formation layers and a single crystal silicon layer are repeatedly stacked on each other on a semiconductor substrate. A hard mask is formed on the preliminary active pattern. The preliminary active pattern is etched to the surface portion of the substrate by using the hard mask to form an active channel pattern. A source / drain layer having a flat top surface is formed on a portion removed in the etching step of forming the active channel pattern. The plurality of gate forming layers are selectively etched to form a plurality of tunnels. A gate is formed on the active channel pattern while filling the plurality of tunnels to surround the active channel pattern. As a result, a semiconductor device including a MOS transistor having multiple channels is formed.

바람직하게는, 상기 소스/드레인층은 상기 액티브 채널 패턴의 측면 및 반도체 기판 상면에 실리콘 소스 가스 및 식각 가스를 동시에 공급하면서 선택적 에피택시얼 단결정막을 형성하는 단계와, 상기 실리콘 소스 가스의 공급을 막고 식각 가스를 이용하여 상기 선택적 에피택시얼 단결정막의 상면을 평탄화시키기 위한 식 각 공정을 수행하는 단계를 반복 수행시켜 형성한다.Preferably, the source / drain layer forms a selective epitaxial single crystal film while simultaneously supplying a silicon source gas and an etching gas to the side surface of the active channel pattern and the upper surface of the semiconductor substrate, and prevents the supply of the silicon source gas. It is formed by repeatedly performing an etching process for planarizing the top surface of the selective epitaxial single crystal film using an etching gas.

이에 따라, 본 발명은 상면에 파셋 부위없이 평탄화된 소스/드레인층을 갖는 다중 채널을 포함하는 모스 트랜지스터를 제조할 수 있어 반도체 장치의 트랜지스터 동작 특성이 일정하게 유지되고, 후속의 증착 공정이나 사진 공정을 용이하게 수행할 수 있다. Accordingly, the present invention can fabricate a MOS transistor including a multi-channel having a source / drain layer planarized without a facet portion on the top surface, so that the transistor operating characteristics of the semiconductor device are kept constant, and subsequent deposition or photography processes are performed. Can be easily performed.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 따른 다중 채널을 포함하는 반도체 장치의 제조 방법에 대해 상세하게 설명한다. 하지만, 본 발명이 하기의 실시예들에 한정되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다. 첨부된 도면에 있어서, 기판 또는 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. Hereinafter, a method of manufacturing a semiconductor device including multiple channels according to a preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the following embodiments, and those skilled in the art may implement the present invention in various other forms without departing from the technical spirit of the present invention. In the accompanying drawings, the dimensions of the substrate or structures are enlarged than actual for clarity of the invention.

도 1a는 본 발명의 일 실시예에 의한 다중 채널을 갖는 MOS 트랜지스터의 액티브 패턴 및 액티브 채널 패턴을 도시한 사시도이며, 도 1b는 본 발명의 일 실시예에 의한 다중 채널을 갖는 MOS 트랜지스터의 게이트 전극을 도시한 사시도이다. 도 2는 본 발명의 일 실시예에 의한 다중 채널을 갖는 MOS트랜지스터의 단면도이다. 1A is a perspective view illustrating an active pattern and an active channel pattern of a MOS transistor having multiple channels according to an embodiment of the present invention, and FIG. 1B is a gate electrode of the MOS transistor having multiple channels according to an embodiment of the present invention. It is a perspective view showing. 2 is a cross-sectional view of a MOS transistor having multiple channels according to an embodiment of the present invention.

도 1a를 참조하면, 반도체 기판(미도시) 상에 액티브 패턴(40)이 구비된다. 상기 액티브 패턴(40)은 트랜지스터 동작시 수직 방향으로 다수의 채널들이 형성되는 액티브 채널 패턴(36)을 포함한다. 상기 액티브 채널 패턴(36)의 측면은 수직한 형상을 갖는다. Referring to FIG. 1A, an active pattern 40 is provided on a semiconductor substrate (not shown). The active pattern 40 includes an active channel pattern 36 in which a plurality of channels are formed in a vertical direction during transistor operation. Side surfaces of the active channel pattern 36 have a vertical shape.

또한, 상기 액티브 패턴(40)은 상기 액티브 채널 패턴(36)과 필드 영역들(미도시) 사이의 식각된 영역을 매몰하면서 필드 영역의 표면과 수평을 이루도록 평탄한 표면을 갖는 소스/드레인층(26)을 포함한다. In addition, the active pattern 40 may have a source / drain layer 26 having a flat surface parallel to the surface of the field region while the etched region between the active channel pattern 36 and the field regions (not shown) is buried. ).

상기 액티브 채널 패턴(36)에는 각 채널 영역을 구분하기 위한 복수의 터널(38)들이 구비되어 있다. 상기 액티브 채널 패턴(36)에는 트랜지스터의 타입에 따라 N 또는 P형 불순물이 도핑되어 있다. 예컨대, N형 트랜지스터를 형성하는 경우에는 P형 불순물이 저농도로 도핑되어 있다. The active channel pattern 36 is provided with a plurality of tunnels 38 for distinguishing each channel region. The active channel pattern 36 is doped with N or P type impurities, depending on the type of the transistor. For example, in the case of forming an N-type transistor, P-type impurities are lightly doped.

본 실시예에서 상기 액티브 채널 패턴(36)은 기판에 대해 수직 방향으로 채널들이 형성되기 위해 2개의 하부 게이트가 형성되어질 2개의 터널(38)이 구비된다. 그러나, 상기 터널(38)은 1개 또는 3개 이상을 구비할 수도 있다. In the present exemplary embodiment, the active channel pattern 36 includes two tunnels 38 in which two lower gates are to be formed in order to form channels in a direction perpendicular to the substrate. However, the tunnel 38 may have one or three or more.

상기 소스/드레인층(26)은 상기 채널 영역에 도핑된 불순물과 상반되는 타입의 불순물이 저농도 또는 고농도로 도핑되어 있다. 예컨대, N형 트랜지스터를 형성하는 경우에 상기 소스/드레인층(26)에는 N형 불순물이 도핑되어 있다. The source / drain layer 26 is doped with a low or high concentration of impurities of a type opposite to that of the doped impurities in the channel region. For example, in the case of forming an N-type transistor, the source / drain layer 26 is doped with N-type impurities.

도 1b 및 도 2를 참조하면, 상기 복수개의 터널(38) 내부를 매립하면서, 트랜지스터 동작시 형성되는 복수개의 채널이 소스/드레인 영역에 걸쳐 수평한 방향으로 형성되도록 소스/드레인층(26)들 사이에 게이트(50)가 형성되어 있다. 또한, 상기 게이트(50)는 상기 액티브 패턴(40)의 중심부의 상부면에 돌출되도록 형성되어 있다. Referring to FIGS. 1B and 2, the source / drain layers 26 may be embedded in the plurality of tunnels 38 so that a plurality of channels formed during transistor operation are formed in a horizontal direction across the source / drain region. The gate 50 is formed in between. In addition, the gate 50 is formed to protrude from an upper surface of the central portion of the active pattern 40.

구체적으로, 상기 게이트(50)는 상기 터널(38)들의 내부 표면 및 상기 액티 브 패턴(40)의 돌출된 상부면에 구비되는 게이트 절연막(42)을 포함한다. 상기 게이트 절연막(42)은 열산화막이나 ONO막으로 형성할 수 있다. 그리고, 상기 게이트 절연막(42) 상에 티타늄 질화물로 형성되는 제1 도전막 패턴(44) 및 게이트 저항을 낮추기 위하여 텅스텐으로 형성되는 제2 도전막 패턴(52)을 포함한다. Specifically, the gate 50 includes a gate insulating layer 42 provided on the inner surface of the tunnel 38 and the protruding upper surface of the active pattern 40. The gate insulating film 42 may be formed of a thermal oxide film or an ONO film. In addition, a first conductive layer pattern 44 formed of titanium nitride and a second conductive layer pattern 52 formed of tungsten to lower the gate resistance are included on the gate insulating layer 42.

상기 게이트(50)에서 상기 액티브 패턴(40) 상에 돌출되어 형성되는 게이트는 상부 게이트(50a)라하고, 상기 액티브 패턴(40) 내부의 터널(38)에 형성되는 게이트는 하부 게이트(50b)라 한다. 상기 상부 게이트(50a)의 양측면에는 실리콘 산화물로 형성되는 산화막 스페이서(34)가 구비된다.A gate protruding from the gate 50 on the active pattern 40 is called an upper gate 50a, and a gate formed in the tunnel 38 inside the active pattern 40 is a lower gate 50b. It is called. Oxide film spacers 34 made of silicon oxide are provided on both side surfaces of the upper gate 50a.

상기 반도체 기판(10)은 실리콘(Si), 실리콘 게르마늄(SiGe), 실리콘-온-인슐레이터(SOI) 또는 실리콘 게르마늄-온-인슐레이터(SGOI)로 사용할 수 있다. 이중에서, 상기 반도체 기판(10)은 벌크 실리콘으로 이루어진 것으로 사용하는 것이 비용 절감 및 공정 진행상 유리하다. The semiconductor substrate 10 may be used as silicon (Si), silicon germanium (SiGe), silicon-on-insulator (SOI), or silicon germanium-on-insulator (SGOI). In particular, the semiconductor substrate 10 is made of bulk silicon, which is advantageous in terms of cost reduction and process progress.

상기 반도체 기판(10)을 벌크 실리콘으로 사용하는 경우에는 액티브 패턴(40)의 최하부에 위치하는 터널(38) 아래에 위치하는 기판에 채널 분리 영역(12)이 구비된다. 상기 채널 분리 영역(12)에는 트랜지스터의 소스/드레인 영역의 불순물과 상반되는 타입의 불순물이 고농도 또는 저농도로 도핑되어 있다. When the semiconductor substrate 10 is used as bulk silicon, the channel isolation region 12 is provided on the substrate under the tunnel 38 positioned at the bottom of the active pattern 40. The channel isolation region 12 is doped with a high or low concentration of impurities opposite to that of the source / drain regions of the transistor.

상기 액티브 채널 패턴(36) 및 상기 소스/드레인(26)은 둘다 단결정 반도체막, 바람직하게는 실리콘막으로 형성된다. The active channel pattern 36 and the source / drain 26 are both formed of a single crystal semiconductor film, preferably a silicon film.

본 발명의 MOS 트랜지스터는, 상기 소스/드레인은 트랜지스터 동작 시에 채널이 형성 방향에 대해 수직한 방향으로 일정한 도핑 프로파일을 갖는다. 때문에, 채널 수가 늘어나더라도 균일한 소스/드레인 접합 캐패시턴스를 유지할 수 있다. 따라서, 접합 캐패시턴스를 최소화하면서 전류를 증가시켜 소자의 속도를 향상시킬 수 있다. In the MOS transistor of the present invention, the source / drain has a constant doping profile in a direction perpendicular to the direction in which the channel is formed during transistor operation. Therefore, even if the number of channels increases, it is possible to maintain a uniform source / drain junction capacitance. Therefore, it is possible to improve the speed of the device by increasing the current while minimizing the junction capacitance.

또한, 필드 영역들 사이를 매몰하도록 형성된 소스/드레인층을 평탄화되도록 형성할 수 있어 소스/드레인이 일정한 도핑 프로파일로 도핑될 수 있고, 멀티-브리지를 형성하는 단결정 실리콘층들의 길이를 동일하도록 형성할 수 있어, 균일한 소스/드레인 접합 캐패시턴스를 유지할 수 있다. 이후에 소스/드레인층 상에 수행되는 증착 및 사진 공정의 공정 프로파일을 향상시킬 수 있다. In addition, the source / drain layer formed to be buried between the field regions can be formed to be planarized so that the source / drain can be doped with a constant doping profile and the same length of the single crystal silicon layers forming the multi-bridge can be formed. Can maintain a uniform source / drain junction capacitance. The process profile of the deposition and photography process which is then performed on the source / drain layer can be improved.

도 3a 내지 도 3o는 본 발명의 일 실시예에 의한 반도체 장치의 제조 방법을 설명하기 위한 단면도들이고, 도 4a 내지 도 4c는 각 단계에 따른 사시도들이다.3A to 3O are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention, and FIGS. 4A to 4C are perspective views according to each step.

도 3a를 참조하면, 반도체 기판(10)을 마련한다. 상기 반도체 기판(10)은 실리콘(Si), 실리콘 게르마늄(SiGe), 실리콘-온-인슐레이터(SOI) 또는 실리콘 게르마늄-온-인슐레이터(SGOI)로 이루어져 있다. Referring to FIG. 3A, a semiconductor substrate 10 is prepared. The semiconductor substrate 10 is made of silicon (Si), silicon germanium (SiGe), silicon-on-insulator (SOI), or silicon germanium-on-insulator (SGOI).

기판(10)의 표면에 고농도 또는 저농도의 불순물을 주입하여 채널 분리 영역(12)을 형성한다. 상기 고농도의 불순물은 형성하고자하는 트랜지스터의 소스, 드레인 영역의 불순물과 상반되는 타입의 불순물이 고농도 또는 저농도로 도핑되어 있다. 따라서, 기저 트랜지스터의 동작이 방지되어 쇼트 채널 효과를 방지할 수 있다. The channel isolation region 12 is formed by implanting impurities of high or low concentration into the surface of the substrate 10. The impurities having a high concentration are doped with a high or low concentration of impurities opposite to those of the source and drain regions of the transistor to be formed. Therefore, the operation of the base transistor can be prevented and the short channel effect can be prevented.

상기 기판(10) 상에 복수개의 게이트 형성층(14) 및 복수개의 단결정 실리콘층(16)을 서로 반복하여 적층한다. 먼저 기판(10) 상에 제1 게이트 형성층(14a)을 형성하고, 제1 게이트 형성층(14a) 상에 제1 단결정 실리콘층(16a)을 형성한다. 이어서, 상기 제1 단결정 실리콘층(16a) 상에 제2 게이트 형성층(14b) 및 제2 단결정 실리콘층(16b)을 형성한다. 또한, 최상층으로는 게이트 형성층을 형성한다. The plurality of gate forming layers 14 and the plurality of single crystal silicon layers 16 are repeatedly stacked on the substrate 10. First, the first gate forming layer 14a is formed on the substrate 10, and the first single crystal silicon layer 16a is formed on the first gate forming layer 14a. Subsequently, a second gate forming layer 14b and a second single crystal silicon layer 16b are formed on the first single crystal silicon layer 16a. In addition, a gate forming layer is formed in the uppermost layer.

상기 단결정 실리콘층(16) 및 게이트 형성층(14)은 서로에 대해 식각 선택비를 갖는 단결정 반도체 물질들로 형성한다. 바람직하게는, 상기 단결정 실리콘층(16)은 약 300Å 두께의 단결정 실리콘막으로 형성하고, 상기 게이트 형성층(14)은 약 300Å 두께의 단결정 게르마늄막 또는 단결정 실리콘-게르마늄막으로 형성한다. 상기 단결정 실리콘층 및 게이트 형성층은 에피택시얼 성장법에 의해 형성할 수 있다. The single crystal silicon layer 16 and the gate forming layer 14 are formed of single crystal semiconductor materials having an etch selectivity with respect to each other. Preferably, the single crystal silicon layer 16 is formed of a single crystal silicon film having a thickness of about 300 GPa, and the gate forming layer 14 is formed of a single crystal germanium film or a single crystal silicon-germanium film having a thickness of about 300 GPa. The single crystal silicon layer and the gate forming layer can be formed by an epitaxial growth method.

또한, 상기 단결정 실리콘층(16) 및 게이트 형성층(14)의 두께와 반복 횟수는 만들고자 하는 트랜지스터의 목적에 따라 자유롭게 조절할 수 있다. 이때, 상기 단결정 실리콘층(16)을 도핑된 단결정 실리콘막으로 형성함으로써, 채널 도핑을 미리 실시할 수도 있다.In addition, the thickness and the number of repetitions of the single crystal silicon layer 16 and the gate forming layer 14 may be freely adjusted according to the purpose of the transistor to be made. In this case, the channel doping may be performed in advance by forming the single crystal silicon layer 16 as a doped single crystal silicon film.

도 3b를 참조하면, 상기 단결정 실리콘층(16) 및 게이트 형성층(14)을 식각하고, 계속하여 상기 기판(10)의 채널 분리 영역(12) 하단까지 식각하여 소자 분리용 트렌치를 형성한다. 다음에, 상기 트렌치를 매립하도록 화학 기상 증착(CVD) 방법으로 산화막을 증착하고, 에치백 또는 화학 기계적 연마(CMP) 공정으로 상기 산화막을 상기 최상부의 단결정 실리콘층(16b) 표면이 노출될 때까지 평탄화시킴으로써 액티브 영역 및 필드 영역(18)을 구분한다. 상기 공정에 의해 단결정 실리콘층(16) 및 게이트 형성층(14)이 적층되는 예비 액티브 패턴이 형성된다. 상기 액티 브 영역은 섬 형태의 패턴으로 형성된다. Referring to FIG. 3B, the single crystal silicon layer 16 and the gate forming layer 14 are etched and subsequently etched to the lower end of the channel isolation region 12 of the substrate 10 to form a device isolation trench. Next, an oxide film is deposited by a chemical vapor deposition (CVD) method so as to fill the trench, and the oxide film is exposed by an etch back or chemical mechanical polishing (CMP) process until the top surface of the single crystal silicon layer 16b is exposed. The planarization separates the active region and the field region 18. By the above process, a preliminary active pattern in which the single crystal silicon layer 16 and the gate forming layer 14 are stacked is formed. The active region is formed in an island pattern.

도 3c를 참조하면, 상기 단결정 실리콘층(16) 상에 식각 저지막 및 더미 게이트층을 순차적으로 적층한다. Referring to FIG. 3C, an etch stop layer and a dummy gate layer are sequentially stacked on the single crystal silicon layer 16.

상기 식각 저지막은 상기 더미 게이트층에 대해 선택적으로 제거될 수 있는 절연 물질, 바람직하게는 실리콘 질화물을 약 100∼200Å의 두께로 증착하여 형성한다. 상기 식각 저지막은 후속 공정에서 상기 더미 게이트층을 식각할 때 그 하부의 단결정 실리콘층(16b)이 식각되는 것을 방지한다. 상기 더미 게이트층은 게이트 영역을 한정하기 위한 것으로, 실리콘 산화물을 1000 내지 3000Å의 두께로 증착하여 형성한다.The etch stop layer is formed by depositing an insulating material, preferably silicon nitride, which can be selectively removed with respect to the dummy gate layer, to a thickness of about 100 to 200 microns. The etch stop layer prevents the underlying single crystal silicon layer 16b from being etched when the dummy gate layer is etched in a subsequent process. The dummy gate layer is used to define a gate region, and is formed by depositing silicon oxide to a thickness of 1000 to 3000 GPa.

이어서, 사진 식각 공정으로 상기 더미 게이트층 및 식각 저지막을 차례로 건식 식각하여 식각 저지막 패턴(20a) 및 더미 게이트 패턴(20b)으로 이루어진 게이트 하드 마스크(20)를 형성한다. 상기 건식 식각은 상기 식각 저지막 및 더미 게이트층이 일정 경사를 가지면서 식각되도록 수행한다. 따라서, 상기 식각 저지막 패턴 및 더미 게이트 패턴(20b)으로 이루어지는 게이트 하드 마스크(20)의 측면은 경사진 형태가 된다. 구체적으로, 상기 게이트 하드 마스크(20)의 단면은 상변이 하변에 비해 작은 사다리꼴 형상을 갖도록 형성한다. Subsequently, the dummy gate layer and the etch stop layer are sequentially dry-etched by a photolithography process to form a gate hard mask 20 including the etch stop layer pattern 20a and the dummy gate pattern 20b. The dry etching is performed such that the etch stop layer and the dummy gate layer are etched with a predetermined slope. Accordingly, the side surface of the gate hard mask 20 including the etch stop layer pattern and the dummy gate pattern 20b may be inclined. Specifically, the cross section of the gate hard mask 20 is formed so that the upper side has a trapezoidal shape smaller than the lower side.

도 3d를 참조하면, 상기 게이트 하드 마스크(20)를 식각 마스크로 사용하여, 상기 예비 액티브 패턴을 식각한다. 상기 식각 공정은 상기 반도체 기판의 채널 분리 영역(12) 아래까지 노출되도록 수행한다. 그 결과, 예비 액티브 채널 패턴(22)이 형성된다. Referring to FIG. 3D, the preliminary active pattern is etched using the gate hard mask 20 as an etch mask. The etching process is performed to be exposed below the channel isolation region 12 of the semiconductor substrate. As a result, the preliminary active channel pattern 22 is formed.

도 3e를 참조하면, 상기 예비 액티브 채널 패턴(22) 측면에 노출되어 있는 복수개의 단결정 실리콘층 패턴들(16a', 16b') 및 게이트 형성층 패턴들(14a', 14b')을 부분적으로 등방성 식각하여, 상기 예비 액티브 채널 패턴(22)보다 선폭이 감소된 액티브 채널 패턴(24)을 형성한다. 상기 식각 공정에 의해 채널 길이가 결정되므로, 상기 식각 공정은 채널 트리밍(trimming) 공정이라고도 한다. Referring to FIG. 3E, the plurality of single crystal silicon layer patterns 16a 'and 16b' and the gate forming layer patterns 14a 'and 14b' exposed to the side surface of the preliminary active channel pattern 22 are partially isotropically etched. As a result, an active channel pattern 24 having a line width smaller than that of the preliminary active channel pattern 22 is formed. Since the channel length is determined by the etching process, the etching process is also called a channel trimming process.

상기 액티브 채널 패턴(24)의 측면 프로파일이 수직으로 형성되기 위해, 상기 단결정 실리콘층(14a", 14b") 및 게이트 형성층(16a", 16b")간의 식각 선택비가 거의 없는 조건으로 수행하여야 한다. 구체적으로, 상기 식각 공정은 식각 가스의 라디컬을 이용하는 케미컬 건식 식각(chemical dry etching)에 의해 수행할 수 있다. 상기 식각 공정을 수행하면, 사진 공정에 의하여 형성할 수 있는 패턴 사이즈보다 더 작은 선폭을 갖는 액티브 채널 패턴(24)을 형성할 수 있다. In order for the side profile of the active channel pattern 24 to be formed vertically, the sidewall profile of the active channel pattern 24 must be performed under a condition in which the etch selectivity between the single crystal silicon layers 14a ″ and 14b ″ and the gate forming layers 16a ″ and 16b ″ is little. Specifically, the etching process may be performed by chemical dry etching using radicals of the etching gas. By performing the etching process, the active channel pattern 24 having a line width smaller than the pattern size that can be formed by the photolithography process can be formed.

도 3f를 참조하면, 상기 액티브 채널 패턴(24)의 측면 및 반도체 기판(10) 상면에 실리콘 소스 가스 및 식각 가스를 동시에 공급하면서 선택적 에피택시얼 단결정막(25)을 형성한다.Referring to FIG. 3F, a selective epitaxial single crystal layer 25 is formed while simultaneously supplying a silicon source gas and an etching gas to the side surface of the active channel pattern 24 and the upper surface of the semiconductor substrate 10.

이 때, 상기 액티브 채널 패턴(24)의 선폭은 게이트 하드 마스크(20)의 하부 선폭에 비해 작다. 즉, 상기 액티브 채널 패턴(24)의 상부에서는 상기 게이트 하드 마스크(20)에 의해 마스킹되어 있으므로, 상기 액티브 채널 패턴(24)의 측면으로 선택적 에피택시얼 단결정막(25)을 성장할 시에 상기 액티브 채널 패턴(24)의 측면과 평행한 방향으로의 막의 성장이 억제되고, 대부분은 상기 액티브 채널 패턴(24) 측면과 수직한 방향으로 성장이 이루어진다. 그러나, 상기 액티브 채널 패턴(24)의 측면에서는 추가적으로 성장되고, 필드 영역(18)과 만나는 부분에서는 실리콘 소스 등의 공급이 원활하지 못해 성장이 지연되는 파셋 부위를 형성한다.In this case, the line width of the active channel pattern 24 is smaller than the lower line width of the gate hard mask 20. That is, since the mask is masked by the gate hard mask 20 on the active channel pattern 24, the active epitaxial single crystal layer 25 grows on the side of the active channel pattern 24. The growth of the film in the direction parallel to the side surface of the channel pattern 24 is suppressed, and most of the growth occurs in the direction perpendicular to the side surface of the active channel pattern 24. However, the active channel pattern 24 is further grown on the side of the active channel pattern 24, and the portion facing the field region 18 forms a facet region in which growth is delayed due to difficulty in supplying a silicon source or the like.

상기와 같이, 종심형을 이루는 선택적 에피택시얼 단결정막(25)은 이후 소스/드레인을 형성하기 위한 이온 주입에 어려움이 있고, 상기 액티브 채널 패턴(24)의 채널 패턴의 형성 길이가 달라져 달라질 수 있다. 따라서, 상기 선택적 에피택시얼 단결정막(25)은 상기 액티브 채널 패턴(24)의 측면으로의 성장을 억제시키면서 평탄하게 성장시켜야 한다.As described above, the selective epitaxial single crystal layer 25 having a center shape has difficulty in implanting ions to form a source / drain thereafter, and the channel length of the active channel pattern 24 may be changed to vary. have. Therefore, the selective epitaxial single crystal film 25 should be grown evenly while suppressing the growth of the active channel pattern 24 to the side surface.

도 3g를 참조하면, 상기 실리콘 소스 가스의 공급을 막고 식각 가스를 이용하여 상기 선택적 에피택시얼 단결정막(25)에 식각 공정을 수행함으로써, 파셋 부위 없는 평탄한 선택적 에피택시얼 단결정막(25')을 형성한다. 일 예로서, 상기 식각 공정은 건식 식각 공정을 포함한다. 상기 선택적 에피택시얼 단결정막(25)은 액티브 채널 패턴(24)의 측벽과 만나는 지점에서 수평한 방향으로 성장이 이루어지고 필드 영역(18)과 면접하는 파셋 지점에서 성장이 억제되어 움푹패이는데 이러한 상면을 평탄화시킬때까지 상기 건식 식각 공정을 수행한다. 그 결과, 상기 액티브 채널 패턴(24)의 선폭은 상부와 하부에서 동일하게 유지된다.Referring to FIG. 3G, a planar selective epitaxial single crystal film 25 ′ without a facet portion is formed by blocking the supply of the silicon source gas and performing an etching process on the selective epitaxial single crystal film 25 using the etching gas. To form. As an example, the etching process may include a dry etching process. The selective epitaxial single crystal layer 25 grows in a horizontal direction at the point where it meets the sidewall of the active channel pattern 24, and the growth is suppressed at the facet point where the field region 18 is interviewed. The dry etching process is performed until the top surface is planarized. As a result, the line width of the active channel pattern 24 remains the same at the top and the bottom.

도 3h를 참조하면, 상기 평탄한 선택적 에피택시얼 단결정막(25')의 형성 공정을 반복 수행하여 상기 액티브 채널 패턴(24)과 필드 영역(18) 사이에 상기 액티브 채널 패턴(24)의 식각 단계에서 제거된 부위에 평탄한 상면을 갖는 소스/드레인층(26)을 형성한다. 즉, 상기 평탄한 선택적 에피택시얼 단결정막(25') 상에 계속해서 선택적 에피택시얼 성장 공정을 수행한 후, 건식 식각하여 상면을 평탄화시키 는 공정을 필드 영역(18)의 상면까지 반복한다. 그 결과, 상부와 하부에서 패턴의 폭이 일정하고, 상면이 평탄한 소스/드레인층(26)이 형성된다. Referring to FIG. 3H, the active channel pattern 24 is etched between the active channel pattern 24 and the field region 18 by repeatedly forming the planar selective epitaxial single crystal layer 25 ′. Form a source / drain layer 26 having a flat top surface at the site removed at. That is, after the selective epitaxial growth process is continuously performed on the planar selective epitaxial single crystal film 25 ′, the process of dry etching to planarize the upper surface is repeated to the upper surface of the field region 18. As a result, a source / drain layer 26 having a constant width and a flat top surface is formed at the top and the bottom.

이어서, 상기 평탄화된 소스/드레인층(26)에 이온 불순물을 도핑시킴으로써, 전면에서 균일한 불순물 농도를 갖는 소스/드레인을 형성한다.  Subsequently, the planarized source / drain layer 26 is doped with ionic impurities to form a source / drain having a uniform impurity concentration on the entire surface.

도 3i를 참조하면, 상기 소스/드레인층(26)과 상기 필드 영역(18) 상에 상기 게이트 하드 마스크(20)를 완전히 매립하도록 실리콘 질화막(30)을 형성한다. 이어서, 상기 게이트 하드 마스크(20)의 상부면, 즉 더미 게이트 패턴이 노출되도록 상기 실리콘 질화막(30)을 화학 기계적 연마한다.Referring to FIG. 3I, a silicon nitride layer 30 is formed to completely fill the gate hard mask 20 on the source / drain layer 26 and the field region 18. Subsequently, the silicon nitride layer 30 is chemically mechanically polished to expose the upper surface of the gate hard mask 20, that is, the dummy gate pattern.

도 3j를 참조하면, 상기 더미 게이트 패턴(20b)을 선택적으로 제거하고 이어서, 상기 식각 저지막 패턴(20a)을 식각하여, 상부 게이트가 형성될 영역을 정의하는 게이트 트렌치(32)를 형성한다. 상기 더미 게이트 패턴(20b)과 식각 선택비가 높은 식각 저지막 패턴(20a)이 형성되어 있으므로, 상기 식각 공정시에 하부의 단결정 실리콘층(16b")의 리세스를 최소화할 수 있다. Referring to FIG. 3J, the dummy gate pattern 20b is selectively removed, and then the etch stop layer pattern 20a is etched to form a gate trench 32 defining a region in which an upper gate is to be formed. Since the etch stop layer pattern 20a having the high etching selectivity with the dummy gate pattern 20b is formed, the recess of the lower single crystal silicon layer 16b ″ may be minimized during the etching process.

상기 식각 저지막 패턴(20a) 및 더미 게이트 패턴(20b)으로 이루어지는 하드 마스크 패턴(20)의 단면은 상변에 비해 하변의 사이즈가 큰 사다리꼴을 갖는다. 때문에, 게이트 트렌치(32)는 트렌치 상부가 트렌치 하부에 비해 좁은 형상을 갖는다.The cross section of the hard mask pattern 20 including the etch stop layer pattern 20a and the dummy gate pattern 20b has a trapezoid having a larger size of a lower side than an upper side. Therefore, the gate trench 32 has a shape where the upper portion of the trench is narrower than the lower portion of the trench.

도 3k를 참조하면, 상기 게이트 트렌치(32) 내부 표면 및 실리콘 질화막(30) 상부면에 실리콘 산화막을 형성한다. 이어서, 상기 실리콘 산화막을 이방성 식각하여 상기 게이트 트렌치 측벽에 내부 산화막 스페이서(34)를 형성한다.Referring to FIG. 3K, a silicon oxide layer is formed on an inner surface of the gate trench 32 and an upper surface of the silicon nitride layer 30. Subsequently, the silicon oxide film is anisotropically etched to form internal oxide spacers 34 on the sidewalls of the gate trench.

상기 내부 산화막 스페이서(34)는 상기 게이트 트렌치(32)의 개구폭을 감소시키므로 상기 내부 산화막 스페이서(34)의 두께에 따라 트랜지스터의 상부 게이트 길이가 감소된다. 또한, 상기 내부 산화막 스페이서(34)는 상부에 비해 하부가 두꺼운 형상을 갖기 때문에, 상기 내부 산화막 스페이서(34)에 의해 상부 게이트 트렌치의 내부 측면이 수직에 가깝게 된다. Since the internal oxide spacer 34 reduces the opening width of the gate trench 32, the upper gate length of the transistor is reduced according to the thickness of the internal oxide spacer 34. In addition, since the lower portion of the inner oxide spacer 34 has a thicker shape than the upper portion thereof, the inner sidewall of the upper gate trench is close to the vertical by the inner oxide spacer 34.

따라서, 상기 내부 산화막 스페이서(34)에 의해 하부 게이트 길이와 유사한 게이트 길이를 갖는 상부 게이트를 후속 공정을 통하여 형성할 수 있으며, 상기 상부 게이트 측면이 수직에 가깝게 형성할 수 있다. Therefore, an upper gate having a gate length similar to the lower gate length may be formed by the internal oxide spacer 34 through a subsequent process, and the upper gate side may be formed to be close to the vertical.

이전 공정에서 상기 단결정 실리콘층들(16a", 16b")에 불순물 도핑 공정을 수행하지 않은 경우에는, 상기 내부 산화막 스페이서(34)를 형성한 이 후에 이온 주입 공정을 수행하여 상기 게이트 트렌치(32) 아래에 형성되어 있는 단결정 실리콘층들(16a", 16b")에 불순물을 도핑한다. 도 4a는 상기 설명한 공정들을 수행한 이 후의 사시도이다. 상기 액티브 채널 패턴의 전, 후면에는 필드 영역(18)이 노출되어 있다. When the impurity doping process is not performed on the single crystal silicon layers 16a ″ and 16b ″ in the previous process, the gate trench 32 is formed by performing an ion implantation process after forming the internal oxide spacer 34. Impurities are doped into the single crystal silicon layers 16a "and 16b" formed below. 4A is a perspective view after performing the above-described processes. Field regions 18 are exposed on the front and rear surfaces of the active channel pattern.

도 3l, 도 4b 및 도 4c를 참조하면, 상기 게이트 트렌치(32) 저면에 노출되어 있는 필드 영역을 선택적으로 식각하여, 상기 액티브 채널 패턴(24)의 전,후면을 노출시킨다. (도 4b)3L, 4B, and 4C, a field region exposed on the bottom surface of the gate trench 32 is selectively etched to expose the front and rear surfaces of the active channel pattern 24. (FIG. 4B)

이어서, 실리콘과 실리콘 게르마늄에 대한 식각 선택비를 갖는 식각액을 사용하여 등방성 식각 공정으로 상기 복수개의 게이트 형성층 패턴들(14a", 14b")을 선택적으로 제거하여, 상기 액티브 채널 패턴(24)에 복수개의 터널(38)을 형성한 다. (도 4c) Subsequently, the plurality of gate forming layer patterns 14a "and 14b" are selectively removed by an isotropic etching process using an etchant having an etching selectivity with respect to silicon and silicon germanium, thereby forming a plurality of gates in the active channel pattern 24. Two tunnels 38 are formed. (FIG. 4C)

상기 공정에 의해 기판(10) 상에, 수직 방향으로 복수의 터널(38)이 구비되는 액티브 채널 패턴(24) 및 상기 터널(38) 양측으로 소스/드레인층(26)을 포함하고, 평탄한 상면을 갖는 액티브 패턴(40)이 형성된다. 상기 액티브 패턴(40)은 이웃하는 필드 영역(18)들과의 사이에 매몰되어 상기 필드 영역(18)과 함께 평탄한 상면을 갖는다. By the above process, the active channel pattern 24 including the plurality of tunnels 38 in the vertical direction on the substrate 10 and the source / drain layer 26 on both sides of the tunnel 38 are provided. An active pattern 40 having a structure is formed. The active pattern 40 is buried between neighboring field regions 18 to have a flat top surface with the field region 18.

도 3m을 참조하면, 열산화 공정을 실시하여 상기 복수개의 터널(38) 내부 표면 및 상기 게이트 트렌치(32) 상에 게이트 절연막(42)을 형성한다. Referring to FIG. 3M, a thermal oxidation process is performed to form a gate insulating layer 42 on the inner surfaces of the plurality of tunnels 38 and the gate trench 32.

여기서, 상기 게이트 절연막(42)을 형성하기 전에, 노출된 막들의 표면 거칠기를 개선하기 위해 수소(H2) 또는 아르곤(Ar) 분위기에서 고온 열처리를 실시할 수 있다. 또한, 상기 게이트 절연막(42)은 실리콘 산화막 또는 실리콘 옥시나이트라이드로 형성할 수도 있다.Here, before forming the gate insulating layer 42, a high temperature heat treatment may be performed in a hydrogen (H 2 ) or argon (Ar) atmosphere to improve the surface roughness of the exposed films. In addition, the gate insulating layer 42 may be formed of a silicon oxide film or silicon oxynitride.

도 3n을 참조하면, 상기 복수개의 터널(38), 식각된 필드 영역 및 게이트 트렌치(32)를 매립하면서, 상기 복수개의 터널(38)을 둘러싸도록 제1 도전막 패턴(44)을 형성한다. 이때, 상기 제1 도전막 패턴(44)은 상기 복수개의 터널(38)을 충분히 매립하면서 게이트 트렌치(32)를 부분적으로 매립하도록 형성된다. 상기 게이트 트렌치(32)의 상기 제1 도전막 패턴(44)의 상부에는 텅스텐으로 이루어지는 제2 도전막 패턴(52)이 형성된다. 상기 공정에 의해 게이트 절연막(42), 제1 도전막 패턴(44) 및 제2 도전막 패턴(52)으로 이루어지는 게이트(50)가 형성된다. Referring to FIG. 3N, a first conductive layer pattern 44 is formed to surround the plurality of tunnels 38 while filling the plurality of tunnels 38, etched field regions, and gate trenches 32. In this case, the first conductive layer pattern 44 is formed to partially fill the gate trench 32 while sufficiently filling the plurality of tunnels 38. A second conductive layer pattern 52 made of tungsten is formed on the first conductive layer pattern 44 of the gate trench 32. By the above process, the gate 50 including the gate insulating film 42, the first conductive film pattern 44, and the second conductive film pattern 52 is formed.

구체적으로, 상기 복수개의 터널(38), 상기 식각된 필드 영역(18) 및 게이트 트렌치(32)를 충분히 매립하도록 티타늄 질화물을 화학 기상 증착하여 제1 도전막을 형성한다. 상기 증착된 제1 도전막에 대하여 전면 식각 공정을 수행하여 상기 게이트 트렌치(32)의 상부가 노출되도록 함으로써 상기 복수개의 터널(38) 및 상기 게이트 트렌치(32)의 하부를 매립하는 상기 제1 도전막 패턴(44)을 획득한다.Specifically, titanium nitride is chemically vapor deposited to sufficiently fill the plurality of tunnels 38, the etched field region 18, and the gate trench 32 to form a first conductive layer. The first conductive layer may fill the tunnel 38 and the lower portion of the gate trench 32 by performing an entire surface etching process on the deposited first conductive layer to expose the upper portion of the gate trench 32. The film pattern 44 is obtained.

상기 노출된 게이트 트렌치(32)의 상부를 충분히 매립하도록 제2 도전막을 형성하고, 화학 기계적 연마해서 제2 도전막 패턴(44)을 획득함으로써 상기 게이트(50)를 완성한다.The gate 50 is completed by forming a second conductive film to sufficiently fill the upper portion of the exposed gate trench 32, and chemically mechanical polishing to obtain a second conductive film pattern 44.

상기 공정을 수행하면, 상기 복수개의 터널(38)을 매립하면서 상기 액티브 채널 패턴(24)을 관통하고, 상기 액티브 채널 패턴(24) 상부에 돌출되는 제1 도전막 패턴(44) 및 제2 도전막 패턴(52)이 형성된다. When the process is performed, the first conductive layer pattern 44 and the second conductive layer penetrating the active channel pattern 24 and protruding from the active channel pattern 24 while filling the plurality of tunnels 38 are formed. The film pattern 52 is formed.

상기 액티브 패턴(40) 상에 형성되는 게이트는 상부 게이트(50a)라 하고, 상기 액티브 패턴(40) 내부를 관통하는 게이트는 하부 게이트(50b)라 한다. 이때, 상기 상부 게이트(50a) 상부에 형성된 텅스텐으로 이루어지는 제2 도전막 패턴(52)은 상기 상부 게이트(50a)의 저항을 감소시킨다.A gate formed on the active pattern 40 is called an upper gate 50a, and a gate penetrating through the active pattern 40 is called a lower gate 50b. In this case, the second conductive layer pattern 52 made of tungsten formed on the upper gate 50a reduces the resistance of the upper gate 50a.

도 3o를 참조하면, 상기 노출된 실리콘 질화막(30)을 모두 제거한다. Referring to FIG. 3O, all of the exposed silicon nitride layer 30 is removed.

상기 공정에 의해, 기판(10) 상에 액티브 패턴(40)이 구비되고, 상기 액티브 패턴(40) 내에 수직 방향으로 자동 정렬된 하부 게이트(50b)들이 구비되고 상기 액티브 패턴(40) 상부면에 상부 게이트(50a)가 구비된다. 그리고, 상기 상부 게이트(50a) 측면에는 산화막 스페이서(34)가 형성되어 있다. By the above process, an active pattern 40 is provided on the substrate 10, and lower gates 50b automatically aligned in the vertical direction are provided in the active pattern 40, and an upper surface of the active pattern 40 is provided. The upper gate 50a is provided. An oxide spacer 34 is formed on a side of the upper gate 50a.

상기 실리콘 질화막(30)을 제거한 이 후에 상기 소스/드레인층(26) 표면 아래에 고농도 불순물 도핑 공정을 더 수행할 수도 있다. After removing the silicon nitride layer 30, a highly doped impurity doping process may be further performed under the surface of the source / drain layer 26.

상기 방법에 의하면, 상기 건식 식각 공정을 수행함에 따라 상기 선택적 에피택시얼 단결정막이 일정 영역에서 과도하게 성장하거나 성장되지 못하여 파셋 부위에서 굴곡이 생기는 것을 최소화할 수 있다. 때문에, 소스 및 드레인층의 형상이 불량해지는 것을 방지할 수 있다. 또한, 액티브 패턴의 측면 프로파일이 수직에 가깝게 되므로 액티브 채널 패턴의 선폭이 일정하고, 균일한 두께의 티타늄 질화막을 형성할 수 있다. 따라서, 소스 드레인의 저항을 최소화시킬 수 있다. According to the method, as the dry etching process is performed, the selective epitaxial single crystal layer may be excessively grown or not grown in a predetermined region, thereby minimizing bending at the facet region. Therefore, the shape of the source and drain layers can be prevented from being poor. In addition, since the side profile of the active pattern is close to the vertical, the line width of the active channel pattern is constant, and a titanium nitride film having a uniform thickness can be formed. Therefore, the resistance of the source drain can be minimized.

상술한 바와 같은 본 발명의 바람직한 실시예에 따른 다중 채널을 갖는 반도체 장치의 제조 방법에 의하면, 상면에 파셋 부위없이 평탄화된 소스/드레인층을 형성할 수 있다. 따라서, 소스/드레인층의 이온 주입 공정을 단순화시킬 수 있어 공정 마진을 향상시킬 수 있다. According to the method of manufacturing the semiconductor device having the multi-channel according to the preferred embodiment of the present invention as described above, it is possible to form a planarized source / drain layer without a facet portion on the upper surface. Therefore, the ion implantation process of the source / drain layer can be simplified and the process margin can be improved.

또한, 상기 평탄화된 소스/드레인층을 갖는 다중 채널을 포함하는 모스 트랜지스터는 소스와 드레인 사이에 형성되는 채널 선폭이 일정하여 소스/드레인 간의 저항이 일정해짐으로써 각 채널 사이의 전류차이를 감소시킬 수 있다. 따라서 상기 모스 트랜지스터를 포함하는 반도체 장치의 트랜지스터 동작 특성이 일정하게 유지되고, 후속의 증착 공정, 사진 공정 및 연마 공정을 용이하게 수행할 수 있다. In addition, the MOS transistor including multiple channels having the planarized source / drain layer has a constant channel line width formed between the source and the drain so that the resistance between the source and the drain becomes constant, thereby reducing the current difference between each channel. have. Therefore, the transistor operating characteristics of the semiconductor device including the MOS transistor are kept constant, and subsequent deposition, photography, and polishing processes can be easily performed.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역 으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.While the foregoing has been described with reference to preferred embodiments of the present invention, those skilled in the art will be able to variously modify and change the present invention without departing from the spirit and scope of the invention as set forth in the claims below. It will be appreciated.

Claims (13)

반도체 기판에, 복수개의 게이트 형성층 및 단결정 실리콘층이 서로 반복 적층된 예비 액티브 패턴을 형성하는 단계;Forming a preliminary active pattern in which a plurality of gate forming layers and a single crystal silicon layer are repeatedly stacked on each other on a semiconductor substrate; 상기 예비 액티브 패턴 상에, 하드 마스크를 형성하는 단계;Forming a hard mask on the preliminary active pattern; 상기 하드 마스크를 이용하여, 상기 기판의 표면 부위까지 상기 예비 액티브 패턴을 식각하여 액티브 채널 패턴을 형성하는 단계;Etching the preliminary active pattern to a surface portion of the substrate using the hard mask to form an active channel pattern; 상기 액티브 채널 패턴을 형성하는 식각 단계에서 제거된 부위에 평탄한 상부면을 갖는 소스/드레인층을 형성하는 단계;Forming a source / drain layer having a flat top surface on a portion removed in the etching step of forming the active channel pattern; 상기 복수개의 게이트 형성층을 선택적으로 식각하여, 복수개의 터널을 형성하는 단계; 및Selectively etching the plurality of gate forming layers to form a plurality of tunnels; And 상기 복수개의 터널을 매립하면서 상기 액티브 채널 패턴을 둘러싸고, 상기 액티브 채널 패턴 상부에 돌출되는 게이트를 형성하는 단계를 구비하는 것을 특징으로 하는 반도체 장치의 제조 방법.And filling the plurality of tunnels, surrounding the active channel pattern, and forming a gate protruding above the active channel pattern. 제1항에 있어서, 상기 게이트 형성층은 상기 단결정 실리콘층에 대하여 식각 선택비를 갖는 게르마늄 또는 실리콘-게르마늄으로 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of claim 1, wherein the gate forming layer is formed of germanium or silicon-germanium having an etching selectivity with respect to the single crystal silicon layer. 제1항에 있어서, 상기 소스/드레인층은,The method of claim 1, wherein the source / drain layer, 상기 액티브 채널 패턴의 측면 및 반도체 기판 상면에 실리콘 소스 가스 및 식각 가스를 동시에 공급하면서 선택적 에피택시얼 단결정막을 형성하는 단계; 및Forming a selective epitaxial single crystal film while simultaneously supplying a silicon source gas and an etching gas to a side surface of the active channel pattern and an upper surface of a semiconductor substrate; And 상기 실리콘 소스 가스의 공급을 막고 식각 가스를 이용하여 상기 선택적 에피택시얼 단결정막의 상면을 평탄화시키기 위한 식각 공정을 수행하는 단계를 반복 수행시켜 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법.And performing an etching process to stop the supply of the silicon source gas and to planarize the top surface of the selective epitaxial single crystal film using the etching gas. 제1항에 있어서, 상기 최하부에 위치한 게이트 형성층 아래의 반도체 기판 표면에 소스 및 드레인과 상반되는 타입의 불순물을 고농도로 도핑하여 형성하는 채널 분리 영역을 형성하는 단계를 더 수행하는 것을 특징으로 하는 반도체 장치의 제조 방법.The semiconductor of claim 1, further comprising forming a channel isolation region on the surface of the semiconductor substrate under the gate forming layer at the lowermost level by doping impurities of a type opposite to the source and the drain at a high concentration. Method of manufacturing the device. 제1항에 있어서, 상기 예비 액티브 패턴은,The method of claim 1, wherein the preliminary active pattern, 상기 기판 상에 게이트 형성층 및 단결정 실리콘층을 반복 적층하는 단계;Repeatedly laminating a gate forming layer and a single crystal silicon layer on the substrate; 상기 적층된 게이트 형성층, 단결정 실리콘층 및 기판의 필드 부위를 소정 깊이로 식각하여 필드 영역을 정의하는 소자분리 트렌치를 형성하는 단계; 및Forming a device isolation trench defining a field region by etching the stacked gate forming layer, the single crystal silicon layer, and the field portion of the substrate to a predetermined depth; And 상기 소자분리 트렌치의 내부에 필드 산화막을 형성하는 단계를 수행하여 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법.And forming a field oxide film in the device isolation trench. 제1항에 있어서, 상기 하드 마스크는 패턴의 하부폭이 상부폭에 비해 크게 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of claim 1, wherein the hard mask has a lower width than the upper width of the pattern. 제6항에 있어서, 상기 하드 마스크는, The method of claim 6, wherein the hard mask, 상기 예비 액티브 패턴 상에 식각 저지막 및 더미 게이트막을 적층하는 단계; 및Stacking an etch stop layer and a dummy gate layer on the preliminary active pattern; And 상기 하드 마스크 패턴 측면이 상부면에 대해 경사를 갖도록 상기 더미 게이트막 및 식각 저지막을 경사 식각하는 단계를 수행하여 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법. And diagonally etching the dummy gate layer and the etch stop layer so that the side surface of the hard mask pattern has an inclination with respect to an upper surface thereof. 제1항에 있어서, 상기 복수개의 게이트 형성층을 선택적으로 식각하여 관통하는 복수개의 터널을 형성하기 이 전에, The method of claim 1, prior to forming a plurality of tunnels through which the plurality of gate forming layers are selectively etched through. 상기 액티브 채널 패턴, 소스/드레인층 및 하드 마스크를 매립하도록 실리콘 질화막을 형성하는 단계;Forming a silicon nitride film to fill the active channel pattern, the source / drain layer, and the hard mask; 상기 하드 마스크 표면이 노출되도록 상기 실리콘 질화막을 평탄화하는 단계;Planarizing the silicon nitride film to expose the hard mask surface; 상기 하드 마스크를 선택적으로 제거하는 단계;Selectively removing the hard mask; 상기 하드 마스크가 제거됨으로써 형성된 트렌치의 측면 부위에 상기 실리콘 질화막과 식각 선택비를 갖는 물질로 스페이서를 형성하는 단계; 및 Forming a spacer on a side portion of the trench formed by removing the hard mask from a material having an etching selectivity with respect to the silicon nitride film; And 상기 하드 마스크가 제거된 트렌치의 저면에 노출되어 있는 필드 영역을 선택적으로 제거하여, 상기 복수개의 게이트 형성층을 외부에 노출시키는 단계를 수행하는 것을 특징으로 하는 반도체 장치의 제조 방법. Selectively removing the field region exposed on the bottom surface of the trench from which the hard mask has been removed, and exposing the plurality of gate forming layers to the outside. 제8항에 있어서, 상기 게이트를 형성하는 단계는,The method of claim 8, wherein the forming of the gate comprises: 터널들을 충분히 매립하면서 상기 트렌치의 하부를 매립하는 제1 도전막 패턴을 형성하는 단계; 및Forming a first conductive layer pattern filling a lower portion of the trench while sufficiently filling the tunnels; And 상기 트렌치의 상부를 매립하는 제2 도전막 패턴을 형성함으로써 상기 게이트를 완성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.And forming the second conductive film pattern filling the upper portion of the trench to complete the gate. 제9항에 있어서, 상기 게이트를 완성한 이후에 상기 실리콘 질화막을 제거하는 단계를 더 수행하는 것을 특징으로 하는 반도체 장치의 제조 방법.10. The method of claim 9, further comprising removing the silicon nitride film after completing the gate. 제1항에 있어서, 상기 하드 마스크 하부의 폭에 비해 상기 식각된 액티브 채널 패턴의 폭이 더 작아지도록 트리밍하는 단계를 더 수행하는 것을 특징으로 하는 반도체 장치의 제조 방법. The method of claim 1, further comprising trimming the etched active channel pattern to have a smaller width than the width of the lower portion of the hard mask. 제11항에 있어서, 상기 트리밍 공정은 상기 복수개의 단결정 실리콘층 및 복수개의 게이트 형성층 간에 식각 선택비가 실질적으로 동일한 조건으로 등방성 식각하여 수행하는 것을 특징으로 하는 반도체 장치의 제조 방법. The method of claim 11, wherein the trimming process is performed by isotropic etching under a condition in which an etch selectivity is substantially the same between the plurality of single crystal silicon layers and the plurality of gate formation layers. 제11항에 있어서, 상기 트리밍 공정은 케미컬 드라이 에치 공정에 의해 수행하는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of claim 11, wherein the trimming process is performed by a chemical dry etch process.
KR1020060071875A 2006-07-31 2006-07-31 Method of manufacturing semiconductor device having multiple channels mos transistor KR20080011488A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060071875A KR20080011488A (en) 2006-07-31 2006-07-31 Method of manufacturing semiconductor device having multiple channels mos transistor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060071875A KR20080011488A (en) 2006-07-31 2006-07-31 Method of manufacturing semiconductor device having multiple channels mos transistor

Publications (1)

Publication Number Publication Date
KR20080011488A true KR20080011488A (en) 2008-02-05

Family

ID=39340009

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060071875A KR20080011488A (en) 2006-07-31 2006-07-31 Method of manufacturing semiconductor device having multiple channels mos transistor

Country Status (1)

Country Link
KR (1) KR20080011488A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324812B2 (en) 2013-10-02 2016-04-26 Samsung Electronics Co., Ltd. Semiconductor device including nanowire transistor
US9634144B2 (en) 2014-05-23 2017-04-25 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324812B2 (en) 2013-10-02 2016-04-26 Samsung Electronics Co., Ltd. Semiconductor device including nanowire transistor
US9515147B2 (en) 2013-10-02 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor device including nanowire transistor
US9978835B2 (en) 2013-10-02 2018-05-22 Samsung Electronics Co., Ltd. Semiconductor device including nanowire transistor
US9634144B2 (en) 2014-05-23 2017-04-25 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same

Similar Documents

Publication Publication Date Title
KR100550343B1 (en) Method of manufacturing semiconductor device having multiple channels MOS transistor
KR100763542B1 (en) Method of manufacturing semiconductor device having multiple channels mos transistor
KR100499159B1 (en) Semiconductor device having a recessed channel and method of manufacturing the same
KR100993937B1 (en) Semiconductor device having a u-shaped gate structure
KR100481209B1 (en) MOS Transistor having multiple channels and method of manufacturing the same
KR101273007B1 (en) Methods of providing electrical isolation and semiconductor structures including same
KR100552058B1 (en) Semiconductor devices having field effect transistors and methods of fabricating the same
KR100699839B1 (en) Semiconductor device having multi-channel and Method of manufacturing the same
KR100625175B1 (en) Semiconductor device having a channel layer and method of manufacturing the same
US8741758B2 (en) Cross-hair cell wordline formation
CN111952371A (en) Semiconductor device with a plurality of transistors
KR100772935B1 (en) Transistor and method of manufacturing the same
KR100828030B1 (en) Semiconductor device including Fin FET and method of manufacturing the same
JP2009531850A (en) Trench gate semiconductor device and manufacturing method thereof
US8067799B2 (en) Semiconductor device having recess channel structure and method for manufacturing the same
US20230223444A1 (en) Semiconductor device, fabrication method for same, and electronic device comprising same
KR20070068736A (en) Method of manufacturing a semiconductor device having a mos transistor with multiple channels formed on a buried insulating film
KR20080011511A (en) Method of manufacturing semiconductor device having multiple channels mos transistor
KR20080011488A (en) Method of manufacturing semiconductor device having multiple channels mos transistor
KR101097469B1 (en) Semiconductor device and method for fabricating the same
US20220406774A1 (en) Doped well for semiconductor devices
KR20070048465A (en) Method of manufacturing schottky barrier semiconductor device having multi-channel

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination