KR20070097188A - Method for fabricating semiconductor device - Google Patents

Method for fabricating semiconductor device Download PDF

Info

Publication number
KR20070097188A
KR20070097188A KR1020060028049A KR20060028049A KR20070097188A KR 20070097188 A KR20070097188 A KR 20070097188A KR 1020060028049 A KR1020060028049 A KR 1020060028049A KR 20060028049 A KR20060028049 A KR 20060028049A KR 20070097188 A KR20070097188 A KR 20070097188A
Authority
KR
South Korea
Prior art keywords
cobalt
cobalt film
film
forming
semiconductor device
Prior art date
Application number
KR1020060028049A
Other languages
Korean (ko)
Inventor
김현수
이상우
김형준
이한보람
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060028049A priority Critical patent/KR20070097188A/en
Publication of KR20070097188A publication Critical patent/KR20070097188A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Abstract

A method for fabricating a semiconductor device is provided to obtain a cobalt film having increased purity and lowered specific resistance by improving the step coverage and effectively removing impurities. A pre-cobalt film is formed on a substrate by a cobalt precursor which is an organic metal compound(S11), and then the pre-cobalt film is surface-treated at an ammonia plasma atmosphere to remove impurities contained in the pre-cobalt film(S13). The cobalt precursor is at least one selected from the group consisting of Co2(C0)6:(HC=CtBu), Co(MeCp)2, Co(CO)3(N), Co(CO)2Cp, CoCp2, Co2OCO6:(Ch=CPh), Co2(CO)6:(HC=CH), Co2(HC=CCH3) and Co2(CO)6:(CH3C=CCH3).

Description

반도체 소자의 제조 방법{Method for fabricating semiconductor device}Method for manufacturing a semiconductor device {Method for fabricating semiconductor device}

도 1은 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 순서도이다.1 is a flowchart illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention.

도 2는 본 발명의 다른 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 순서도이다.2 is a flowchart illustrating a method of manufacturing a semiconductor device according to another embodiment of the present invention.

도 3 내지 도 6은 본 발명의 실시예들에 따라 형성된 코발트막의 특성을 나타내는 분석 결과 그래프들이다.3 to 6 are graphs of analysis results showing characteristics of a cobalt film formed according to embodiments of the present invention.

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 더욱 상세하게는 스텝 커버리지가 우수하면서도 불순물의 함유량이 적은 코발트막을 형성하는 것을 포함하는 반도체 소자의 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device including forming a cobalt film having excellent step coverage and low content of impurities.

최근 반도체 집적 회로 장치들의 고집적화 경향으로 인하여 게이트나 소스 및 드레인 영역 등에 있어서의 콘택 저항이 증가하고 있다. 이를 해결하고자, 일반적으로 금속 실리사이드 물질로 이루어진 오믹막이 개재되고 있다. 통상적으로 이러한 금속 실리사이드 물질로서는 티타늄과 같은 금속의 실리사이드가 주로 이용되 어 왔다.Recently, due to the high integration of semiconductor integrated circuit devices, contact resistances in gate, source, and drain regions have increased. In order to solve this problem, an ohmic film generally made of a metal silicide material is interposed. Typically, as the metal silicide material, silicides of metals such as titanium have been mainly used.

그런데, 티타늄 실리사이드의 경우에는 후속 열처리 공정시 저항이 증가한다는 문제점이 있다. 따라서, 최근에는 후속 열처리 공정에 의한 저항 증가가 적은 코발트 실리사이드가 사용되고 있는 추세이다.However, in the case of titanium silicide, there is a problem that the resistance increases during the subsequent heat treatment process. Therefore, in recent years, a cobalt silicide having a small increase in resistance by a subsequent heat treatment process has been used.

일반적으로 이러한 금속 실리사이드를 형성하는 공정은 실리콘을 포함하는 물질층 상에 금속막을 형성하고 열처리하여 금속 실리사이드 형성 반응을 진행시키는 것을 포함한다. 그런데, 코발트막은 PVD 방식에 의해서는 스텝 커버리지가 좋지 않다는 문제점이 있다. 또한, 코발트막 형성시 사용되는 코발트 전구체들은 일반적으로 탄소나 산소와 같은 성분을 포함하는 리간드들을 포함하고 있어, 코발트막 형성 후에도 이러한 성분들이 코발트막 내에 불순물로 잔류할 수 있다. 이로 인하여, 코발트막의 비저항이 높아질 수 있으며, 또한 코발트 실리사이드 형성이 곤란해질 수 있다. In general, the process of forming the metal silicide includes forming a metal film on a material layer including silicon and performing a heat treatment to proceed with the metal silicide formation reaction. However, the cobalt film has a problem that the step coverage is not good by the PVD method. In addition, cobalt precursors used in forming a cobalt film generally include ligands containing a component such as carbon or oxygen, so that these components may remain as impurities in the cobalt film even after the cobalt film is formed. For this reason, the resistivity of the cobalt film can be increased, and cobalt silicide formation can be difficult.

본 발명이 이루고자 하는 기술적 과제는 스텝 커버리지가 우수하면서도 불순물의 함량이 낮아 순도가 높고 비저항이 낮은 코발트막을 형성하는 반도체 소자의 제조 방법을 제공하고자 하는 것이다.SUMMARY OF THE INVENTION The present invention has been made in an effort to provide a method of manufacturing a semiconductor device having a high step coverage and a low content of impurities and a cobalt film having high purity and low specific resistance.

본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다. Technical problems of the present invention are not limited to the technical problems mentioned above, and other technical problems not mentioned will be clearly understood by those skilled in the art from the following description.

상기 기술적 과제를 달성하기 위한 본 발명의 일 실시예에 따른 반도체 반도체 소자의 제조 방법은 유기금속 화합물인 코발트 전구체를 이용하여 기판 상에 프리(pre) 코발트막을 형성하고, 암모니아 플라즈마 분위기에서 상기 프리 코발트막을 표면처리하여 상기 프리 코발트막에 포함된 불순물을 제거하는 것을 포함한다.According to an aspect of the present invention, there is provided a method of manufacturing a semiconductor semiconductor device, using a cobalt precursor, which is an organometallic compound, to form a pre-cobalt layer on a substrate, and in the ammonia plasma atmosphere, the pre-cobalt layer. And surface-treating the film to remove impurities contained in the pre-cobalt film.

상기 기술적 과제를 달성하기 위한 본 발명의 다른 실시예에 따른 반도체 소자의 제조 방법은 기판이 로딩된 공정 챔버 내에 유기금속 화합물인 코발트 전구체를 도입한 다음, 상기 공정 챔버를 퍼지 가스로 퍼지시켜 프리 코발트막을 형성하고, 암모니아 플라즈마 분위기에서 상기 프리 코발트막을 표면처리하여 상기 프리 코발트막에 포함된 불순물을 제거하는 것을 포함한다.According to another aspect of the present invention, a method of manufacturing a semiconductor device includes introducing a cobalt precursor, which is an organometallic compound, into a process chamber loaded with a substrate, and then purging the process chamber with a purge gas. Forming a film and removing the impurities contained in the pre-cobalt film by surface treating the pre-cobalt film in an ammonia plasma atmosphere.

기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.Specific details of other embodiments are included in the detailed description and the drawings.

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.Advantages and features of the present invention and methods for achieving them will be apparent with reference to the embodiments described below in detail with the accompanying drawings. However, the present invention is not limited to the embodiments disclosed below, but can be implemented in various different forms, and only the embodiments make the disclosure of the present invention complete, and the general knowledge in the art to which the present invention belongs. It is provided to fully inform the person having the scope of the invention, which is defined only by the scope of the claims. Like reference numerals refer to like elements throughout.

또한, 본 명세서에서 "프리 코발트막(pre-cobalt layer)"이라 함은, 코발트를 포함하는 금속막으로서 불순물을 포함하고 있어 순도가 비교적 낮은 상태의 코 발트막을 의미한다. 즉, 프리 코발트막은 본 발명에서 예정하는 최종적인 형태의 코발트막에 비하여 불순물을 다량 함유하고 있는 상태를 의미한다. In addition, the term "pre-cobalt layer" in the present specification means a cobalt film having a relatively low purity since impurities include impurities as a metal film containing cobalt. In other words, the pre-cobalt film means a state containing a large amount of impurities as compared to the cobalt film of the final form intended in the present invention.

이하, 도 1을 참조하여 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법에 대하여 설명하기로 한다. 도 1은 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 순서도이다. Hereinafter, a method of manufacturing a semiconductor device according to an embodiment of the present invention will be described with reference to FIG. 1. 1 is a flowchart illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention.

먼저, 기판 상에 프리 코발트막을 형성한다(S11).First, a pre-cobalt film is formed on a substrate (S11).

이러한 프리 코발트막은 PVD에 비하여 스텝 커버리지가 우수한 CVD 방식, 예를 들어 PECVD 방식으로 형성될 수 있다. The pre-cobalt film may be formed by a CVD method, for example, PECVD method, which has better step coverage than PVD.

구체적으로 설명하자면, 먼저 코발트 전구체를 버블링하여 증기화한다. 이 때, 버블러의 온도는 약 0 내지 100℃로 조절될 수 있는데, 이에 한정되지는 않는다. 여기서, 코발트 전구체로는 유기금속 화합물을 사용하며, 예를 들면 Co2(CO)6:(HC≡CtBu), Co(MeCp)2, Co(CO)3(NO), Co(CO)2Cp, CoCp2, Co2(CO)6:(HC≡CPh), Co2(CO)6:(HC≡CH), Co2(CO)6:(HC≡CCH3), Co2(CO)6:(CH3C≡CCH3) 등을 각각 단독으로 또는 이들을 혼합하여 사용할 수 있다. Specifically, first, the cobalt precursor is bubbled to vaporize. At this time, the temperature of the bubbler may be adjusted to about 0 to 100 ℃, but is not limited thereto. Herein, an organometallic compound is used as the cobalt precursor, and for example, Co 2 (CO) 6 : (HC≡CtBu), Co (MeCp) 2 , Co (CO) 3 (NO), Co (CO) 2 Cp , CoCp 2 , Co 2 (CO) 6 : (HC≡CPh), Co 2 (CO) 6 : (HC≡CH), Co 2 (CO) 6 : (HC≡CCH 3 ), Co 2 (CO) 6 : (CH 3 C≡CCH 3 ) and the like can be used alone or in combination.

그런 다음, 증기화된 코발트 전구체를 공정 챔버 내로 유입시킨다. 이 때, 공정 챔버 내에는 대상 기판이 로딩되어 있다. 또한, 코발트 전구체는 예를 들어 아르곤과 같은 불활성 가스를 캐리어 가스로 이용하여 공정 챔버 내로 유입될 수 있다. 이 때, 경우에 따라서는 반응 가스로서 수소나 SiH4 가스를 공정 챔버 내로 더 도입할 수 있다.The vaporized cobalt precursor is then introduced into the process chamber. At this time, the target substrate is loaded in the process chamber. In addition, the cobalt precursor may be introduced into the process chamber using an inert gas such as, for example, argon as the carrier gas. At this time, in some cases, hydrogen or SiH 4 gas may be further introduced into the process chamber as a reaction gas.

이렇게 공정 챔버 내로 유입된 코발트 전구체는 기판 상에 프리 코발트막을 형성한다. 프리 코발트막은 코발트 전구체 내에 포함되어 있는 각종 리간드나 이로부터 유래된 탄소, 산소 성분들을 다량 함유하고 있는 상태로 기판 상에 형성된다.The cobalt precursor introduced into the process chamber thus forms a pre-cobalt film on the substrate. The pre-cobalt film is formed on the substrate in a state containing a large amount of various ligands and carbon and oxygen components derived from the cobalt precursor.

이러한 프리 코발트막을 형성하는 공정은 약 100 내지 500℃ 정도의 온도에서 수행할 수 있는데, 본 발명의 목적 범위 내에서 적절하게 증감될 수 있음은 물론이다.The process of forming the pre-cobalt film may be carried out at a temperature of about 100 to 500 ℃, it can be appropriately increased or decreased within the object range of the present invention.

그런 다음, 프리 코발트막이 형성된 기판을 암모니아(NH3) 플라즈마로 표면처리하여, 프리 코발트막 내에 포함된 불순물을 제거한다(S13). Then, the substrate on which the pre-cobalt film is formed is surface treated with ammonia (NH 3 ) plasma to remove impurities contained in the pre-cobalt film (S13).

이렇듯, 본 발명의 일 실시예에서는 암모니아 플라즈마를 이용하여 프리 코발트막 내의 불순물을 제거하며, 이로써, 순도가 높고 비저항이 낮은 코발트막을 형성할 수 있다. 이와는 달리, 만일 단순히 암모니아 가스만을 사용하여 프리 코발트막을 표면처리한다면, 프리 코발트막 내의 불순물 제거 효과가 거의 없어 코발트막의 비저항이 약 3300 μΩ-cm 정도로 나타남을 본 발명자들은 확인하였다. As described above, in one embodiment of the present invention, impurities in the pre-cobalt film are removed by using ammonia plasma, thereby forming a cobalt film having high purity and low specific resistance. On the other hand, the present inventors confirmed that if the precobalt film was simply surface-treated using only ammonia gas, there was little effect of removing impurities in the precobalt film, resulting in the specific resistance of the cobalt film being about 3300 μm-cm.

이러한 표면처리 공정에서 암모니아 플라즈마는 공정 챔버 내에서 직접적으로 플라즈마를 발생시키거나, 혹은 공정 챔버 외부에서 플라즈마를 발생시킨 후에 공정 챔버 내로 도입시키는 리모트 플라즈마에 의할 수 있다.In such a surface treatment process, the ammonia plasma may be generated directly in the process chamber or by a remote plasma which is introduced into the process chamber after generating the plasma outside the process chamber.

이 때, 암모니아 플라즈마의 유량은 약 50 내지 500sccm 정도, 플라즈마 파워는 약 100 내지 500W 정도, 기판의 온도는 약 100 내지 500℃ 정도로 유지할 수 있는데, 이러한 조건들은 본 발명의 효과를 저해하지 않는 범위 내에서 적절히 조 절될 수 있다. At this time, the flow rate of the ammonia plasma can be maintained at about 50 to 500 sccm, the plasma power is about 100 to 500W, the temperature of the substrate is about 100 to 500 ℃, these conditions are within the range that does not inhibit the effect of the present invention Can be adjusted accordingly.

이러한 암모니아 플라즈마를 이용한 표면처리 공정은 프리 코발트막의 표면으로부터 불순물을 제거하는 공정이므로, 프리 코발트막의 두께에 따라서 그 효율성이 결정될 수 있다. 따라서, 이를 고려하여 프리 코발트막은 후속 암모니아 플라즈마 공정에서 불순물의 두께가 효과적으로 수행될 수 있는 정도의 두께로 형성할 수 있다. 만일, 이러한 두께가 원하는 코발트막의 두께보다 작은 경우에는 프리 코발트막의 형성 공정과 암모니아 플라즈마 표면처리 공정을 1회 이상 반복적으로, 즉 교번하여 수행함으로써 프리 코발트막의 불순물을 보다 효율적으로 제거할 수 있다.Since the surface treatment process using the ammonia plasma is a process of removing impurities from the surface of the pre-cobalt film, the efficiency can be determined according to the thickness of the pre-cobalt film. Therefore, in consideration of this, the pre-cobalt film may be formed to a thickness such that the thickness of the impurities can be effectively performed in a subsequent ammonia plasma process. If the thickness is smaller than the thickness of the desired cobalt film, the pre-cobalt film formation process and the ammonia plasma surface treatment process may be repeatedly performed one or more times, i.e., alternately, to remove impurities of the pre-cobalt film more efficiently.

여기서, 프리 코발트막을 형성하는 공정과 암모니아 플라즈마를 이용한 표면처리 공정은 동일 챔버 내에서 수행할 수 있으며, 또는 동일한 시스템 내에 구비되는 별도의 챔버 내에서 각각 수행할 수 있다.Here, the process of forming the pre-cobalt film and the surface treatment process using ammonia plasma may be performed in the same chamber, or may be performed in separate chambers provided in the same system.

또한, 프리 코발트막을 형성하는 공정과 암모니아 플라즈마를 이용한 표면처리 공정 상호간에는 소정의 퍼지 공정이 수행될 수 있음은 물론이다.In addition, a predetermined purge process may be performed between the process of forming the pre-cobalt film and the surface treatment process using ammonia plasma.

이하, 도 2를 참조하여 본 발명의 다른 실시예에 따른 반도체 소자의 제조 방법에 대하여 설명하기로 한다. 도 2은 본 발명의 다른 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 순서도이다. Hereinafter, a method of manufacturing a semiconductor device according to another exemplary embodiment of the present invention will be described with reference to FIG. 2. 2 is a flowchart illustrating a method of manufacturing a semiconductor device according to another embodiment of the present invention.

먼저, 공정 챔버 내에 코발트 전구체를 유입하여 기판 상에 프리 코발트막을 형성한다(S21).First, a cobalt precursor is introduced into a process chamber to form a pre-cobalt film on a substrate (S21).

이러한 프리 코발트막은 스텝 커버리지가 우수한 ALD 방식, 예를 들어 PEALD 방식으로 형성할 수 있다. The pre-cobalt film may be formed by an ALD method having excellent step coverage, for example, a PEALD method.

구체적으로 설명하자면, 먼저 코발트 전구체를 버블링하여 증기화한다. 이 때, 버블러의 온도는 약 0 내지 100℃로 조절될 수 있는데, 이에 한정되지는 않는다. 여기서, 코발트 전구체로는 유기금속 화합물을 사용하는데, 예를 들면 Co2(CO)6:(HC≡CtBu), Co(MeCp)2, Co(CO)3(NO), Co(CO)2Cp, CoCp2, Co2(CO)6:(HC≡CPh), Co2(CO)6:(HC≡CH), Co2(CO)6:(HC≡CCH3), Co2(CO)6:(CH3C≡CCH3) 등을 각각 단독으로 또는 이들을 혼합하여 사용할 수 있다. Specifically, first, the cobalt precursor is bubbled to vaporize. At this time, the temperature of the bubbler may be adjusted to about 0 to 100 ℃, but is not limited thereto. Here, as the cobalt precursor, an organometallic compound is used, for example Co 2 (CO) 6 : (HC≡CtBu), Co (MeCp) 2 , Co (CO) 3 (NO), Co (CO) 2 Cp , CoCp 2 , Co 2 (CO) 6 : (HC≡CPh), Co 2 (CO) 6 : (HC≡CH), Co 2 (CO) 6 : (HC≡CCH 3 ), Co 2 (CO) 6 : (CH 3 C≡CCH 3 ) and the like can be used alone or in combination.

그런 다음, 증기화된 코발트 전구체를 공정 챔버 내로 유입시킨다. 이 때, 공정 챔버 내에는 대상 기판이 로딩되어 있다. 또한, 코발트 전구체는 예를 들어 아르곤과 같은 불활성 가스를 캐리어 가스로 이용하여 공정 챔버 내로 유입될 수 있다. 이렇게 공정 챔버 내로 유입된 코발트 전구체는 기판 상에 화학적 및 물리적으로 흡착된다.The vaporized cobalt precursor is then introduced into the process chamber. At this time, the target substrate is loaded in the process chamber. In addition, the cobalt precursor may be introduced into the process chamber using an inert gas such as, for example, argon as the carrier gas. The cobalt precursor thus introduced into the process chamber is chemically and physically adsorbed onto the substrate.

그런 다음, 공정 챔버 내에 퍼지 가스를 도입하여 기판 상에 물리적으로 흡착된 코발트 전구체를 제거하여 원자층 형태의 프리 코발트막을 형성한다. 이 때, 퍼지 가스로는 예를 들어 아르곤과 같은 불활성 가스를 사용할 수 있는데 이에 한정되는 것은 아니다.A purge gas is then introduced into the process chamber to remove the cobalt precursor physically adsorbed on the substrate to form a precobalt film in the form of an atomic layer. In this case, an inert gas such as argon may be used as the purge gas, but is not limited thereto.

이러한 프리 코발트막을 형성하는 공정은 적정 두께가 얻어졌는지 확인하여(S23), 적정 두께로 형성될 때까지 반복적으로 수행할 수 있다. 여기서, 적정 두께라 함은 1회 공정에 의해 형성된 경우도 포함하되, 공정상 경제성을 고려하여 후 속 공정인 암모니아 플라즈마 표면처리에 있어서 불순물 제거가 효율적으로 이루어질 수 있는 두께 범위를 의미한다.The process of forming such a pre-cobalt film may be repeatedly performed until it is confirmed that an appropriate thickness is obtained (S23), and formed to an appropriate thickness. Here, the appropriate thickness includes a case formed by a single process, but means a thickness range in which impurities can be efficiently removed in the subsequent ammonia plasma surface treatment in consideration of process economics.

그런 다음, 프리 코발트막이 형성된 기판을 암모니아(NH3) 플라즈마로 표면처리하여, 프리 코발트막 내에 포함된 불순물을 제거한다(S25). 이로써, 순도가 높고 비저항이 낮은 코발트막을 형성할 수 있다.Thereafter, the substrate on which the pre-cobalt film is formed is surface treated with ammonia (NH 3 ) plasma to remove impurities contained in the pre-cobalt film (S25). Thereby, a cobalt film with high purity and low specific resistance can be formed.

이러한 표면처리 공정에서 암모니아 플라즈마는 공정 챔버 내에서 직접적으로 플라즈마를 발생시키거나, 혹은 공정 챔버 외부에서 플라즈마를 발생시킨 후에 공정 챔버 내로 도입시키는 리모트 플라즈마에 의할 수 있다.In such a surface treatment process, the ammonia plasma may be generated directly in the process chamber or by a remote plasma which is introduced into the process chamber after generating the plasma outside the process chamber.

이 때, 암모니아 플라즈마의 유량은 약 50 내지 500sccm 정도로 유지하고, 플라즈마 파워는 약 100 내지 500W 정도로 유지하고, 기판의 온도는 약 100 내지 500℃ 정도로 유지할 수 있는데, 이러한 조건들은 본 발명의 효과를 저해하지 않는 범위 내에서 적절히 조절될 수 있다.At this time, the flow rate of the ammonia plasma may be maintained at about 50 to 500 sccm, the plasma power may be maintained at about 100 to 500W, and the temperature of the substrate may be maintained at about 100 to 500 ° C. These conditions may inhibit the effects of the present invention. It can adjust suitably in the range which does not.

여기서, 형성된 코발트막이 원하는 두께로 형성되었는지 확인하여(S27) 그 두께에 미달된 경우에는 다시 전술한 방식으로 프리 코발트막을 형성하고 암모니아 플라즈마 표면처리하는 공정을 원하는 두께의 코발트막이 얻어질 때까지 반복적으로, 즉 교번하여 수행할 수 있음은 물론이다. 이러한 경우는 예를 들어, 암모니아 플라즈마 표면처리의 효율을 고려하여 코발트막의 형성을 수회에 나누어 형성하고자 하는 경우일 수 있다. Here, if the formed cobalt film is formed to have a desired thickness (S27), if the thickness is less than the thickness, the process of forming a pre-cobalt film and treating the ammonia plasma in the above-described manner is repeated until a cobalt film having a desired thickness is obtained. Of course, it can be performed alternately. In this case, for example, the cobalt film may be formed in several times in consideration of the efficiency of ammonia plasma surface treatment.

여기서, 프리 코발트막을 형성하는 공정과 암모니아 플라즈마를 이용한 표면 처리 공정은 동일 챔버 내에서 수행할 수 있으며, 또는 동일한 시스템 내에 구비되는 별도의 챔버 내에서 각각 수행할 수 있다. Here, the process of forming the pre-cobalt film and the surface treatment process using ammonia plasma may be performed in the same chamber, or may be performed in separate chambers provided in the same system.

또한, 프리 코발트막을 형성하는 공정과 암모니아 플라즈마를 이용한 표면처리 공정 상호간에는 소정의 퍼지 가스를 이용한 퍼지 공정이 수행될 수 있음은 물론이다.In addition, a purge process using a predetermined purge gas may be performed between the process of forming the pre-cobalt film and the surface treatment process using ammonia plasma.

이하에서는, 도 3 내지 도 5를 참조하여 본 발명의 실시예들에 따라 형성된 코발트막에 대한 실험 결과를 설명하기로 한다.Hereinafter, the experimental results of the cobalt film formed according to the embodiments of the present invention will be described with reference to FIGS. 3 to 5.

도 3은 PEALD 방식을 이용하여 프리 코발트막을 형성한 다음, 암모니아 플라즈마 분위기에서 표면처리하여 얻어진 코발트막에 대한 실험 결과를 도시하였다. 도 3을 참조하면, 코발트 전구체의 공급 시간을 약 2 내지 3초 정도로 유지하면 최종적인 코발트막의 비저항이 약 18μΩ-㎝ 정도로 얻어질 수 있음을 알 수 있다.FIG. 3 shows experimental results of a cobalt film obtained by forming a pre-cobalt film using a PEALD method and then surface treatment in an ammonia plasma atmosphere. Referring to FIG. 3, it can be seen that when the supply time of the cobalt precursor is maintained at about 2 to 3 seconds, the specific resistivity of the final cobalt film may be obtained at about 18 μΩ-cm.

도 4는 PEALD 방식을 이용하여 프리 코발트막을 형성한 다음, 암모니아 플라즈마 분위기에서 표면처리하여 얻어진 코발트막 내 조성을 XPS(X-ray photoelectron spectroscopy)에 의해 분석한 결과를 나타낸다. 도 4를 참조하면, 코발트 이외의 성분의 함량이 매우 낮으며, 특히 탄소나 질소 성분은 1 at% 미만으로 포함하고 있으며, 산소 성분은 5at% 미만으로 포함하고 있음을 알 수 있다.4 shows a result of analyzing a composition in a cobalt film obtained by forming a pre-cobalt film using a PEALD method and then surface treating in an ammonia plasma atmosphere by X-ray photoelectron spectroscopy (XPS). Referring to Figure 4, the content of components other than cobalt is very low, especially carbon or nitrogen components are contained in less than 1 at%, it can be seen that the oxygen component is contained in less than 5 at%.

또한, 도 5는 본 발명의 실시예들에 따라 형성된 코발트막의 결정성을 XRD로 분석한 결과이다. 도 5를 참조하면, 코발트의 결정성이 확인되었으며, 코발트 질화물의 결정성은 나타나지 않았다. 5 is a result of analyzing the crystallinity of the cobalt film formed according to the embodiments of the present invention by XRD. Referring to FIG. 5, the crystallinity of cobalt was confirmed, and the crystallinity of cobalt nitride did not appear.

도 6은 본 발명의 실시예들에 따라 형성된 코발트막 내의 성분을 EDS 분석으 로 확인한 결과이다. 도 6을 참조하면, 탄소, 질소, 산소와 같은 불순물이 제거된 상태임을 알 수 있다. 6 is a result of confirming the components in the cobalt film formed according to the embodiments of the present invention by EDS analysis. Referring to FIG. 6, it can be seen that impurities such as carbon, nitrogen, and oxygen have been removed.

상술한 바와 같이, 본 발명의 실시예들에 따르면 스텝 커버리지가 우수하면서도 불순물의 함유량이 적어 순도가 높고 비저항이 낮은 코발트막을 얻을 수 있다. 이러한 코발트막을 이용하면 예를 들어 실리콘을 포함하는 영역 상에 오믹막으로서 코발트 실리사이드막의 형성시 미반응 코발트의 양을 줄일 수 있어, 코발트 실리사이드의 형성에 유리할 수 있다.As described above, according to the exemplary embodiments of the present invention, a cobalt film having high purity and low specific resistance due to excellent step coverage and low content of impurities can be obtained. Using such a cobalt film, for example, can reduce the amount of unreacted cobalt when forming a cobalt silicide film as an ohmic film on a region containing silicon, which can be advantageous for the formation of cobalt silicide.

이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.Although embodiments of the present invention have been described above with reference to the accompanying drawings, those skilled in the art to which the present invention pertains may implement the present invention in other specific forms without changing the technical spirit or essential features thereof. I can understand that. Therefore, it should be understood that the embodiments described above are exemplary in all respects and not restrictive.

상술한 바와 같이, 본 발명에 따른 반도체 소자의 제조 방법에 의하면, 스텝 커버리지가 우수하면서도 불순물이 효율적으로 제거되어 순도가 높고 비저항이 낮은 코발트막을 얻을 수 있다. 이러한 코발트막을 이용하면 예를 들어 실리콘을 포함하는 영역 상에 오믹막으로서 코발트 실리사이드막의 형성시 미반응 코발트의 양을 줄일 수 있어, 코발트 실리사이드의 형성에 유리할 수 있다.As described above, according to the method for manufacturing a semiconductor device according to the present invention, it is possible to obtain a cobalt film having excellent purity and low specific resistance because impurities are efficiently removed while having excellent step coverage. Using such a cobalt film, for example, can reduce the amount of unreacted cobalt when forming a cobalt silicide film as an ohmic film on a region containing silicon, which can be advantageous for the formation of cobalt silicide.

Claims (10)

유기금속 화합물인 코발트 전구체를 이용하여 기판 상에 프리(pre) 코발트막을 형성하고,Using a cobalt precursor, an organometallic compound, to form a pre-cobalt film on the substrate, 암모니아 플라즈마 분위기에서 상기 프리 코발트막을 표면처리하여 상기 프리 코발트막에 포함된 불순물을 제거하는 것을 포함하는 반도체 소자의 제조 방법.And surface-treating the pre-cobalt film in an ammonia plasma atmosphere to remove impurities contained in the pre-cobalt film. 제1항에 있어서,The method of claim 1, 상기 코발트 전구체는 Co2(CO)6:(HC≡CtBu), Co(MeCp)2, Co(CO)3(NO), Co(CO)2Cp, CoCp2, Co2(CO)6:(HC≡CPh), Co2(CO)6:(HC≡CH), Co2(CO)6:(HC≡CCH3) 및 Co2(CO)6:(CH3C≡CCH3)로 이루어진 군으로부터 선택된 적어도 하나인 반도체 소자의 제조 방법.The cobalt precursor is Co 2 (CO) 6 : (HC≡CtBu), Co (MeCp) 2 , Co (CO) 3 (NO), Co (CO) 2 Cp, CoCp 2 , Co 2 (CO) 6 :( HC≡CPh), Co 2 (CO) 6 : (HC≡CH), Co 2 (CO) 6 : (HC≡CCH 3 ) and Co 2 (CO) 6 : (CH 3 C≡CCH 3 ) At least one semiconductor device manufacturing method selected from. 제1항에 있어서,The method of claim 1, 상기 프리 코발트막을 형성하는 것과 상기 프리 코발트막을 표면처리하는 것을 1회 이상 교번하여 수행하는 것을 포함하는 반도체 소자의 제조 방법.Forming the pre-cobalt film and subjecting the pre-cobalt film to a surface treatment one or more times. 제1항에 있어서,The method of claim 1, 상기 기판을 표면처리하기 전에 상기 프리 코발트막을 형성하는 것을 1회 이 상 반복하여 수행하는 것을 포함하는 반도체 소자의 제조 방법.And forming the pre-cobalt film one or more times before surface-treating the substrate. 제1항에 있어서,The method of claim 1, 상기 프리 코발트막을 표면처리하는 것은 상기 프리 코발트막을 형성하는 공정이 진행되는 챔버 내에 직접 플라즈마를 형성하거나 리모트 플라즈마에 의해 형성하는 것을 포함하는 반도체 소자의 제조 방법.Surface-treating the pre-cobalt film includes forming a plasma directly in the chamber where the process of forming the pre-cobalt film is performed or by using a remote plasma. 기판이 로딩된 공정 챔버 내에 유기금속 화합물인 코발트 전구체를 도입한 다음, 상기 공정 챔버를 퍼지 가스로 퍼지시켜 프리 코발트막을 형성하고,Introducing a cobalt precursor, an organometallic compound, into the process chamber loaded with the substrate, and then purging the process chamber with a purge gas to form a pre-cobalt film, 암모니아 플라즈마 분위기에서 상기 프리 코발트막을 표면처리하여 상기 프리 코발트막에 포함된 불순물을 제거하는 것을 포함하는 반도체 소자의 제조 방법.And surface-treating the pre-cobalt film in an ammonia plasma atmosphere to remove impurities contained in the pre-cobalt film. 제6항에 있어서,The method of claim 6, 상기 프리 코발트막을 표면처리하기 전에 상기 프리 코발트막을 형성하는 것을 1회 이상 반복 수행하는 것을 포함하는 반도체 소자의 제조 방법.And forming the pre-cobalt film one or more times before surface-treating the pre-cobalt film. 제6항 또는 제7항에 있어서,The method according to claim 6 or 7, 상기 프리 코발트막을 형성하는 것과 상기 프리 코발트 막을 표면처리하는 것을 1회 이상 교번하여 수행하는 것을 포함하는 반도체 소자의 제조 방법.Forming the pre-cobalt film and subjecting the pre-cobalt film to a surface treatment one or more times alternately. 제6항에 있어서,The method of claim 6, 상기 코발트 전구체는 Co2(CO)6:(HC≡CtBu), Co(MeCp)2, Co(CO)3(NO), Co(CO)2Cp, CoCp2, Co2(CO)6:(HC≡CPh), Co2(CO)6:(HC≡CH), Co2(CO)6:(HC≡CCH3) 및 Co2(CO)6:(CH3C≡CCH3)로 이루어진 군으로부터 선택된 적어도 하나인 반도체 소자의 제조 방법.The cobalt precursor is Co 2 (CO) 6 : (HC≡CtBu), Co (MeCp) 2 , Co (CO) 3 (NO), Co (CO) 2 Cp, CoCp 2 , Co 2 (CO) 6 :( HC≡CPh), Co 2 (CO) 6 : (HC≡CH), Co 2 (CO) 6 : (HC≡CCH 3 ) and Co 2 (CO) 6 : (CH 3 C≡CCH 3 ) At least one semiconductor device manufacturing method selected from. 제6항에 있어서,The method of claim 6, 상기 프리 코발트막을 표면처리하는 것은 상기 프리 코발트막을 형성하는 공정이 진행되는 챔버 내에 직접 플라즈마를 형성하거나 리모트 플라즈마에 의해 형성하는 것을 포함하는 반도체 소자의 제조 방법.Surface-treating the pre-cobalt film includes forming a plasma directly in the chamber where the process of forming the pre-cobalt film is performed or by using a remote plasma.
KR1020060028049A 2006-03-28 2006-03-28 Method for fabricating semiconductor device KR20070097188A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060028049A KR20070097188A (en) 2006-03-28 2006-03-28 Method for fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060028049A KR20070097188A (en) 2006-03-28 2006-03-28 Method for fabricating semiconductor device

Publications (1)

Publication Number Publication Date
KR20070097188A true KR20070097188A (en) 2007-10-04

Family

ID=38803638

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060028049A KR20070097188A (en) 2006-03-28 2006-03-28 Method for fabricating semiconductor device

Country Status (1)

Country Link
KR (1) KR20070097188A (en)

Similar Documents

Publication Publication Date Title
KR101907193B1 (en) Silane or borane treatment of metal thin films
US9583348B2 (en) Silane and borane treatments for titanium carbide films
JP4974676B2 (en) Formation method of barrier film
US7589017B2 (en) Methods for growing low-resistivity tungsten film
KR100539274B1 (en) Method for depositing cobalt layer
TWI655308B (en) Process for depositing titanium aluminum film
KR20100134676A (en) Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
KR101349993B1 (en) Method for Forming NiSi Film, Method for Forming Silicide Film, Method for Forming Metal Film for Use in Silicide-Annealing, Apparatus for Vacuum Processing and Film-Forming Apparatus
TWI661080B (en) Selective formation of metal silicides
JP2005029821A (en) Film-forming method
KR101363222B1 (en) METHOD FOR FORMING Ni FILM
JP5680892B2 (en) Co film forming method
US6969677B2 (en) Methods of forming conductive metal silicides by reaction of metal with silicon
US20220259734A1 (en) Reducing Agents for Atomic Layer Deposition
KR20070097188A (en) Method for fabricating semiconductor device
KR101094379B1 (en) Method for forming noble metal lyaer using ozone reactance gas
KR100872799B1 (en) Manufacturing method of metal silicide by plasma-enhanced atomic layer deposition for contact application in semiconductor devices
CN110777351B (en) Tungsten deposition method
WO2014112572A1 (en) Semiconductor device producing method and substrate treatment device
Lu et al. Quantum chemical study of the initial surface reactions in atomic layer deposition of TiN on the SiO2 surface
TW202403076A (en) Selective deposition of organic material
JP2014158019A (en) Method of manufacturing semiconductor device, substrate processing device, and program
JP2005123281A (en) Manufacturing method for semiconductor device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination