KR20070060117A - Treating agent materials - Google Patents
Treating agent materials Download PDFInfo
- Publication number
- KR20070060117A KR20070060117A KR1020077008636A KR20077008636A KR20070060117A KR 20070060117 A KR20070060117 A KR 20070060117A KR 1020077008636 A KR1020077008636 A KR 1020077008636A KR 20077008636 A KR20077008636 A KR 20077008636A KR 20070060117 A KR20070060117 A KR 20070060117A
- Authority
- KR
- South Korea
- Prior art keywords
- dielectric film
- organosilicate glass
- glass dielectric
- solvent
- acetate
- Prior art date
Links
- 239000000463 material Substances 0.000 title description 41
- 239000002904 solvent Substances 0.000 claims abstract description 135
- 239000000203 mixture Substances 0.000 claims abstract description 128
- 239000011521 glass Substances 0.000 claims abstract description 123
- 239000003795 chemical substances by application Substances 0.000 claims abstract description 52
- 230000002152 alkylating effect Effects 0.000 claims abstract description 25
- 239000002253 acid Substances 0.000 claims abstract description 14
- 125000005372 silanol group Chemical group 0.000 claims abstract description 14
- 150000004010 onium ions Chemical class 0.000 claims abstract description 10
- 230000003213 activating effect Effects 0.000 claims abstract description 3
- 238000011282 treatment Methods 0.000 claims description 102
- 238000000034 method Methods 0.000 claims description 93
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 56
- 229910052799 carbon Inorganic materials 0.000 claims description 56
- 238000005530 etching Methods 0.000 claims description 52
- CATSNJVOTSVZJV-UHFFFAOYSA-N heptan-2-one Chemical compound CCCCCC(C)=O CATSNJVOTSVZJV-UHFFFAOYSA-N 0.000 claims description 52
- 239000012190 activator Substances 0.000 claims description 43
- 150000001875 compounds Chemical class 0.000 claims description 36
- -1 gammabutyrolacetone Chemical compound 0.000 claims description 33
- 238000004380 ashing Methods 0.000 claims description 30
- 239000011148 porous material Substances 0.000 claims description 29
- SCPYDCQAZCOKTP-UHFFFAOYSA-N silanol Chemical compound [SiH3]O SCPYDCQAZCOKTP-UHFFFAOYSA-N 0.000 claims description 27
- IAZDPXIOMUYVGZ-UHFFFAOYSA-N Dimethylsulphoxide Chemical compound CS(C)=O IAZDPXIOMUYVGZ-UHFFFAOYSA-N 0.000 claims description 26
- MRYQZMHVZZSQRT-UHFFFAOYSA-M tetramethylazanium;acetate Chemical compound CC([O-])=O.C[N+](C)(C)C MRYQZMHVZZSQRT-UHFFFAOYSA-M 0.000 claims description 26
- RQVFGTYFBUVGOP-UHFFFAOYSA-N [acetyloxy(dimethyl)silyl] acetate Chemical compound CC(=O)O[Si](C)(C)OC(C)=O RQVFGTYFBUVGOP-UHFFFAOYSA-N 0.000 claims description 25
- 125000000217 alkyl group Chemical group 0.000 claims description 25
- 229910052751 metal Inorganic materials 0.000 claims description 23
- 239000002184 metal Substances 0.000 claims description 23
- ZSIAUFGUXNUGDI-UHFFFAOYSA-N hexan-1-ol Chemical compound CCCCCCO ZSIAUFGUXNUGDI-UHFFFAOYSA-N 0.000 claims description 22
- RFFLAFLAYFXFSW-UHFFFAOYSA-N 1,2-dichlorobenzene Chemical compound ClC1=CC=CC=C1Cl RFFLAFLAYFXFSW-UHFFFAOYSA-N 0.000 claims description 21
- XYIBRDXRRQCHLP-UHFFFAOYSA-N ethyl acetoacetate Chemical group CCOC(=O)CC(C)=O XYIBRDXRRQCHLP-UHFFFAOYSA-N 0.000 claims description 19
- 229940093858 ethyl acetoacetate Drugs 0.000 claims description 19
- 239000000758 substrate Substances 0.000 claims description 19
- 229930195733 hydrocarbon Natural products 0.000 claims description 16
- 150000002430 hydrocarbons Chemical class 0.000 claims description 16
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 claims description 15
- 230000015572 biosynthetic process Effects 0.000 claims description 15
- 238000009835 boiling Methods 0.000 claims description 15
- 229910052757 nitrogen Inorganic materials 0.000 claims description 15
- KBPLFHHGFOOTCA-UHFFFAOYSA-N 1-Octanol Chemical compound CCCCCCCCO KBPLFHHGFOOTCA-UHFFFAOYSA-N 0.000 claims description 14
- QUMXDOLUJCHOAY-UHFFFAOYSA-N 1-Phenylethyl acetate Chemical compound CC(=O)OC(C)C1=CC=CC=C1 QUMXDOLUJCHOAY-UHFFFAOYSA-N 0.000 claims description 14
- BBMCTIGTTCKYKF-UHFFFAOYSA-N 1-heptanol Chemical compound CCCCCCCO BBMCTIGTTCKYKF-UHFFFAOYSA-N 0.000 claims description 14
- CETWDUZRCINIHU-UHFFFAOYSA-N 2-heptanol Chemical compound CCCCCC(C)O CETWDUZRCINIHU-UHFFFAOYSA-N 0.000 claims description 14
- YVBCULSIZWMTFY-UHFFFAOYSA-N 4-Heptanol Natural products CCCC(O)CCC YVBCULSIZWMTFY-UHFFFAOYSA-N 0.000 claims description 14
- FCOUHTHQYOMLJT-UHFFFAOYSA-N 6-methylheptan-2-ol Chemical compound CC(C)CCCC(C)O FCOUHTHQYOMLJT-UHFFFAOYSA-N 0.000 claims description 14
- XEKOWRVHYACXOJ-UHFFFAOYSA-N Ethyl acetate Natural products CCOC(C)=O XEKOWRVHYACXOJ-UHFFFAOYSA-N 0.000 claims description 14
- GJQIMXVRFNLMTB-UHFFFAOYSA-N nonyl acetate Chemical compound CCCCCCCCCOC(C)=O GJQIMXVRFNLMTB-UHFFFAOYSA-N 0.000 claims description 14
- FDPIMTJIUBPUKL-UHFFFAOYSA-N pentan-3-one Chemical compound CCC(=O)CC FDPIMTJIUBPUKL-UHFFFAOYSA-N 0.000 claims description 14
- PGMYKACGEOXYJE-UHFFFAOYSA-N pentyl acetate Chemical compound CCCCCOC(C)=O PGMYKACGEOXYJE-UHFFFAOYSA-N 0.000 claims description 14
- 238000004140 cleaning Methods 0.000 claims description 13
- 229910021332 silicide Inorganic materials 0.000 claims description 13
- BKQICAFAUMRYLZ-UHFFFAOYSA-N 4-methylheptan-3-ol Chemical compound CCCC(C)C(O)CC BKQICAFAUMRYLZ-UHFFFAOYSA-N 0.000 claims description 12
- 238000000137 annealing Methods 0.000 claims description 12
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 12
- 150000001412 amines Chemical class 0.000 claims description 11
- 238000010304 firing Methods 0.000 claims description 11
- 238000010438 heat treatment Methods 0.000 claims description 11
- 150000002148 esters Chemical class 0.000 claims description 10
- 150000002170 ethers Chemical class 0.000 claims description 10
- 239000007789 gas Substances 0.000 claims description 10
- FXHOOIRPVKKKFG-UHFFFAOYSA-N N,N-Dimethylacetamide Chemical compound CN(C)C(C)=O FXHOOIRPVKKKFG-UHFFFAOYSA-N 0.000 claims description 9
- 238000005229 chemical vapour deposition Methods 0.000 claims description 9
- 239000001257 hydrogen Substances 0.000 claims description 9
- 229910052739 hydrogen Inorganic materials 0.000 claims description 9
- 230000002209 hydrophobic effect Effects 0.000 claims description 9
- 238000004377 microelectronic Methods 0.000 claims description 9
- 150000001298 alcohols Chemical class 0.000 claims description 8
- KCXMKQUNVWSEMD-UHFFFAOYSA-N benzyl chloride Chemical compound ClCC1=CC=CC=C1 KCXMKQUNVWSEMD-UHFFFAOYSA-N 0.000 claims description 8
- 150000002576 ketones Chemical class 0.000 claims description 8
- 238000012545 processing Methods 0.000 claims description 8
- NMRPBPVERJPACX-UHFFFAOYSA-N (3S)-octan-3-ol Natural products CCCCCC(O)CC NMRPBPVERJPACX-UHFFFAOYSA-N 0.000 claims description 7
- RCYIBFNZRWQGNB-UHFFFAOYSA-N 2,6-dimethylheptan-1-ol Chemical compound CC(C)CCCC(C)CO RCYIBFNZRWQGNB-UHFFFAOYSA-N 0.000 claims description 7
- WOFPPJOZXUTRAU-UHFFFAOYSA-N 2-Ethyl-1-hexanol Natural products CCCCC(O)CCC WOFPPJOZXUTRAU-UHFFFAOYSA-N 0.000 claims description 7
- NQBXSWAWVZHKBZ-UHFFFAOYSA-N 2-butoxyethyl acetate Chemical compound CCCCOCCOC(C)=O NQBXSWAWVZHKBZ-UHFFFAOYSA-N 0.000 claims description 7
- YIWUKEYIRIRTPP-UHFFFAOYSA-N 2-ethylhexan-1-ol Chemical compound CCCCC(CC)CO YIWUKEYIRIRTPP-UHFFFAOYSA-N 0.000 claims description 7
- WOYWLLHHWAMFCB-UHFFFAOYSA-N 2-ethylhexyl acetate Chemical compound CCCCC(CC)COC(C)=O WOYWLLHHWAMFCB-UHFFFAOYSA-N 0.000 claims description 7
- PLHCSZRZWOWUBW-UHFFFAOYSA-N 2-methoxyethyl 3-oxobutanoate Chemical compound COCCOC(=O)CC(C)=O PLHCSZRZWOWUBW-UHFFFAOYSA-N 0.000 claims description 7
- ZVHAANQOQZVVFD-UHFFFAOYSA-N 5-methylhexan-1-ol Chemical compound CC(C)CCCCO ZVHAANQOQZVVFD-UHFFFAOYSA-N 0.000 claims description 7
- FDXBUMXUJRZANT-UHFFFAOYSA-N 6-phenylhexan-1-ol Chemical compound OCCCCCCC1=CC=CC=C1 FDXBUMXUJRZANT-UHFFFAOYSA-N 0.000 claims description 7
- WOFAGNLBCJWEOE-UHFFFAOYSA-N Benzyl acetoacetate Chemical compound CC(=O)CC(=O)OCC1=CC=CC=C1 WOFAGNLBCJWEOE-UHFFFAOYSA-N 0.000 claims description 7
- WRQNANDWMGAFTP-UHFFFAOYSA-N Methylacetoacetic acid Chemical compound COC(=O)CC(C)=O WRQNANDWMGAFTP-UHFFFAOYSA-N 0.000 claims description 7
- 150000001408 amides Chemical class 0.000 claims description 7
- AJFDBNQQDYLMJN-UHFFFAOYSA-N n,n-diethylacetamide Chemical compound CCN(CC)C(C)=O AJFDBNQQDYLMJN-UHFFFAOYSA-N 0.000 claims description 7
- DKLYDESVXZKCFI-UHFFFAOYSA-N n,n-diphenylacetamide Chemical compound C=1C=CC=CC=1N(C(=O)C)C1=CC=CC=C1 DKLYDESVXZKCFI-UHFFFAOYSA-N 0.000 claims description 7
- OYVXVLSZQHSNDK-UHFFFAOYSA-N n-methoxy-n-methylacetamide Chemical compound CON(C)C(C)=O OYVXVLSZQHSNDK-UHFFFAOYSA-N 0.000 claims description 7
- AXLMPTNTPOWPLT-UHFFFAOYSA-N prop-2-enyl 3-oxobutanoate Chemical compound CC(=O)CC(=O)OCC=C AXLMPTNTPOWPLT-UHFFFAOYSA-N 0.000 claims description 7
- JKUYRAMKJLMYLO-UHFFFAOYSA-N tert-butyl 3-oxobutanoate Chemical compound CC(=O)CC(=O)OC(C)(C)C JKUYRAMKJLMYLO-UHFFFAOYSA-N 0.000 claims description 7
- WMFOQBRAJBCJND-UHFFFAOYSA-M Lithium hydroxide Chemical compound [Li+].[OH-] WMFOQBRAJBCJND-UHFFFAOYSA-M 0.000 claims description 6
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 claims description 6
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 claims description 6
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 claims description 6
- 150000001735 carboxylic acids Chemical class 0.000 claims description 6
- 239000007795 chemical reaction product Substances 0.000 claims description 6
- UXDAWVUDZLBBAM-UHFFFAOYSA-N n,n-diethylbenzeneacetamide Chemical compound CCN(CC)C(=O)CC1=CC=CC=C1 UXDAWVUDZLBBAM-UHFFFAOYSA-N 0.000 claims description 6
- MCZDHTKJGDCTAE-UHFFFAOYSA-M tetrabutylazanium;acetate Chemical compound CC([O-])=O.CCCC[N+](CCCC)(CCCC)CCCC MCZDHTKJGDCTAE-UHFFFAOYSA-M 0.000 claims description 6
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 5
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 claims description 5
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 claims description 5
- 239000007788 liquid Substances 0.000 claims description 5
- VXQBJTKSVGFQOL-UHFFFAOYSA-N 2-(2-butoxyethoxy)ethyl acetate Chemical compound CCCCOCCOCCOC(C)=O VXQBJTKSVGFQOL-UHFFFAOYSA-N 0.000 claims description 4
- NLXLAEXVIDQMFP-UHFFFAOYSA-N Ammonia chloride Chemical compound [NH4+].[Cl-] NLXLAEXVIDQMFP-UHFFFAOYSA-N 0.000 claims description 4
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical group Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 4
- AFVFQIVMOAPDHO-UHFFFAOYSA-N Methanesulfonic acid Chemical compound CS(O)(=O)=O AFVFQIVMOAPDHO-UHFFFAOYSA-N 0.000 claims description 4
- 150000007513 acids Chemical class 0.000 claims description 4
- IJOOHPMOJXWVHK-UHFFFAOYSA-N chlorotrimethylsilane Chemical compound C[Si](C)(C)Cl IJOOHPMOJXWVHK-UHFFFAOYSA-N 0.000 claims description 4
- 230000007797 corrosion Effects 0.000 claims description 4
- 238000005260 corrosion Methods 0.000 claims description 4
- 238000011049 filling Methods 0.000 claims description 4
- 150000004820 halides Chemical class 0.000 claims description 4
- 239000003112 inhibitor Substances 0.000 claims description 4
- 230000036961 partial effect Effects 0.000 claims description 4
- JIAARYAFYJHUJI-UHFFFAOYSA-L zinc dichloride Chemical compound [Cl-].[Cl-].[Zn+2] JIAARYAFYJHUJI-UHFFFAOYSA-L 0.000 claims description 4
- FBADCSUQBLLAHW-VURMDHGXSA-N (z)-4-trimethylsilyloxypent-3-en-2-one Chemical compound CC(=O)\C=C(\C)O[Si](C)(C)C FBADCSUQBLLAHW-VURMDHGXSA-N 0.000 claims description 3
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 claims description 3
- ZKIWIESHGWEVDQ-UHFFFAOYSA-N N-acetyl-2-dimethylsilylacetamide Chemical compound C[SiH](C)CC(=O)NC(=O)C ZKIWIESHGWEVDQ-UHFFFAOYSA-N 0.000 claims description 3
- CNOSLBKTVBFPBB-UHFFFAOYSA-N [acetyloxy(diphenyl)silyl] acetate Chemical compound C=1C=CC=CC=1[Si](OC(C)=O)(OC(=O)C)C1=CC=CC=C1 CNOSLBKTVBFPBB-UHFFFAOYSA-N 0.000 claims description 3
- VLFKGWCMFMCFRM-UHFFFAOYSA-N [diacetyloxy(phenyl)silyl] acetate Chemical compound CC(=O)O[Si](OC(C)=O)(OC(C)=O)C1=CC=CC=C1 VLFKGWCMFMCFRM-UHFFFAOYSA-N 0.000 claims description 3
- BTHCBXJLLCHNMS-UHFFFAOYSA-N acetyloxysilicon Chemical compound CC(=O)O[Si] BTHCBXJLLCHNMS-UHFFFAOYSA-N 0.000 claims description 3
- 239000000908 ammonium hydroxide Substances 0.000 claims description 3
- WTEOIRVLGSZEPR-UHFFFAOYSA-N boron trifluoride Chemical compound FB(F)F WTEOIRVLGSZEPR-UHFFFAOYSA-N 0.000 claims description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 claims description 3
- 239000005055 methyl trichlorosilane Substances 0.000 claims description 3
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 claims description 3
- JLUFWMXJHAVVNN-UHFFFAOYSA-N methyltrichlorosilane Chemical compound C[Si](Cl)(Cl)Cl JLUFWMXJHAVVNN-UHFFFAOYSA-N 0.000 claims description 3
- BFXIKLCIZHOAAZ-UHFFFAOYSA-N methyltrimethoxysilane Chemical compound CO[Si](C)(OC)OC BFXIKLCIZHOAAZ-UHFFFAOYSA-N 0.000 claims description 3
- AHKKZIUZTWZKDR-UHFFFAOYSA-N n-[bis(dimethylamino)-methylsilyl]-n-methylmethanamine Chemical compound CN(C)[Si](C)(N(C)C)N(C)C AHKKZIUZTWZKDR-UHFFFAOYSA-N 0.000 claims description 3
- VJDVRUZAQRISHN-UHFFFAOYSA-N n-[bis(dimethylamino)-phenylsilyl]-n-methylmethanamine Chemical compound CN(C)[Si](N(C)C)(N(C)C)C1=CC=CC=C1 VJDVRUZAQRISHN-UHFFFAOYSA-N 0.000 claims description 3
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 claims description 3
- 229910052698 phosphorus Inorganic materials 0.000 claims description 3
- 239000011574 phosphorus Substances 0.000 claims description 3
- 239000011541 reaction mixture Substances 0.000 claims description 3
- XTHPWXDJESJLNJ-UHFFFAOYSA-N sulfurochloridic acid Chemical compound OS(Cl)(=O)=O XTHPWXDJESJLNJ-UHFFFAOYSA-N 0.000 claims description 3
- MFGOFGRYDNHJTA-UHFFFAOYSA-N 2-amino-1-(2-fluorophenyl)ethanol Chemical compound NCC(O)C1=CC=CC=C1F MFGOFGRYDNHJTA-UHFFFAOYSA-N 0.000 claims description 2
- JDMMZVAKMAONFU-UHFFFAOYSA-N 2-trimethylsilylacetic acid Chemical compound C[Si](C)(C)CC(O)=O JDMMZVAKMAONFU-UHFFFAOYSA-N 0.000 claims description 2
- KIWBPDUYBMNFTB-UHFFFAOYSA-N Ethyl hydrogen sulfate Chemical compound CCOS(O)(=O)=O KIWBPDUYBMNFTB-UHFFFAOYSA-N 0.000 claims description 2
- YKFRUJSEPGHZFJ-UHFFFAOYSA-N N-trimethylsilylimidazole Chemical compound C[Si](C)(C)N1C=CN=C1 YKFRUJSEPGHZFJ-UHFFFAOYSA-N 0.000 claims description 2
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 claims description 2
- 150000004703 alkoxides Chemical class 0.000 claims description 2
- 150000003973 alkyl amines Chemical class 0.000 claims description 2
- 229910021529 ammonia Inorganic materials 0.000 claims description 2
- 235000019270 ammonium chloride Nutrition 0.000 claims description 2
- 150000003868 ammonium compounds Chemical class 0.000 claims description 2
- 150000004982 aromatic amines Chemical class 0.000 claims description 2
- 238000000231 atomic layer deposition Methods 0.000 claims description 2
- UXJHQBVRZUANLK-UHFFFAOYSA-N azanylidyne(dichloro)-$l^{5}-phosphane Chemical compound ClP(Cl)#N UXJHQBVRZUANLK-UHFFFAOYSA-N 0.000 claims description 2
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 claims description 2
- 239000004327 boric acid Substances 0.000 claims description 2
- HUCVOHYBFXVBRW-UHFFFAOYSA-M caesium hydroxide Inorganic materials [OH-].[Cs+] HUCVOHYBFXVBRW-UHFFFAOYSA-M 0.000 claims description 2
- IBDMRHDXAQZJAP-UHFFFAOYSA-N dichlorophosphorylbenzene Chemical compound ClP(Cl)(=O)C1=CC=CC=C1 IBDMRHDXAQZJAP-UHFFFAOYSA-N 0.000 claims description 2
- OLLFKUHHDPMQFR-UHFFFAOYSA-N dihydroxy(diphenyl)silane Chemical compound C=1C=CC=CC=1[Si](O)(O)C1=CC=CC=C1 OLLFKUHHDPMQFR-UHFFFAOYSA-N 0.000 claims description 2
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 claims description 2
- LIKFHECYJZWXFJ-UHFFFAOYSA-N dimethyldichlorosilane Chemical compound C[Si](C)(Cl)Cl LIKFHECYJZWXFJ-UHFFFAOYSA-N 0.000 claims description 2
- YYLGKUPAFFKGRQ-UHFFFAOYSA-N dimethyldiethoxysilane Chemical compound CCO[Si](C)(C)OCC YYLGKUPAFFKGRQ-UHFFFAOYSA-N 0.000 claims description 2
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 claims description 2
- RSIHJDGMBDPTIM-UHFFFAOYSA-N ethoxy(trimethyl)silane Chemical compound CCO[Si](C)(C)C RSIHJDGMBDPTIM-UHFFFAOYSA-N 0.000 claims description 2
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 claims description 2
- FBAFATDZDUQKNH-UHFFFAOYSA-M iron chloride Chemical compound [Cl-].[Fe] FBAFATDZDUQKNH-UHFFFAOYSA-M 0.000 claims description 2
- 229940098779 methanesulfonic acid Drugs 0.000 claims description 2
- POPACFLNWGUDSR-UHFFFAOYSA-N methoxy(trimethyl)silane Chemical compound CO[Si](C)(C)C POPACFLNWGUDSR-UHFFFAOYSA-N 0.000 claims description 2
- LWFWUJCJKPUZLV-UHFFFAOYSA-N n-trimethylsilylacetamide Chemical compound CC(=O)N[Si](C)(C)C LWFWUJCJKPUZLV-UHFFFAOYSA-N 0.000 claims description 2
- 229910017604 nitric acid Inorganic materials 0.000 claims description 2
- 238000005240 physical vapour deposition Methods 0.000 claims description 2
- 150000003141 primary amines Chemical class 0.000 claims description 2
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 claims description 2
- 150000003335 secondary amines Chemical class 0.000 claims description 2
- FGWRMMTYIZKYMA-UHFFFAOYSA-N tert-butyl-hydroxy-dimethylsilane Chemical compound CC(C)(C)[Si](C)(C)O FGWRMMTYIZKYMA-UHFFFAOYSA-N 0.000 claims description 2
- 150000003512 tertiary amines Chemical class 0.000 claims description 2
- HPGGPRDJHPYFRM-UHFFFAOYSA-J tin(iv) chloride Chemical compound Cl[Sn](Cl)(Cl)Cl HPGGPRDJHPYFRM-UHFFFAOYSA-J 0.000 claims description 2
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 claims description 2
- 239000005052 trichlorosilane Substances 0.000 claims description 2
- CPUDPFPXCZDNGI-UHFFFAOYSA-N triethoxy(methyl)silane Chemical compound CCO[Si](C)(OCC)OCC CPUDPFPXCZDNGI-UHFFFAOYSA-N 0.000 claims description 2
- QQQSFSZALRVCSZ-UHFFFAOYSA-N triethoxysilane Chemical compound CCO[SiH](OCC)OCC QQQSFSZALRVCSZ-UHFFFAOYSA-N 0.000 claims description 2
- WVMSIBFANXCZKT-UHFFFAOYSA-N triethyl(hydroxy)silane Chemical compound CC[Si](O)(CC)CC WVMSIBFANXCZKT-UHFFFAOYSA-N 0.000 claims description 2
- ITMCEJHCFYSIIV-UHFFFAOYSA-N triflic acid Chemical compound OS(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-N 0.000 claims description 2
- 239000005051 trimethylchlorosilane Substances 0.000 claims description 2
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 claims description 2
- AAPLIUHOKVUFCC-UHFFFAOYSA-N trimethylsilanol Chemical compound C[Si](C)(C)O AAPLIUHOKVUFCC-UHFFFAOYSA-N 0.000 claims description 2
- MAEQOWMWOCEXKP-UHFFFAOYSA-N trimethylsilyl 2-trimethylsilyloxyacetate Chemical compound C[Si](C)(C)OCC(=O)O[Si](C)(C)C MAEQOWMWOCEXKP-UHFFFAOYSA-N 0.000 claims description 2
- QHUNJMXHQHHWQP-UHFFFAOYSA-N trimethylsilyl acetate Chemical compound CC(=O)O[Si](C)(C)C QHUNJMXHQHHWQP-UHFFFAOYSA-N 0.000 claims description 2
- LCNWHVJMIOOGTC-UHFFFAOYSA-N trimethylsilyl prop-2-ynoate Chemical compound C[Si](C)(C)OC(=O)C#C LCNWHVJMIOOGTC-UHFFFAOYSA-N 0.000 claims description 2
- NLSXASIDNWDYMI-UHFFFAOYSA-N triphenylsilanol Chemical compound C=1C=CC=CC=1[Si](C=1C=CC=CC=1)(O)C1=CC=CC=C1 NLSXASIDNWDYMI-UHFFFAOYSA-N 0.000 claims description 2
- GIRKRMUMWJFNRI-UHFFFAOYSA-N tris(dimethylamino)silicon Chemical compound CN(C)[Si](N(C)C)N(C)C GIRKRMUMWJFNRI-UHFFFAOYSA-N 0.000 claims description 2
- 239000011592 zinc chloride Substances 0.000 claims description 2
- 235000005074 zinc chloride Nutrition 0.000 claims description 2
- 125000000956 methoxy group Chemical group [H]C([H])([H])O* 0.000 claims 2
- 229910015900 BF3 Inorganic materials 0.000 claims 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 claims 1
- YWEUIGNSBFLMFL-UHFFFAOYSA-N diphosphonate Chemical compound O=P(=O)OP(=O)=O YWEUIGNSBFLMFL-UHFFFAOYSA-N 0.000 claims 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims 1
- IZEMHEXSGIOXDA-UHFFFAOYSA-N iron;hexahydrate Chemical compound O.O.O.O.O.O.[Fe] IZEMHEXSGIOXDA-UHFFFAOYSA-N 0.000 claims 1
- GXMIHVHJTLPVKL-UHFFFAOYSA-N n,n,2-trimethylpropanamide Chemical compound CC(C)C(=O)N(C)C GXMIHVHJTLPVKL-UHFFFAOYSA-N 0.000 claims 1
- DLYUQMMRRRQYAE-UHFFFAOYSA-N phosphorus pentoxide Inorganic materials O1P(O2)(=O)OP3(=O)OP1(=O)OP2(=O)O3 DLYUQMMRRRQYAE-UHFFFAOYSA-N 0.000 claims 1
- 150000003242 quaternary ammonium salts Chemical class 0.000 claims 1
- YUYCVXFAYWRXLS-UHFFFAOYSA-N trimethoxysilane Chemical compound CO[SiH](OC)OC YUYCVXFAYWRXLS-UHFFFAOYSA-N 0.000 claims 1
- 239000012024 dehydrating agents Substances 0.000 abstract description 3
- 239000006184 cosolvent Substances 0.000 abstract 1
- 238000006884 silylation reaction Methods 0.000 abstract 1
- 239000002243 precursor Substances 0.000 description 50
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 48
- 210000002381 plasma Anatomy 0.000 description 46
- 239000000243 solution Substances 0.000 description 45
- 229920000642 polymer Polymers 0.000 description 32
- 239000000047 product Substances 0.000 description 31
- 239000000126 substance Substances 0.000 description 28
- 125000003118 aryl group Chemical group 0.000 description 26
- 239000000377 silicon dioxide Substances 0.000 description 24
- 239000010949 copper Substances 0.000 description 21
- 238000002156 mixing Methods 0.000 description 21
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 20
- 229920001903 high density polyethylene Polymers 0.000 description 20
- 239000004700 high-density polyethylene Substances 0.000 description 20
- 239000002245 particle Substances 0.000 description 20
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 19
- 229910052802 copper Inorganic materials 0.000 description 19
- 230000008569 process Effects 0.000 description 19
- 239000004809 Teflon Substances 0.000 description 18
- 229920006362 Teflon® Polymers 0.000 description 18
- 239000003989 dielectric material Substances 0.000 description 17
- 235000012431 wafers Nutrition 0.000 description 15
- 238000004519 manufacturing process Methods 0.000 description 12
- 239000012298 atmosphere Substances 0.000 description 11
- 241000894007 species Species 0.000 description 11
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- 239000004065 semiconductor Substances 0.000 description 10
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 9
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical group [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 9
- 125000000524 functional group Chemical group 0.000 description 9
- 229910052710 silicon Inorganic materials 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- 230000007547 defect Effects 0.000 description 8
- 239000012299 nitrogen atmosphere Substances 0.000 description 8
- 238000011084 recovery Methods 0.000 description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 8
- 239000004215 Carbon black (E152) Substances 0.000 description 7
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 7
- 239000003607 modifier Substances 0.000 description 7
- 150000004756 silanes Chemical class 0.000 description 7
- 125000001424 substituent group Chemical group 0.000 description 7
- ZWEHNKRNPOVVGH-UHFFFAOYSA-N 2-Butanone Chemical compound CCC(C)=O ZWEHNKRNPOVVGH-UHFFFAOYSA-N 0.000 description 6
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 6
- 230000003466 anti-cipated effect Effects 0.000 description 6
- 239000002585 base Substances 0.000 description 6
- 239000000178 monomer Substances 0.000 description 6
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 6
- 230000035882 stress Effects 0.000 description 6
- OFBQJSOFQDEBGM-UHFFFAOYSA-N Pentane Chemical compound CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 5
- 229910008051 Si-OH Inorganic materials 0.000 description 5
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- 229910006358 Si—OH Inorganic materials 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 5
- 125000004429 atom Chemical group 0.000 description 5
- 238000006243 chemical reaction Methods 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 125000000962 organic group Chemical group 0.000 description 5
- 238000004806 packaging method and process Methods 0.000 description 5
- 229910000077 silane Inorganic materials 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- IMNFDUFMRHMDMM-UHFFFAOYSA-N N-Heptane Chemical compound CCCCCCC IMNFDUFMRHMDMM-UHFFFAOYSA-N 0.000 description 4
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 4
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 4
- ORILYTVJVMAKLC-UHFFFAOYSA-N adamantane Chemical compound C1C(C2)CC3CC1CC2C3 ORILYTVJVMAKLC-UHFFFAOYSA-N 0.000 description 4
- RDOXTESZEPMUJZ-UHFFFAOYSA-N anisole Chemical compound COC1=CC=CC=C1 RDOXTESZEPMUJZ-UHFFFAOYSA-N 0.000 description 4
- 239000003153 chemical reaction reagent Substances 0.000 description 4
- 125000004122 cyclic group Chemical group 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 238000004528 spin coating Methods 0.000 description 4
- 238000004381 surface treatment Methods 0.000 description 4
- 238000003786 synthesis reaction Methods 0.000 description 4
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 description 3
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 3
- ZMXDDKWLCZADIW-UHFFFAOYSA-N N,N-Dimethylformamide Chemical compound CN(C)C=O ZMXDDKWLCZADIW-UHFFFAOYSA-N 0.000 description 3
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 description 3
- TVJPBVNWVPUZBM-UHFFFAOYSA-N [diacetyloxy(methyl)silyl] acetate Chemical compound CC(=O)O[Si](C)(OC(C)=O)OC(C)=O TVJPBVNWVPUZBM-UHFFFAOYSA-N 0.000 description 3
- 150000001299 aldehydes Chemical class 0.000 description 3
- 238000013459 approach Methods 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 238000004132 cross linking Methods 0.000 description 3
- 230000018044 dehydration Effects 0.000 description 3
- 238000006297 dehydration reaction Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 3
- 125000005842 heteroatom Chemical group 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 239000011347 resin Substances 0.000 description 3
- 229920005989 resin Polymers 0.000 description 3
- 239000011877 solvent mixture Substances 0.000 description 3
- 239000007858 starting material Substances 0.000 description 3
- QPUYECUOLPXSFR-UHFFFAOYSA-N 1-methylnaphthalene Chemical compound C1=CC=C2C(C)=CC=CC2=C1 QPUYECUOLPXSFR-UHFFFAOYSA-N 0.000 description 2
- WGGNJZRNHUJNEM-UHFFFAOYSA-N 2,2,4,4,6,6-hexamethyl-1,3,5,2,4,6-triazatrisilinane Chemical compound C[Si]1(C)N[Si](C)(C)N[Si](C)(C)N1 WGGNJZRNHUJNEM-UHFFFAOYSA-N 0.000 description 2
- AFABGHUZZDYHJO-UHFFFAOYSA-N 2-Methylpentane Chemical compound CCCC(C)C AFABGHUZZDYHJO-UHFFFAOYSA-N 0.000 description 2
- IKHGUXGNUITLKF-UHFFFAOYSA-N Acetaldehyde Chemical compound CC=O IKHGUXGNUITLKF-UHFFFAOYSA-N 0.000 description 2
- USFZMSVCRYTOJT-UHFFFAOYSA-N Ammonium acetate Chemical compound N.CC(O)=O USFZMSVCRYTOJT-UHFFFAOYSA-N 0.000 description 2
- 239000005695 Ammonium acetate Substances 0.000 description 2
- FERIUCNNQQJTOY-UHFFFAOYSA-N Butyric acid Chemical compound CCCC(O)=O FERIUCNNQQJTOY-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- RGSFGYAAUTVSQA-UHFFFAOYSA-N Cyclopentane Chemical compound C1CCCC1 RGSFGYAAUTVSQA-UHFFFAOYSA-N 0.000 description 2
- KCXVZYZYPLLWCC-UHFFFAOYSA-N EDTA Chemical compound OC(=O)CN(CC(O)=O)CCN(CC(O)=O)CC(O)=O KCXVZYZYPLLWCC-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- RZKSECIXORKHQS-UHFFFAOYSA-N Heptan-3-ol Chemical compound CCCCC(O)CC RZKSECIXORKHQS-UHFFFAOYSA-N 0.000 description 2
- SECXISVLQFMRJM-UHFFFAOYSA-N N-Methylpyrrolidone Chemical compound CN1CCCC1=O SECXISVLQFMRJM-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 2
- URLKBWYHVLBVBO-UHFFFAOYSA-N Para-Xylene Chemical group CC1=CC=C(C)C=C1 URLKBWYHVLBVBO-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- 239000004642 Polyimide Substances 0.000 description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 239000002318 adhesion promoter Substances 0.000 description 2
- 150000001338 aliphatic hydrocarbons Chemical class 0.000 description 2
- 150000008044 alkali metal hydroxides Chemical class 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229940043376 ammonium acetate Drugs 0.000 description 2
- 235000019257 ammonium acetate Nutrition 0.000 description 2
- 150000004945 aromatic hydrocarbons Chemical class 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- HUMNYLRZRPPJDN-UHFFFAOYSA-N benzaldehyde Chemical compound O=CC1=CC=CC=C1 HUMNYLRZRPPJDN-UHFFFAOYSA-N 0.000 description 2
- 125000004432 carbon atom Chemical group C* 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 150000001805 chlorine compounds Chemical class 0.000 description 2
- 125000000753 cycloalkyl group Chemical group 0.000 description 2
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000018109 developmental process Effects 0.000 description 2
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- SNRUBQQJIBEYMU-UHFFFAOYSA-N dodecane Chemical compound CCCCCCCCCCCC SNRUBQQJIBEYMU-UHFFFAOYSA-N 0.000 description 2
- 238000001914 filtration Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 125000001072 heteroaryl group Chemical group 0.000 description 2
- DCAYPVUWAIABOU-UHFFFAOYSA-N hexadecane Chemical compound CCCCCCCCCCCCCCCC DCAYPVUWAIABOU-UHFFFAOYSA-N 0.000 description 2
- 230000007062 hydrolysis Effects 0.000 description 2
- 238000006460 hydrolysis reaction Methods 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 125000001261 isocyanato group Chemical group *N=C=O 0.000 description 2
- IVSZLXZYQVIEFR-UHFFFAOYSA-N m-xylene Chemical group CC1=CC=CC(C)=C1 IVSZLXZYQVIEFR-UHFFFAOYSA-N 0.000 description 2
- 239000011159 matrix material Substances 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 2
- UZKWTJUDCOPSNM-UHFFFAOYSA-N methoxybenzene Substances CCCCOC=C UZKWTJUDCOPSNM-UHFFFAOYSA-N 0.000 description 2
- QPJVMBTYPHYUOC-UHFFFAOYSA-N methyl benzoate Chemical compound COC(=O)C1=CC=CC=C1 QPJVMBTYPHYUOC-UHFFFAOYSA-N 0.000 description 2
- 238000007069 methylation reaction Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- QULMGWCCKILBTO-UHFFFAOYSA-N n-[dimethylamino(dimethyl)silyl]-n-methylmethanamine Chemical compound CN(C)[Si](C)(C)N(C)C QULMGWCCKILBTO-UHFFFAOYSA-N 0.000 description 2
- 150000007524 organic acids Chemical class 0.000 description 2
- 235000005985 organic acids Nutrition 0.000 description 2
- YCOZIPAWZNQLMR-UHFFFAOYSA-N pentadecane Chemical compound CCCCCCCCCCCCCCC YCOZIPAWZNQLMR-UHFFFAOYSA-N 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 229920006254 polymer film Polymers 0.000 description 2
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 230000008439 repair process Effects 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000004332 silver Substances 0.000 description 2
- 229910000679 solder Inorganic materials 0.000 description 2
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 2
- IIYFAKIEWZDVMP-UHFFFAOYSA-N tridecane Chemical compound CCCCCCCCCCCCC IIYFAKIEWZDVMP-UHFFFAOYSA-N 0.000 description 2
- 230000008016 vaporization Effects 0.000 description 2
- 239000008096 xylene Substances 0.000 description 2
- 125000003837 (C1-C20) alkyl group Chemical group 0.000 description 1
- OGZPYBBKQGPQNU-DABLZPOSSA-N (e)-n-[bis[[(e)-butan-2-ylideneamino]oxy]-methylsilyl]oxybutan-2-imine Chemical compound CC\C(C)=N\O[Si](C)(O\N=C(/C)CC)O\N=C(/C)CC OGZPYBBKQGPQNU-DABLZPOSSA-N 0.000 description 1
- KEQGZUUPPQEDPF-UHFFFAOYSA-N 1,3-dichloro-5,5-dimethylimidazolidine-2,4-dione Chemical compound CC1(C)N(Cl)C(=O)N(Cl)C1=O KEQGZUUPPQEDPF-UHFFFAOYSA-N 0.000 description 1
- HXKKHQJGJAFBHI-UHFFFAOYSA-N 1-aminopropan-2-ol Chemical compound CC(O)CN HXKKHQJGJAFBHI-UHFFFAOYSA-N 0.000 description 1
- HYFLWBNQFMXCPA-UHFFFAOYSA-N 1-ethyl-2-methylbenzene Chemical compound CCC1=CC=CC=C1C HYFLWBNQFMXCPA-UHFFFAOYSA-N 0.000 description 1
- ALUHLTCARPRRLY-UHFFFAOYSA-N 2-(2-aminoethoxy)ethanol;2-(2-aminoethylamino)ethanol Chemical compound NCCNCCO.NCCOCCO ALUHLTCARPRRLY-UHFFFAOYSA-N 0.000 description 1
- XNWFRZJHXBZDAG-UHFFFAOYSA-N 2-METHOXYETHANOL Chemical compound COCCO XNWFRZJHXBZDAG-UHFFFAOYSA-N 0.000 description 1
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 1
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 1
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 description 1
- XMWRBQBLMFGWIX-UHFFFAOYSA-N C60 fullerene Chemical class C12=C3C(C4=C56)=C7C8=C5C5=C9C%10=C6C6=C4C1=C1C4=C6C6=C%10C%10=C9C9=C%11C5=C8C5=C8C7=C3C3=C7C2=C1C1=C2C4=C6C4=C%10C6=C9C9=C%11C5=C5C8=C3C3=C7C1=C1C2=C4C6=C2C9=C5C3=C12 XMWRBQBLMFGWIX-UHFFFAOYSA-N 0.000 description 1
- 244000132059 Carica parviflora Species 0.000 description 1
- 235000014653 Carica parviflora Nutrition 0.000 description 1
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 description 1
- RPNUMPOLZDHAAY-UHFFFAOYSA-N Diethylenetriamine Chemical compound NCCNCCN RPNUMPOLZDHAAY-UHFFFAOYSA-N 0.000 description 1
- RWSOTUBLDIXVET-UHFFFAOYSA-N Dihydrogen sulfide Chemical class S RWSOTUBLDIXVET-UHFFFAOYSA-N 0.000 description 1
- IAYPIBMASNFSPL-UHFFFAOYSA-N Ethylene oxide Chemical compound C1CO1 IAYPIBMASNFSPL-UHFFFAOYSA-N 0.000 description 1
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- NHTMVDHEPJAVLT-UHFFFAOYSA-N Isooctane Chemical compound CC(C)CC(C)(C)C NHTMVDHEPJAVLT-UHFFFAOYSA-N 0.000 description 1
- JCDKTPQSQGIONM-UHFFFAOYSA-N N-[[[chloro(dimethyl)silyl]-methylamino]-dimethylsilyl]-N-silylmethanamine Chemical compound CN([Si](N([Si](Cl)(C)C)C)(C)C)[SiH3] JCDKTPQSQGIONM-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- 229910020175 SiOH Inorganic materials 0.000 description 1
- 201000010001 Silicosis Diseases 0.000 description 1
- UCKMPCXJQFINFW-UHFFFAOYSA-N Sulphide Chemical compound [S-2] UCKMPCXJQFINFW-UHFFFAOYSA-N 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 description 1
- VYOZKLLJJHRFNA-UHFFFAOYSA-N [F].N Chemical compound [F].N VYOZKLLJJHRFNA-UHFFFAOYSA-N 0.000 description 1
- PEGHITPVRNZWSI-UHFFFAOYSA-N [[bis(trimethylsilyl)amino]-dimethylsilyl]methane Chemical compound C[Si](C)(C)N([Si](C)(C)C)[Si](C)(C)C PEGHITPVRNZWSI-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- WETWJCDKMRHUPV-UHFFFAOYSA-N acetyl chloride Chemical compound CC(Cl)=O WETWJCDKMRHUPV-UHFFFAOYSA-N 0.000 description 1
- 239000012346 acetyl chloride Substances 0.000 description 1
- 229910052768 actinide Inorganic materials 0.000 description 1
- 150000001255 actinides Chemical class 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000032683 aging Effects 0.000 description 1
- 150000007824 aliphatic compounds Chemical class 0.000 description 1
- 125000001931 aliphatic group Chemical group 0.000 description 1
- 125000003342 alkenyl group Chemical group 0.000 description 1
- 125000003545 alkoxy group Chemical group 0.000 description 1
- 230000029936 alkylation Effects 0.000 description 1
- 238000005804 alkylation reaction Methods 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 125000003368 amide group Chemical group 0.000 description 1
- 150000003863 ammonium salts Chemical class 0.000 description 1
- 125000002178 anthracenyl group Chemical group C1(=CC=CC2=CC3=CC=CC=C3C=C12)* 0.000 description 1
- 239000002518 antifoaming agent Substances 0.000 description 1
- RBFDCQDDCJFGIK-UHFFFAOYSA-N arsenic germanium Chemical compound [Ge].[As] RBFDCQDDCJFGIK-UHFFFAOYSA-N 0.000 description 1
- 238000006254 arylation reaction Methods 0.000 description 1
- 239000005441 aurora Substances 0.000 description 1
- 150000001565 benzotriazoles Chemical class 0.000 description 1
- 229940073608 benzyl chloride Drugs 0.000 description 1
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 239000001273 butane Substances 0.000 description 1
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000001354 calcination Methods 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 150000008280 chlorinated hydrocarbons Chemical class 0.000 description 1
- 238000006482 condensation reaction Methods 0.000 description 1
- 229920001577 copolymer Polymers 0.000 description 1
- 150000003983 crown ethers Chemical class 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 125000000392 cycloalkenyl group Chemical group 0.000 description 1
- 229920006237 degradable polymer Polymers 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 239000003599 detergent Substances 0.000 description 1
- 150000004985 diamines Chemical class 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- TXFOLHZMICYNRM-UHFFFAOYSA-N dichlorophosphoryloxybenzene Chemical class ClP(Cl)(=O)OC1=CC=CC=C1 TXFOLHZMICYNRM-UHFFFAOYSA-N 0.000 description 1
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 description 1
- 238000003618 dip coating Methods 0.000 description 1
- 208000001848 dysentery Diseases 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 239000011532 electronic conductor Substances 0.000 description 1
- 239000003623 enhancer Substances 0.000 description 1
- 229940031098 ethanolamine Drugs 0.000 description 1
- 230000006355 external stress Effects 0.000 description 1
- 239000010408 film Substances 0.000 description 1
- 239000007888 film coating Substances 0.000 description 1
- 238000009501 film coating Methods 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- 239000003063 flame retardant Substances 0.000 description 1
- 150000002221 fluorine Chemical class 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 238000005187 foaming Methods 0.000 description 1
- 235000019253 formic acid Nutrition 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 229910003472 fullerene Inorganic materials 0.000 description 1
- 238000007306 functionalization reaction Methods 0.000 description 1
- ZZUFCTLCJUWOSV-UHFFFAOYSA-N furosemide Chemical compound C1=C(Cl)C(S(=O)(=O)N)=CC(C(O)=O)=C1NCC1=CC=CO1 ZZUFCTLCJUWOSV-UHFFFAOYSA-N 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 150000008282 halocarbons Chemical class 0.000 description 1
- DMEGYFMYUHOHGS-UHFFFAOYSA-N heptamethylene Natural products C1CCCCCC1 DMEGYFMYUHOHGS-UHFFFAOYSA-N 0.000 description 1
- 229920000140 heteropolymer Polymers 0.000 description 1
- 125000001145 hydrido group Chemical group *[H] 0.000 description 1
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical class I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 1
- 125000001165 hydrophobic group Chemical group 0.000 description 1
- 230000005661 hydrophobic surface Effects 0.000 description 1
- 150000004679 hydroxides Chemical class 0.000 description 1
- NOKUWSXLHXMAOM-UHFFFAOYSA-N hydroxy(phenyl)silicon Chemical class O[Si]C1=CC=CC=C1 NOKUWSXLHXMAOM-UHFFFAOYSA-N 0.000 description 1
- 150000002443 hydroxylamines Chemical class 0.000 description 1
- 230000033444 hydroxylation Effects 0.000 description 1
- 238000005805 hydroxylation reaction Methods 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 238000013101 initial test Methods 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 229910052500 inorganic mineral Inorganic materials 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000013067 intermediate product Substances 0.000 description 1
- WOSISLOTWLGNKT-UHFFFAOYSA-L iron(2+);dichloride;hexahydrate Chemical compound O.O.O.O.O.O.Cl[Fe]Cl WOSISLOTWLGNKT-UHFFFAOYSA-L 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- KXUHSQYYJYAXGZ-UHFFFAOYSA-N isobutylbenzene Chemical compound CC(C)CC1=CC=CC=C1 KXUHSQYYJYAXGZ-UHFFFAOYSA-N 0.000 description 1
- 125000000555 isopropenyl group Chemical group [H]\C([H])=C(\*)C([H])([H])[H] 0.000 description 1
- 239000003350 kerosene Substances 0.000 description 1
- 239000002648 laminated material Substances 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 229910052747 lanthanoid Inorganic materials 0.000 description 1
- 150000002602 lanthanoids Chemical class 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 230000014759 maintenance of location Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- AUHZEENZYGFFBQ-UHFFFAOYSA-N mesitylene Substances CC1=CC(C)=CC(C)=C1 AUHZEENZYGFFBQ-UHFFFAOYSA-N 0.000 description 1
- 125000001827 mesitylenyl group Chemical group [H]C1=C(C(*)=C(C([H])=C1C([H])([H])[H])C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 229940095102 methyl benzoate Drugs 0.000 description 1
- 239000011707 mineral Substances 0.000 description 1
- 235000010755 mineral Nutrition 0.000 description 1
- 150000007522 mineralic acids Chemical class 0.000 description 1
- 125000000896 monocarboxylic acid group Chemical group 0.000 description 1
- UDGSVBYJWHOHNN-UHFFFAOYSA-N n',n'-diethylethane-1,2-diamine Chemical compound CCN(CC)CCN UDGSVBYJWHOHNN-UHFFFAOYSA-N 0.000 description 1
- ATMCOZCQQXIAQX-UHFFFAOYSA-N n,n-diethylheptanamide Chemical compound CCCCCCC(=O)N(CC)CC ATMCOZCQQXIAQX-UHFFFAOYSA-N 0.000 description 1
- MBHINSULENHCMF-UHFFFAOYSA-N n,n-dimethylpropanamide Chemical compound CCC(=O)N(C)C MBHINSULENHCMF-UHFFFAOYSA-N 0.000 description 1
- TWVSWDVJBJKDAA-UHFFFAOYSA-N n-[bis(dimethylamino)silyl]-n-methylmethanamine Chemical compound CN(C)[SiH](N(C)C)N(C)C TWVSWDVJBJKDAA-UHFFFAOYSA-N 0.000 description 1
- XIFOKLGEKUNZTI-UHFFFAOYSA-N n-[diethylamino(dimethyl)silyl]-n-ethylethanamine Chemical compound CCN(CC)[Si](C)(C)N(CC)CC XIFOKLGEKUNZTI-UHFFFAOYSA-N 0.000 description 1
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 1
- 239000002105 nanoparticle Substances 0.000 description 1
- 239000007783 nanoporous material Substances 0.000 description 1
- UFWIBTONFRDIAS-UHFFFAOYSA-N naphthalene-acid Natural products C1=CC=CC2=CC=CC=C21 UFWIBTONFRDIAS-UHFFFAOYSA-N 0.000 description 1
- 125000001624 naphthyl group Chemical group 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- ZCYXXKJEDCHMGH-UHFFFAOYSA-N nonane Chemical compound CCCC[CH]CCCC ZCYXXKJEDCHMGH-UHFFFAOYSA-N 0.000 description 1
- BKIMMITUMNQMOS-UHFFFAOYSA-N normal nonane Natural products CCCCCCCCC BKIMMITUMNQMOS-UHFFFAOYSA-N 0.000 description 1
- 239000012038 nucleophile Substances 0.000 description 1
- NOUWNNABOUGTDQ-UHFFFAOYSA-N octane Chemical compound CCCCCCC[CH2+] NOUWNNABOUGTDQ-UHFFFAOYSA-N 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 125000005375 organosiloxane group Chemical group 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- QNGNSVIICDLXHT-UHFFFAOYSA-N para-ethylbenzaldehyde Natural products CCC1=CC=C(C=O)C=C1 QNGNSVIICDLXHT-UHFFFAOYSA-N 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 239000003208 petroleum Substances 0.000 description 1
- 125000001792 phenanthrenyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3C=CC12)* 0.000 description 1
- 150000004714 phosphonium salts Chemical class 0.000 description 1
- 239000000049 pigment Substances 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229920003023 plastic Polymers 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 239000004014 plasticizer Substances 0.000 description 1
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 229920001296 polysiloxane Polymers 0.000 description 1
- 239000003361 porogen Substances 0.000 description 1
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 1
- 239000003223 protective agent Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 230000002787 reinforcement Effects 0.000 description 1
- 238000005096 rolling process Methods 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 229920005573 silicon-containing polymer Polymers 0.000 description 1
- 125000003808 silyl group Chemical group [H][Si]([H])([H])[*] 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 235000015096 spirit Nutrition 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 239000003381 stabilizer Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 125000000472 sulfonyl group Chemical group *S(*)(=O)=O 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 230000009469 supplementation Effects 0.000 description 1
- 230000001502 supplementing effect Effects 0.000 description 1
- 239000004094 surface-active agent Substances 0.000 description 1
- FAGUFWYHJQFNRV-UHFFFAOYSA-N tetraethylenepentamine Chemical compound NCCNCCNCCNCCN FAGUFWYHJQFNRV-UHFFFAOYSA-N 0.000 description 1
- LFQCEHFDDXELDD-UHFFFAOYSA-N tetramethyl orthosilicate Chemical compound CO[Si](OC)(OC)OC LFQCEHFDDXELDD-UHFFFAOYSA-N 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 125000000026 trimethylsilyl group Chemical group [H]C([H])([H])[Si]([*])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 229960004418 trolamine Drugs 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 description 1
- 229920002554 vinyl polymer Polymers 0.000 description 1
- 230000003313 weakening effect Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02203—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
- H01L21/02222—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02282—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02343—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02359—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Formation Of Insulating Films (AREA)
- Materials Applied To Surfaces To Minimize Adherence Of Mist Or Water (AREA)
- Paints Or Removers (AREA)
Abstract
Description
본 발명은 유기실리케이트 유리 유전 필름용 처리제 조성물에 관한 것이다. 보다 상세하게는 본 발명은 기존 탄소 함유 부분의 최소 일부를 제거하기 위한 방법으로 에칭 또는 에싱 처리가 수행되어, 그 결과 필름 내 소수성이 감소된 유기실리케이트 유리 유전 필름의 표면에 소수성을 복원하는 방법에 관한 것이다. 이와 같이 처리된 필름들은 집적 회로("ICs")와 같은 반도체 장치의 제조에 있어서 이러한 필름들에 낮은 유전율 상수 및 안정한 유전 성질을 부여하기 위한 절연 물질로 사용된다. 상기 조성물은 반응성 이탈기(reactive leaving group), 산, 염기, 오늄 화합물, 탈수제 및 이들의 조합일 수 있는 활성화제 및 용제 또는 주 용제 및 보조 용제의 혼합물과 함께 실란 기초 단량체를 포함한다.The present invention relates to a treating agent composition for an organosilicate glass dielectric film. More particularly, the present invention relates to a method for removing at least a portion of an existing carbon-containing portion by performing an etching or an ashing treatment, thereby restoring hydrophobicity to the surface of the organosilicate glass dielectric film with reduced hydrophobicity in the film. It is about. Films thus treated are used as insulating materials to impart low dielectric constants and stable dielectric properties to these films in the manufacture of semiconductor devices such as integrated circuits (“ICs”). The composition comprises a silane based monomer together with a mixture of an activating agent and a solvent or a main solvent and an auxiliary solvent which may be a reactive leaving group, an acid, a base, an onium compound, a dehydrating agent and combinations thereof.
반도체 장치들이 더 작은 기술 노드(node)로 측정됨에 따라, RC 지연을 완화시키기 위해 점점 낮은 유전 상수 k가 요구되고 있다. 이와 유사하게, 집적 회로 내의 형상 크기가 줄어듬에 따라, 전력 소비 및 신호 누화(signal cross-talk) 관련 문제를 해결하는 것이 점차 어려워지고 있다. 고밀도 무기 물질 내에서 낮은 k(2.5-3.0)을 얻기 위해, 극성을 감소시키고, 그 결과로 k를 감소시키기 위해 탄소가 첨가된다. 초저 k(< 2.4) 물질을 얻기 위해서 탄소-다밀도 매트릭스에 기공이 첨가된다. 탄소 및 기공의 투여는 k를 감소시키지만, 라인 공정(line processing)의 후반동안 새로운 문제가 발생함이 확인되었다. 특히, 에칭 및 에싱 공정동안, 반응성 가스들이 고밀도 물질의 표면의 탄소를 손상시키는 것으로 알려졌다. 다공성의 낮은 k를 갖는 경우에는 에칭 및 에싱 가스가 필름을 통해 분산됨으로써 내부 기공 벽에 심각한 손상을 야기하는 한층 심각한 악영향이 있다. 일단 탄소가 손상되면, 상기 필름은 재수산화(rehydroxylate)되고, 물과 수소 결합을 하게 된다. 물은 70의 유전 상수를 가지고 있기 때문에, 기공성 물질 및 고밀도 물질에 적은 양만 흡수되어도 유전 상수를 상당히 상승시키게 된다. 또한, 기공성 물질은 장치 수득율을 파괴할 수 있는 고-인장 응력 장으로 인해 구리 어닐링 후에 파괴되는 경향이 있다. 이러한 것들은 허용되지 않으며, 실행 불가능한 물질들을 가져온다. As semiconductor devices are measured with smaller technology nodes, increasingly lower dielectric constant k is required to mitigate RC delay. Similarly, as the shape size in integrated circuits decreases, it becomes increasingly difficult to solve problems related to power consumption and signal cross-talk. To obtain low k (2.5-3.0) in the high density inorganic material, carbon is added to reduce the polarity and consequently reduce k. Porosity is added to the carbon-multi-density matrix to obtain very low k (<2.4) materials. The administration of carbon and pores reduces k, but it has been found that new problems arise during the second half of line processing. In particular, during etching and ashing processes, reactive gases are known to damage carbon on the surface of high density materials. In the case of a low k of porosity, there is a further serious adverse effect that the etching and ashing gases are dispersed through the film, causing serious damage to the internal pore walls. Once the carbon is damaged, the film is rehydroxylate and hydrogen bonds with water. Since water has a dielectric constant of 70, even a small amount of absorption into the porous and high density materials will cause the dielectric constant to increase significantly. In addition, the porous material tends to break after copper annealing due to high-tensile stress fields that can destroy device yield. These are not allowed and result in infeasible substances.
레벨간 유전(interlevel dielectric, ILD) 및 금속간 유전 (intermetal dielextric, IMD)에 사용되는 낮은 유전 상수 물질들의 통합이 이러한 문제들을 해결하는데 도움이 될 것으로 여겨진다. 종래 집적 회로에 저 유전 상수 물질을 적용하고자 노력하여 왔으며, 당해 기술 분야에서는 제조 방법 및 이러한 금속들의 유전적 특성 및 역학적 특성에 대한 최적화를 보다 더 향상시킬 필요성이 여전히 존재한다. 장래의 집적 회로 내의 장치 스케일링은 내부 연결 구조의 일부로서 저 유전 상수 물질의 사용이 요구될 것이 확실하다. 서브-100nm 세대 ICs용 낮은 유전 상수 물질로 사용되는 것은 대부분 CVD 또는 스핀-온 방법에 의해 제조된 탄소 함유 SiO2 필름이다. 플라즈마 에칭 및 플라즈마 또는 습식 스트립(strip) 방법을 이용한 포토 레지스트 제거와 같은 후속 공정 동안 이러한 낮은 k 물질들에 상당한 손상이 발생하며, 이로 인해 식각된 표면에 근접한 낮은 k 물질로부터 플루오르 첨가 및 탄소 감소가 발생한다. 또한, 유효 k 상승과 함께, 반응물의 구조들이 기공을 형성하고, 기체가 발생하여 기포를 형성하기 쉽다. 상기 기공들은 순차적으로 전압이 상승할 때 전류 누설 증가 및 파괴 전압(breakdown voltage) 감소를 야기할 수 있다. 본 발명에는 상기 손상 및 손상이 발생한 후에 실리레이팅제로 웨이퍼를 처리함으로써, 야기되는 문제들을 감소시키는 방법이 기재되어 있다. H2/He와 같은 무-손상 에싱 화학 물질을 사용하면 탄소 소모 및 이와 관련된 문제를 감소시킬 수 있다고 보고되어 있다. 이에 관하여는 I.베리, A.시오타, Q.한, C.왈드프리어드, M.세키구치, 및 O.에스코시아, Proceedings - Electrochemical Society, 22,202 (2002); 및 A.마츠시타, N. 오하시, K.이누가이, H.J.신, S.손, K.수도우, K.미사와, I.마츠모토 및 N.코바야시, Proceedings of IEEE International Interconnect Technology Conference, 2003, 147(2003)을 참조하라. 다른 방안으로는 탄소를 보충하는 후-에시 처리(post-ash treatment) 역시 소수성 및 저 유전 상수를 회복시키는 것으로 나타났다. 탄소를 보충하는 후-에싱 처리는 소수성 및 낮은 유전 상수를 회복시키는 것으로 나타났다. 이에 관하여는 Y.S.모르, T.C.장, P.T.리우, T.M.챠이, C.W.첸, S.T.얀, C.J.주, W.F.위, F.M.판, W.루르; 및 S.M.체, Journal of Vacuum Science & Technologyy, B, 2(4), 1334 (2002); 및 P.G.클락, B.D.쉬와브 및 J.W.부터바우, Semiconductor International, 26(9), 46(2003)을 참조하라. 후자의 접근법의 이점은 잘 확립된 에칭 및 에싱 공정을 사용할 수 있다는 것이다. 이러한 점에서, 다공성 SiCOH-기초 낮은 k 물질에서 발생하는 손상을 후-에시 처리를 사용하여 회복시키는 것이 바람직하다. The integration of low dielectric constant materials used in interlevel dielectrics (ILD) and intermetal dielextric (IMD) is believed to help solve these problems. Efforts have been made to apply low dielectric constant materials to conventional integrated circuits, and there is still a need in the art to further improve manufacturing methods and optimization of the dielectric and mechanical properties of these metals. It is clear that device scaling in future integrated circuits will require the use of low dielectric constant materials as part of the internal interconnect structure. Most commonly used as low dielectric constant materials for sub-100nm generation ICs are carbon containing SiO 2 films made by CVD or spin-on methods. Subsequent processes, such as plasma etching and photoresist removal using plasma or wet strip methods, result in significant damage to these low k materials, thereby reducing fluorine addition and carbon reduction from the low k materials proximate to the etched surface. Occurs. Also, with the effective k rise, the structures of the reactants form pores, and gases are likely to generate and form bubbles. The pores may cause an increase in current leakage and a decrease in breakdown voltage as the voltage sequentially increases. The present invention describes a method of reducing the problems caused by treating the wafer with a siliving agent after the damage and the damage has occurred. It is reported that the use of intact ashing chemicals such as H 2 / He can reduce carbon consumption and related problems. In this regard, I. Berry, A. Shiota, Q. Han, C. Waldfried, M. Sekiguchi, and O. Escotia, Proceedings-Electrochemical Society, 22,202 (2002); And A. Matsushita, N. Ohashi, K. Inugai, HJ Shin, S. Son, K. Sudo, K. Misawa, I. Matsumoto and N. Kobayashi, Proceedings of IEEE International Interconnect Technology Conference, 2003, See 147 (2003). Alternatively, post-ash treatment of carbon supplementation has also been shown to restore hydrophobicity and low dielectric constant. Post-essing treatments supplementing carbon have been shown to restore hydrophobicity and low dielectric constant. In this regard, YS mor, TC Jang, PT Liu, TM Chi, CW Chen, ST Yan, CJ, WF, FM, W. ruhr; And SM bodies, Journal of Vacuum Science & Technologyy, B, 2 (4), 1334 (2002); And PG Clock, BD Schwab and JW, Bau, Semiconductor International, 26 (9), 46 (2003). The advantage of the latter approach is that well-established etching and ashing processes can be used. In this regard, it is desirable to repair damage occurring in porous SiCOH-based low k materials using post-ash treatment.
이러한 시도의 한 접근법은 고밀도 표면 위 또는 다공성 물질의 경우에는 내부 기공 벽의 손상된 부위를 처리제라고 불리는 재-메틸레이팅(re-methylating) 화합물을 사용하여 회복시키는 것이다. 처리제는 손상된 재-하이드록실레이트된 표면과 반응하여 상기 표면을 재-알킬레이트 또는 재-아릴레이트하며, 순차적으로 유전 상수를 회복시킨다. 하기 반응식은 재-메틸레이션 공정의 예를 보여준다: SiOH(손상된 표면) + RxSi(OCOCH3)y(TA) 에 의해 SiOSiRx(원하는 표면)+(CH3COOH)y(아세트산)을 수득한다. 다공성의 손상된 내부 기공 벽 표면의 경우, 리-메틸레이션은 기공 형성을 방지한다. 많은 경우에 처리제의 사용은 종해의 에칭 및 에시 공정에 저- 및 초저 유전 상수 물질을 사용할 수 있도록 해준다. 상기 처리는 낮은 k 필름에 탄소를 보충하는 것으로 귀착되며, 그에 의해 소수성 및 습식 세척 작용 동안 발생하는 부가적인 손상에 대한 저항성을 회복시킨다. 또한, 회복된 낮은 k 상수 물질은 구리 어닐링 공정 중에 미처리된 다공성 낮은 k 레벨간 유전 영역에서 발생하는 기공 형성에 대한 저항성을 나타낸다면 바람직할 것이다. 실 리레이팅제("처리제")는 SiO2 기초 물질의 표면을 메틸화할 수 있다. 예상되는 노출에는 증기 노출(플라즈마 동반 또는 미동반), 스핀 코팅 및 초임계 CO2가 포함된다. 일반적으로 SiCOH 기초 다공성 낮은 k 물질들은 Cu 상감(damascene) 공정이 진행되는 동안 ILD에서 기공을 형성하는 경향이 있다. 처리제 처리 후에, 상기 반응물 구조는 기공 형성에 대해 상당한 저항력을 갖는다. 특정 이론 또는 특정 매카니즘에 의해 설명되지는 않으나, 플라즈마는 Si-CH3 결합을 Si-OH 결합으로 대체함으로써 탄소 손실을 가져온다고 여겨진다. 손상된 다공성 유전체에서, 상기 기공 표면은 이제 Si-OH로 덮혀 있다. (Cu 어닐링 후와 같이)인장 응력이 존재할 때에, 인접한 Si-OH 기는 응축될 수 있고, 그 결과 지엽적인 치밀화를 가져온다. 새로 형성된 결합 때문에 반응 생성물과 분자의 신축성이 발달되게 되고, ILD 공간의 중앙 부근에 기공이 생기게 된다. 처리제는 대부분의 Si-OH 결합을 Si-O-Si-Rx 결합으로 대체함으로써, 응축 반응을 방지하여 기공 형성을 막는다.One approach to this approach is to repair damaged areas of the inner pore wall on dense surfaces or in the case of porous materials, using re-methylating compounds called treatments. The treating agent reacts with the damaged re-hydroxylated surface to re-alkylate or re-arylate the surface and subsequently restore the dielectric constant. The following scheme shows an example of the re-methylation process: SiOSi (x) (desired surface) + (CH 3 COOH) y (acetic acid) is obtained by SiOH (damaged surface) + RxSi (OCOCH 3 ) y (TA). In the case of porous damaged internal pore wall surfaces, re-methylation prevents pore formation. In many cases, the use of processing agents allows the use of low- and ultra-low dielectric constant materials for etching and ash processing of the sea. The treatment results in the replenishment of carbon in the low k film, thereby restoring the resistance to additional damage occurring during hydrophobic and wet cleaning operations. In addition, the recovered low k constant material would be desirable if it exhibited resistance to pore formation occurring in the untreated porous low k level dielectric region during the copper annealing process. The sil rerating agent (“treatment agent”) may methylate the surface of the SiO 2 base material. Expected exposures include vapor exposure (with or without plasma), spin coating and supercritical CO 2 . Generally, SiCOH based porous low k materials tend to form pores in the ILD during the Cu damascene process. After treatment, the reactant structure has significant resistance to pore formation. Although not explained by any particular theory or particular mechanism, it is believed that plasma results in carbon loss by replacing Si—CH 3 bonds with Si—OH bonds. In the damaged porous dielectric, the pore surface is now covered with Si-OH. When tensile stresses are present (such as after Cu annealing), adjacent Si—OH groups can condense, resulting in local densification. The newly formed bonds lead to the development of the elasticity of the reaction product and molecules, and the formation of pores near the center of the ILD space. The treating agent replaces most Si-OH bonds with Si-O-Si-Rx bonds, thereby preventing condensation reactions and thus preventing pore formation.
그 결과 기공 형성이 발생하지 않는다. As a result, pore formation does not occur.
또한, SiO-SiR2-OSi 결합의 존재(이때, SiR2-는 매트릭스 내의 처리되는 관능기의 일예임.)가 다공성 물질의 탄성 계수를 향상시킬 수 있음이 알려져 있다. 대부분의 다공성 물질에 있어서 부가된 응력을 견딜 수 있도록 탄성 계수 보유 및 향상이 요구된다. 연구된 처리제의 결합, 디메틸실릴 결합은 확실히 탄성 계수를 향상시킨다. 만일 실리케이트의 약한 부분에 적용되면, 외부 응력에 대한 물질의 향상이 기대된다. It is also known that the presence of SiO—SiR 2 —OSi bonds, wherein SiR 2 — is one example of the functional groups processed in the matrix, may improve the elastic modulus of the porous material. For most porous materials, retention and improvement of modulus of elasticity is required to withstand the added stress. The bond of the treated agent, dimethylsilyl bond, certainly improves the modulus of elasticity. If applied to the weak part of the silicate, an improvement of the material against external stress is expected.
상기 처리제 조성물 처리는 유전체의 트랜치 및 비아 형성 후에 실시되며, 에칭 및 에싱 단계는 탄소 소모 및 낮은 k 물질에 대한 손상을 회복시킨다. 이러한 수단으로 기공들을 방지하고, 트랜치 및 비아를 채운 금속에 어닐링 처리에 의해 야기된 내부 응력을 견딜 수 있다. The treatment composition treatment is performed after trenching and via formation of the dielectric, and the etching and ashing steps restore carbon consumption and damage to low k materials. By this means it is possible to prevent pores and to withstand the internal stresses caused by the annealing treatment on the metal filling the trenches and vias.
처리제 조성물 처리는 웨이퍼 표면을 액체 또는 가스 형태로 손상된 낮은 K-영역과 반응이 완료되기에 충분한 시간동안 실리레이팅제에 노출함으로써 수행된다. 선택적으로, 남아있는 용제 및 과량의 처리제를 제거하기 위해서, 높은 온도의 소성를 수행할 수 있다. 또한, 선택적으로, 처리제 적용 후에 즉시 또는 소성 단계 이후에 상업적으로 이용할 수 있는 낮은-k 유전체와 양립할 수 있는 화학물질을 사용하여 습식 세척을 수행할 수 있다. Treatment Composition The treatment is carried out by exposing the wafer surface to a siliting agent for a time sufficient to complete the reaction with the low K-region damaged in liquid or gaseous form. Optionally, high temperature firing can be performed to remove the remaining solvent and excess treatment. Alternatively, wet cleaning may also be performed using chemicals compatible with commercially available low-k dielectrics immediately after treatment application or after the firing step.
부가적으로 처리제 처리의 효율성을 증진시키기 위해, 처리제 처리 전에 탈수 소성를 수행할 수 있다.Additionally, in order to enhance the efficiency of treatment treatment, dehydration firing can be carried out before treatment treatment.
처리제 처리의 효율성은 상기 처리제 처리에 후속하는 에칭 및 에싱 공정이 수행된 패턴이 형성되지 않은 낮은 k 유전 필름을 이용하여 입증될 수 있다. 성공적인 처리제 처리는 FTIR, EDX 또는 XPS 기술로 측정할 수있는 탄소 농도가 증가하는 결과를 가져온다. 또한, 후-처리 표면의 소수 특성을 나타내는, 물 접촉각의 증 가가 나타난다. 처리제로 처리된 필름은 처리제로 처리되지 않은 시각된/에시된 필름과 비교하여 볼 때, C-V 측정으로부터 나온 유전 상수 또한 더 낮은 것으로 나타났다. 패턴이 형성된 웨이퍼에서, 처리제 처리의 효율은 구리 도금에 후속된 구리 어닐링 처리 후에 구리 트랜치들 사이의 좁은 공간에서 저-k 유전체의 기공을 감소 또는 제거 및 반응성 용제에 노출된 후에 트랜치 또는 비아에 낮은 프로파일 변화에 의해 증명된다.The efficiency of the treatment of the treatment can be demonstrated using a low k dielectric film with no pattern formed on which the etching and ashing processes following the treatment have been performed. Successful treatment treatment results in an increase in the carbon concentration that can be measured with FTIR, EDX or XPS technology. In addition, an increase in the water contact angle is observed, indicating the hydrophobic nature of the post-treated surface. Films treated with the treatments also showed lower dielectric constants from the C-V measurements compared to visualized / ashed films not treated with the treatments. In patterned wafers, the efficiency of treatment treatment is low in trenches or vias after exposure to reactive solvents and reduction or removal of pores in low-k dielectrics in narrow spaces between copper trenches after copper annealing treatment following copper plating. Proven by profile change.
처리제는 반응성 이탈기를 갖는 실란 기초 단량체와 함께 아민, 오늄 화합물, 수산화 알카리 금속 또는 이들의 조합일 수 있는 활성화제를 사용하여 제조할 수 있는 것으로 알려져 있다. It is known that the treating agent may be prepared using an activator which may be an amine, onium compound, alkali metal hydroxide or combinations thereof with a silane based monomer having a reactive leaving group.
일 구현예에 있어서, 본 발명의 조성물은 In one embodiment, the composition of the present invention
에틸아세토아세테이트, 메틸 아세토아세테이트, t-부틸 아세토아세테이트, 2-메톡시에틸 아세토아세테이트, 알릴 아세토아세테이트, 벤질 아세토아세테이트, 노닐 아세테이트, 2-(2-부톡시에톡시)에틸 아세테이트, 펜틸 아세테이트, 2-부톡시에틸 아세테이트, 2-에틸헥실 아세테이트, 알파-메틸벤질 아세테이트, 디메틸술폭사이드, N-메틸-N-메톡시아세트아미드, N,N-디에틸-2-펜틸아세트아미드, N,N-디메틸아세트아미드, N,N-디에틸아세트아미드, N,N-디페닐아세트아미드, N,N-디메틸프로피온아미드, N,N-디메틸이소부티르아미드, 1,2-디클로로벤젠, 클로로톨루엔, 1-헥산올, 2-에틸-1-헥산올, 5-메틸-1-헥산올, 6-페닐-1-헥산올, 1-헵탄올, 2-헵탄 올, 4-헵탄올, 4-메틸-3-헵탄올, 6-메틸-2-헵탄올, 2,6-디메틸헵탄올, 1-옥탄올 또는 이들의 조합을 포함하는 용제를 더 포함한다.Ethyl acetoacetate, methyl acetoacetate, t-butyl acetoacetate, 2-methoxyethyl acetoacetate, allyl acetoacetate, benzyl acetoacetate, nonyl acetate, 2- (2-butoxyethoxy) ethyl acetate, pentyl acetate, 2 Butoxyethyl acetate, 2-ethylhexyl acetate, alpha-methylbenzyl acetate, dimethyl sulfoxide, N-methyl-N-methoxyacetamide, N, N-diethyl-2-pentylacetamide, N, N- Dimethylacetamide, N, N-diethylacetamide, N, N-diphenylacetamide, N, N-dimethylpropionamide, N, N-dimethylisobutyamide, 1,2-dichlorobenzene, chlorotoluene, 1 -Hexanol, 2-ethyl-1-hexanol, 5-methyl-1-hexanol, 6-phenyl-1-hexanol, 1-heptanol, 2-heptanol, 4-heptanol, 4-methyl- Further comprising a solvent comprising 3-heptanol, 6-methyl-2-heptanol, 2,6-dimethylheptanol, 1-octanol or a combination thereof .
본 발명의 또 다른 구현예에 있어서, 본 발명의 조성물은 혼합물, 바람직하게는 주 용제 및 보조 용제의 혼화가능한 혼합물을 더 포함한다. 상기 혼합물은 실리레이션을 통해 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분을 용해시킬 수 있으며; 이때 상기 보조 용제는 주 용제보다 높은 증기압 및/또는 끓는 점을 갖는다. In another embodiment of the present invention, the composition of the present invention further comprises a mixture, preferably a miscible mixture of primary and auxiliary solvents. The mixture may dissolve components capable of alkylating or arylating the silanol portion of the organosilicate glass dielectric film via silication; At this time, the auxiliary solvent has a higher vapor pressure and / or boiling point than the main solvent.
본 발명은 다음을 포함하는 유기실리케이트 유리 유전 필름 처리용 조성물을 제공한다:The present invention provides a composition for treating an organosilicate glass dielectric film comprising:
a) 실리레이션을 통해 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분 및a) a component capable of alkylating or arylating the silanol moiety of the organosilicate glass dielectric film via silication and
b) 활성화제.b) activator.
본 발명은 또한 다음을 포함하여 이루어진 방법을 제공한다:The present invention also provides a method comprising:
a) 유기실리케이트 유리 유전 필름을 형성하는 단계;a) forming an organosilicate glass dielectric film;
b)상기 유기실리케이트 유리 유전 필름과, 실리레이션을 통해 상기 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분; 및 활성화제를 포함하는 조성물을 접촉시키는 단계.b) the organosilicate glass dielectric film and a component capable of alkylating or arylating the silanol portion of the organosilicate glass dielectric film via silication; And contacting the composition comprising the activator.
나아가 본 발명은 기판 상의 유기실리케이트 유리 유전 필름 내의 응력-유도 기공의 형성을 방지하는 방법을 제공한다. 여기서 상기 유기실리케이트 유리 유전 필름에는, 종래 존재하였던 탄소 함유 부분의 적어도 일부를 제거하거나, 또는 상기 유기실리케이트 유리 유전 필름의 소수성을 감소시키고, 상기한 종래 존재하였던 탄소 함유 부분의 적어도 일부를 제거하거나, 또는 상기 유기실리케이트 유리 유전 필름의 소수성을 감소시키는 적어도 하나의 단계가 수행된 후에, 탄소 함유 부분 소수성의 적어도 일부를 복원하거나, 또는 유기실리케이트 유리 유전 필름의 소수성을 증가시키는 유효 농도 및 유효 시간으로, 상기 유기실리케이트 유리 유전 필름과 조성물을 접촉시키는 단계를 포함하는 적어도 하나 이상의 단계가 수행된다. 이때 상기 조성물은,The present invention further provides a method of preventing the formation of stress-induced pores in an organosilicate glass dielectric film on a substrate. Wherein in the organosilicate glass dielectric film, at least a portion of the carbon-containing portion that has existed previously is removed, or the hydrophobicity of the organosilicate glass dielectric film is reduced, and at least a portion of the carbon-containing portion that has existed previously is removed, Or after the at least one step of reducing the hydrophobicity of the organosilicate glass dielectric film is performed, at an effective concentration and effective time to restore at least a portion of the carbon-containing partial hydrophobicity, or to increase the hydrophobicity of the organosilicate glass dielectric film, At least one step is performed including contacting the organosilicate glass dielectric film with the composition. At this time, the composition,
a) 실리레이션을 통해 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분 및a) a component capable of alkylating or arylating the silanol moiety of the organosilicate glass dielectric film via silication and
b) 활성화제를 포함한다. b) an activator.
더 나아가 본 발명은 다음을 포함하여 이루어지는 마이크로전자 장치를 제조하는 방법을 제공한다:The present invention further provides a method of manufacturing a microelectronic device, comprising:
a) 기판 상에 유기실리케이트 유리 유전 필름을 형성하는 단계;a) forming an organosilicate glass dielectric film on the substrate;
b) 상기 유기실리케이트 유리 유전 필름에 종래 존재하였던 탄소 함유 부분의 적어도 일부를 제거하거나 또는 상기 유기실리케이트 유리 유전 필름의 소수성을 감소하는 최소 하나의 단계를 실시하는 단계;b) removing at least a portion of the carbon-containing moiety previously present in the organosilicate glass dielectric film or performing at least one step of reducing the hydrophobicity of the organosilicate glass dielectric film;
c) 종래 존재하였던 탄소 함유 부분의 최소 일부를 복원하거나, 유기실리케이트 유리 유전 필름의 소수성을 증가시키는데 유효한 농도 및 시간으로, 상기 유기실리케이트 유리 유전 필름과, 실리레이션을 통해 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분; 및 활성화제를 포함하는 조성물을 접촉시키는 단계.c) a sila of the organosilicate glass dielectric film and the organosilicate glass dielectric film through silicide, at a concentration and time effective to restore at least a portion of the carbon-containing portion that has existed previously or to increase the hydrophobicity of the organosilicate glass dielectric film. Components capable of alkylating or arylating knol moieties; And contacting the composition comprising the activator.
더 나아가 본 발명은 다음을 포함하여 이루어지는 마이크로전자 장치를 제조하는 방법을 제공한다:The present invention further provides a method of manufacturing a microelectronic device, comprising:
a) 기판 상에 유기실리케이트 유리 유전 필름을 적용하는 단계;a) applying an organosilicate glass dielectric film on the substrate;
b) 상기 유기실리케이트 유리 유전 필름에 비아 및 트랜치 패턴을 형성하고, 상기 유기실리케이트 유리 유전 필름에 종래 존재하였던 탄소 함유 부분의 최소 일부를 제거하거나, 상기 유기실리케이트 유리 유전 필름의 소수성을 감소시키는 적어도 하나 이상의 처리를 수행하는 단계;b) at least one forming vias and trench patterns in the organosilicate glass dielectric film, removing at least some of the carbon-containing portions previously present in the organosilicate glass dielectric film, or reducing the hydrophobicity of the organosilicate glass dielectric film Performing the above processing;
c) 유기실리케이트 유리 유전 필름의 소수성을 증가시키는데 유효한 농도 및 시간으로, 상기 유기실리케이트 유리 유전 필름과 처리제 조성물을 접촉시키는 단계. 이때 상기 처리제 조성물은 실리레이션을 통해 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분; 및 활성화제를 포함한다.c) contacting said organosilicate glass dielectric film with a treating composition at a concentration and time effective to increase the hydrophobicity of the organosilicate glass dielectric film. Wherein the treating agent composition comprises a component capable of alkylating or arylating the silanol portion of the organosilicate glass dielectric film through silication; And activators.
본 발명의 맥락에서, 빠른 신호 전파를 가능하게 하고, 축전 효과 및 전선 간의 누설이 감소되며, 집적 회로 구동에 낮은 전압이 요구되기 때문에, 일반적으로 3 이하의 낮은 유전 상수를 갖는 유전체 물질이 바람직하다. 본 발명은 다공성 및 비-다공성 물질 양쪽 모두에 관한 것이다. 낮은 유전 상수를 갖는 물질 중 하나로 발포 유전 물질로 사용되는 실리카가 있다. 가장 낮은 가능한 유전 값을 위해, 실리카 유전 물질에 공기가 투입된다. 공기는 유전 상수가 1이며, 실리카 유전 물질에 공기가 나노 기공 또는 나노미터 크기의 구명 구조 형태로 투입되면, 상대적으로 낮은 유전 상수("k")가 얻어진다. 만일 "실리카"라는 용어가 사용될 때, "SiO2" 작용기가 특별히 언급되지 않았다면, 본 명세서에서 사용되는, 예를 들면 다공성 및 비-다공성 필름과 관련하여 사용되는 상기 "실리카"라는 용어는 무기 또는 유기 유리 기초 물질, 예를 들면, 하나 이상의 실리콘 기초 유전체 전구체를 함유하는 임의의 적당한 출발 물질로부터 본 발명의 방법에 의해 제조된 유전 필름을 의미한다. 또한, 본 명세서에서 단수 용어를 사용하는 것은 한정하고자 하는 것이 아니며, 적당한 경우 복수를 포함할 수도 있다. 예를 들면 본 발명의 예시적인 방법들이 "필름"에 적용되거나 "필름"을 생산하는 것으로 기재될 수 있으나, 필요에 따라 상기 기재, 예시 및 청구된 방법에 의해 여러 장의 필름에 생산할 수 있다. 실리카 유전 물질과 관하여 본 명세서에서 사용되는 용어인 "필름"은 이러한 실리카 유전 물질이 선택적으로 채택되는 어떤 다른 적절한 형태 또는 태양을 포함한다. 예를 들면 최근 채택된 스핀-온-글래스("SOG") 및 화학 증기 증착("CVD") 실리카 SiO2에 사용되는 테트라메톡시실란("TMODS") 및/또는 테트라에톡시실란("TEOS")과 같은 유기-치환된 실란이 포함된 유사 전구체를 사용하므로, 나노 다공 실리카는 매력적이다. 본 명세서에서 사용된 바와 같이, "기공" 및 "구멍"이라는 용어는 질량이 가스로 대체된 자유 부피 또는 진공이 발생하는 곳을 의미한다. 가스 조성물은 일반적으로 결정된 것은 아니지만, 적절한 가스들에는 공기를 포함하여 상대적으로 순수한 가스 및 이들의 혼합물이 포함된다. 나노다공성 고분자는 많은 구멍들을 포함할 수 있다. 구멍들은 일반적으로 구형이나, 선택적으로 또는 부가적으로 관형, 판형, 원반형 또는 다른 모양을 포함하는 적절한 임의의 형태를 가질 수 있다. 상기 구멍은 일정하게 또는 임의로 다공성 고분자 내에 분산되어 있을 수 있다. 상기 구멍들은 임의의 적당한 지름을 갖는다고 여겨진다. 나아가 적어도 일부의 구멍들은 인접한 구멍들과 연결되어 상당한 양으로 연결된 또는"열린" 구멍을 갖는 구조를 형성한다고 여겨진다.In the context of the present invention, a dielectric material having a low dielectric constant of 3 or less is generally preferred, since it enables fast signal propagation, reduces power storage effects and leakage between wires, and requires a low voltage for driving integrated circuits. . The present invention relates to both porous and non-porous materials. One of the materials having a low dielectric constant is silica, which is used as a foaming dielectric material. For the lowest possible dielectric value, air is introduced into the silica dielectric material. Air has a dielectric constant of 1, and when air is introduced into the silica dielectric material in the form of nanopores or nanometer-sized life-saving structures, a relatively low dielectric constant ("k") is obtained. If the term "silica" is used, the term "silica" as used herein, for example in connection with porous and non-porous films, is used herein unless the term "SiO 2 " functional group is specifically mentioned. By organic glass based material, for example, a dielectric film made by the method of the present invention from any suitable starting material containing at least one silicon based dielectric precursor. In addition, the use of a singular term herein is not intended to be limiting and may include a plurality as appropriate. For example, the exemplary methods of the present invention may be described as being applied to "films" or producing "films", but may be produced on several sheets of film by the above described, illustrated and claimed methods as needed. The term "film" as used herein in reference to a silica dielectric material includes any other suitable form or aspect in which such silica dielectric material is optionally employed. For example, recently employed spin-on-glass ("SOG") and chemical vapor deposition ("CVD") silica SiO 2 Nanoporous silicas are attractive because they use similar precursors containing organic-substituted silanes such as tetramethoxysilane ("TMODS") and / or tetraethoxysilane ("TEOS"). As used herein, the terms "pores" and "holes" refer to where free volume or vacuum occurs in which mass is replaced by gas. Gas compositions are not generally determined, but suitable gases include relatively pure gases, including air, and mixtures thereof. Nanoporous polymers may contain many pores. The holes are generally spherical but may optionally have any shape, including, optionally or additionally, tubular, plate, disc or other shapes. The pores may be constantly or optionally dispersed in the porous polymer. The holes are believed to have any suitable diameter. It is further believed that at least some of the holes are connected with adjacent holes to form a structure having a substantial amount of connected or "open" holes.
나노다공성 실리카 필름은 종래 다양한 방법으로 제조되어 왔다. 적합한 실리콘-기초 전구체 조성물 및 나노다공성 실리카 유전 필름의 제조 방법이 예를 들면, 다음의 공동 미국 특허 6,048,804, 6,022,812; 6,410,149; 6,372,666; 6,509,259; 6,218,497; 6,143,855, 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 및 6,319,855에 기재되어 있으며, 이들은 모두 본 명세서에서 참조 문헌으로 편입되어 있다. Nanoporous silica films have conventionally been produced by various methods. Suitable silicon-based precursor compositions and methods of making nanoporous silica dielectric films are described, for example, in the following co-US patents 6,048,804, 6,022,812; 6,410,149; 6,372,666; 6,509,259; 6,218,497; 6,143,855, 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855, all of which are incorporated herein by reference.
다른 유전체 및 낮은 유전 물질들에는 2002년 2월 19일에 출원된 등록 계류 중인 미국 특허 출원 번호 10/078919에 일반적으로 실리초-기초로 하는 것(예를 들면, Honeywell International Inc.에서 상업적으로 구입할 수 있는 NANOGLASS® 및 HOSP® 제품)으로 개시된 무기-기초 화합물이 포함된다. 상기 유전체 및 저 유전 물질은 상기 물질을 표면 위에 스핀 코팅하여 적용할 수 있고, 상기 물질을 표면 상에 딥 코팅, 스프레이 코팅, 화학 증기 증착(CVD), 롤링하여 적용할 수 있고, 상기 물질을 표면 상에 적하 및/또는 상기 물질을 표면 상에 도포함으로써 적용할 수 있다. 본 발명에 유용한 유전체에는, 탄소 첨가 산화물(carbon-doped oxides), 예를 들면 Applied Material, Inc.,로부터 상업적으로 구입할 수 있는 블랙 다이아몬드(Black Diamond), Novellus에서 상업적으로 구입할 수 있는 코랄(Coral), ASM으로부터 상업적으로 구입할 수 있는 오로라(Aurora) 및 Trikon으로부터 상업적으로 구입할 수 있는 오리온(Orion)와 같은 CVD 도금 물질들이 포함된다. Other dielectric and low dielectric materials are generally silicose-based (for example, commercially available from Honeywell International Inc.) in registered pending US patent application Ser. No. 10/078919, filed February 19, 2002. Inorganic-based compounds), which may be disclosed in the NANOGLASS ® and HOSP ® products). The dielectric and low dielectric materials may be applied by spin coating the material onto a surface, applying the material by dip coating, spray coating, chemical vapor deposition (CVD), rolling on the surface, and applying the material to the surface. It can be applied by dropping onto the phase and / or by applying the substance onto the surface. Dielectrics useful in the present invention include carbon-doped oxides, such as Black Diamond commercially available from Applied Material, Inc., and Coral commercially available from Novellus. CVD plating materials such as Aurora, commercially available from ASM, and Orion, commercially available from Trikon.
본 명세서에서 사용되는 바와 같이, "스핀-온 물질", "스핀-온 유기 물질", "스핀-온 조성물" 및 "스핀-온 무기 조성물"이라는 용어는 서로 대체될 수 있으며, 스핀 코팅 적용 공정에 사용되는 기판 상 또는 표면 상에서 회전되는 이러한 용액 및 조성물을 의미한다. 실리콘-기초 화합물에는 메틸실록한, 메틸실세스퀴노산, 페닐실록산, 페닐실세스퀴녹산, 메틸페닐실록산, 메틸페닐실세스퀴녹산, 실라잔 고분자, 실리케이트 고분자 및 이들의 혼합물과 같은 실록산 화합물이 포함된다. 예상되는 실라잔 고분자는 발색단이 공격받을 경우에 "투명한" 고분자 주쇄를 갖는 퍼하이드로실라잔이다. 또한, 스핀-온- 유리 물질에는 실록산 고분자 및 블록 고분자들, 일반식 (H0 -1.0SiO1 .5-2.0)x 및 x가 4보다 큰 식(HSiO1 .5)x을 갖는 하이드로겐실세스퀴녹산 고분자가 포함된다. 또한, 하이드로겐실세스퀴녹산과 알콕시하이드리도실록산 또는 하이드록시드리도실록산의 공중합체도 포함된다. 스핀-온 유리 물질에는 부가적으로, 일반식 (H0 -1.0SiO1 .5-2.0)n(R0 -1.0SiO1 .5-2.0)m의 유기하이드리도실록산 고분자 및 일반식 (HSiO1 .5)n(RSiO1 .5)m의 유기하이드리도실세스퀴녹산 고분자가 포함된다. 이때 m은 0보다 크고, n과 m의 합은 4보다 크며, R은 알킬 또는 아릴이다. 일부 유용한 유기하이드리도실록산 고분자는 n과 m의 합을 약 4부터 약 5000까지 가지며, 이때 R은 C1~C20 알킬기 또는 C6-C12 아릴기이다. 상기 유기하이드리도실록산 및 유기하이드리도실세스퀴녹산 고분자는 선택적으로 나타나는 스핀-온 고분자이다. 일부 특정예에는 메틸하이드리도실록산, 에틸하이드리도실록산, 프로필하이드리도실록산, t-부틸하이드리도실록산, 페닐하이드리도실록산과 같은 알킬하이드리도실록산; 및 메틸하이드리도실세스퀴녹산, 에틸하이드리도실세스퀴녹산, 프로필하이드리도실세스퀴녹산, t-부틸하이드리도실세스퀴녹산, 페닐하이드리도실세스퀴녹산과 같은 알킬하이드리도실세스퀴녹산 및 이들의 조합을 포함한다. 몇몇 예상되는 스핀-온 물질들이, 본 명세서에서 그 전문을 참조로 편입한 다음의 발행된 특허 및 계류 중인 출원들에 기재되어 있다 : 미국 특허 6,506,497; 6,365,765; 6,268,457; 6,177,199; 6,358,559; 6,218,020; 6,361,820; 6,218,497; 6,359,099; 6,143,855; 6,512,071, 2001년 11월 10일에 출원된 미국특허 출원 번호 10/001143; 2000년 6월 8일에 출원된 PCT/US00/15772 및 1999년 1월 7일에 출원된 PCT/US00/00523.As used herein, the terms "spin-on material", "spin-on organic material", "spin-on composition" and "spin-on inorganic composition" may be interchanged with each other, and the spin coating application process By such solutions and compositions that are rotated on or on a substrate used in the invention. Silicone-based compounds include siloxane compounds such as methylsiloxane, methylsilsesquinoic acid, phenylsiloxane, phenylsilsesquinoxane, methylphenylsiloxane, methylphenylsilsesquinoxane, silazane polymers, silicate polymers, and mixtures thereof. . The expected silazane polymers are perhydrosilazanes that have a "transparent" polymer backbone when the chromophore is attacked. Also, spin-on-glass material is hydro gensil having the siloxane polymer and a block polymer represented by the general formula (H 0 -1.0 SiO 1 .5-2.0) x and x is greater than the formula 4 (HSiO 1 .5) x access Quinoxane polymers are included. Also included are copolymers of hydrogensilsesquinoxane and alkoxyhydridosiloxanes or hydroxydridosiloxanes. In addition to spin-on glass materials, organohydridosiloxane polymers of the general formula (H 0 -1.0 SiO 1.5 -2.0 ) n (R 0 -1.0 SiO 1.5 -2.0 ) m and the general formula (HSiO 1 5) is n (RSiO include organic hydrido room sesquioleate Noksan polymer 1 0.5) m. Wherein m is greater than 0, the sum of n and m is greater than 4, and R is alkyl or aryl. Some useful organohydridosiloxane polymers have a sum of n and m from about 4 to about 5000, where R is a C 1 -C 20 alkyl group or a C 6 -C 12 aryl group. The organohydridosiloxane and organohydridosilsesquinoxane polymers are optionally spin-on polymers. Some specific examples include alkylhydridosiloxanes such as methylhydridosiloxane, ethylhydridosiloxane, propylhydridosiloxane, t-butylhydridosiloxane, phenylhydridosiloxane; And alkylhydridosilsesquis such as methylhydridosilsesquioxane, ethyl hydridosilsesquioxane, propylhydridosilsesquioxane, t-butylhydridosilsesquioxane, phenylhydridosilsesquioxane Butyric acid and combinations thereof. Some anticipated spin-on materials are described in the following published patents and pending applications, which are incorporated herein by reference in their entirety: US Pat. No. 6,506,497; 6,365,765; 6,268,457; 6,177,199; 6,358,559; 6,218,020; 6,361,820; 6,218,497; 6,359,099; 6,143,855; 6,512,071, US Patent Application No. 10/001143, filed November 10, 2001; PCT / US00 / 15772, filed June 8, 2000 and PCT / US00 / 00523, filed January 7, 1999.
유기하이드리도실록산 및 유기실록산 수지의 용액이 케이지(cage)된 실록산 고분자 필름을 제조하는데 사용되며, 상기 고분자 필름은 다양한 전자 장치, 마이크로-전자 장치, 특히 반도체 집적 회로 및 하디 마스크층, 유저층, 식각 정지층 및 매장된 식각 정지층을 포함한 다양한 전자 및 반도체 구성요소용 다층 물질들의 제조에 유용하다. 이러한 유기하이드리도실록산 수지층은 아다만탄-기초 화합물, 디아마탄-기초 화합물, 실리콘-코어 화합물, 유기 유전체 및 나노 다공성 유전체와 같은 다층 물질들 및 장치에 사용될 수 있는 다른 물질들과 융화된다. 본 명세서에서 예상되는 유기하이드리도실록산 수지층과 융화되는 화합물이 미국특허 6,214,746; 6,171,687; 6,172,128; 6,156,812, 2002년 1월 15일에 출원된 미국출원 60/350187; 미국특허출원 09/53827; 미국 특허 출원 09/544504; 미국 특허 출원 09/587851 및 2002년 1월 8일에 출원된 미국 60/347195; 2001년 10월 17일에 출원된 PCT 출원 PCT/US01/32569; 2001년 12월 31일에 출원된 PCT 출원 PCT/US01/50812에 기재되어 있으며, 이들 모두는 본 명세서에 참조로 편입되었다.It is used to prepare siloxane polymer film caged with a solution of organohydridosiloxane and organosiloxane resin, which polymer film can be used for various electronic devices, micro-electronic devices, especially semiconductor integrated circuit and hard mask layer, user layer, etching It is useful in the manufacture of multilayer materials for various electronic and semiconductor components, including stop layers and buried etch stop layers. This organohydridosiloxane resin layer is compatible with multilayer materials such as adamantane-based compounds, diamatane-based compounds, silicon-core compounds, organic dielectrics and nanoporous dielectrics and other materials that may be used in the device. Compounds that are compatible with the organohydridosiloxane resin layers anticipated herein are described in US Pat. No. 6,214,746; 6,171,687; 6,172,128; 6,156,812, filed Jan. 15, 2002, US application 60/350187; US Patent Application 09/53827; U.S. Patent Application 09/544504; US Patent Application 09/587851 and US 60/347195, filed Jan. 8, 2002; PCT Application PCT / US01 / 32569, filed October 17, 2001; PCT application PCT / US01 / 50812, filed December 31, 2001, all of which are incorporated herein by reference.
본 발명에서 사용되기 적합한 유기하이드리도실록산은 다음과 같은 일반식을 갖는다:Organohydridosiloxanes suitable for use in the present invention have the general formula:
[H-Si1 .5]n[R-SiO1 .5]m 식(1) [H-Si 1 .5] n [R-SiO 1 .5] m Formula (1)
[H0 .5-1.0Si1 .5-1.8]n[R0 .5-1.0SiO1 .5-1.8]m 식(2) [H 0 .5-1.0 Si 1 .5-1.8] n [R 0 .5-1.0 SiO 1 .5-1.8] m formula (2)
[H0 -1.0Si1 .5]n[R-SiO1 .5]m 식(3) [H 0 -1.0 Si 1 .5] n [R-SiO 1 .5] m formula (3)
[H-Si1 .5]x[R-SiO1 .5]y[SiO2]z 식(4) [H-Si 1 .5] x [R-SiO 1 .5] y [SiO 2] z formula (4)
여기서 : here :
n과 m의 합 또는 x, y 및 z의 합은 약 8 내지 약 5000이고, m 또는 y는 탄소 함유 성분이 40 퍼센트 미만의 양(낮은 유기 함량 = LOSP)으로 또는 40 퍼센트 이상의 양(높은 유기 함량 = HOSP)으로 존재하도록 선택된다; R은 치환 및 비치환된, 일반 및 가지형 알킬(메틸, 에틸, 부틸, 프로필, 펜틸), 알케닐 기(비닐, 알릴, 이소프로페닐), 사이클로알킬, 사이클로알케닐 기, 아릴(페닐 기, 벤질 기, 나프탈레닐 기, 안트라센닐 기 및 페난트레닐 기) 및 이들의 조합으로부터 선택된다; 또한 이때 탄소 함유 치환기의 몰비 퍼센트는 출발 물질의 양에 대한 비의 함수이다. 일부 LOSP 구현예에서, 탄소 함유 치환체의 몰 퍼센트가 약 12몰 퍼센트 내지 약 25몰 퍼센트 사이의 범위에 있을 때 특히 양호한 결과가 얻어진다. 일부 HOSP 구현예에서, 탄소 함유 치환체의 몰 퍼센트가 약 55 내지 약 75 몰 퍼센트의 범위에 있을 때, 양호한 결과가 얻어진다. The sum of n and m or the sum of x, y and z is from about 8 to about 5000, and m or y is in an amount of less than 40 percent of carbon-containing components (low organic content = LOSP) or in an amount of at least 40 percent (high organic Content = HOSP); R is substituted and unsubstituted, general and branched alkyl (methyl, ethyl, butyl, propyl, pentyl), alkenyl groups (vinyl, allyl, isopropenyl), cycloalkyl, cycloalkenyl groups, aryl (phenyl groups Benzyl groups, naphthalenyl groups, anthracenyl groups and phenanthrenyl groups) and combinations thereof; The molar ratio percentage of the carbon containing substituents is also a function of the ratio to the amount of starting material. In some LOSP embodiments, particularly good results are obtained when the mole percent of carbon containing substituents is in a range between about 12 mole percent and about 25 mole percent. In some HOSP embodiments, good results are obtained when the mole percent of carbon containing substituents is in the range of about 55 to about 75 mole percent.
약 1.5 내지 약 4의 범위에 있는 유전 상수를 갖는 나노다공성 실리카 유전 필름이 층(layer)들 중 하나로 사용될 수 있다. 나노다공성 실리카 필름은 물의 존재 하에서 숙성 또는 응축된 실리콘-기초 전구체로 적층되고, 충분히 가열하며, 기공형성물질(pororgen) 전부를 실질적으로 제거하고, 필름에 기공을 형성한다. 실리콘-기초 전구체 조성물은 식 Rx-Si-Ly을 갖는 단량체 또는 프리폴리머들을 포함한다. 이때 R은 알킬 기, 아릴 기, 수소 및 이들의 조합으로부터 독립적으로 선택되며, L은 알콕시, 카르복시, 아미노, 아미도, 할라이드, 이소시아나토 및 이들의 조합과 같은 전기 음성 부분이며, x는 약 0 내지 2의 범위에 있는 정수이며, y는 약 2 내지 4의 범위에 있는 정수이다. 본 명세서에서 그 전부를 편입한 미국 특허 6,171,687; 6,172,128; 6,214,746; 6,313,185; 6,380,347; 및 6,380,270에서 다른 나노다공성 화합물들 및 방법들을 알 수 있다.Nanoporous silica dielectric films having dielectric constants ranging from about 1.5 to about 4 can be used as one of the layers. The nanoporous silica film is laminated to a mature or condensed silicon-based precursor in the presence of water, heated sufficiently, substantially removes all of the porogen, and forms pores in the film. The silicon-based precursor composition comprises monomers or prepolymers having the formula Rx-Si-Ly. Wherein R is independently selected from alkyl groups, aryl groups, hydrogen and combinations thereof, L is an electronegative moiety such as alkoxy, carboxy, amino, amido, halide, isocyanato and combinations thereof, x is about An integer in the range of 0 to 2, and y is an integer in the range of about 2 to 4. US Patent 6,171,687, which is incorporated herein in its entirety; 6,172,128; 6,214,746; 6,313,185; 6,380,347; And 6,380,270 to other nanoporous compounds and methods.
"케이지(cage) 구조", "케이지(cage) 분자" 및 "케이지(cage) 화합물"이라는 용어는 대체되어 사용될 수 있으며, 최소 하나의 가교가 고리계 내의 둘 이상의 원자를 공유 결합하고 있는 식으로 배열된 적어도 10개의 원자를 갖는 분자를 의미한다. 다시 말하자면, 케이지(cage) 구조, 케이지(cage) 분자 또는 케이지(cage) 화합물은 원자들의 공유 결합으로 형성된 많은 고리들을 포함하고 있으며, 이때 부피와 함께 위치하는 점이 고리를 통과하지 않고는 그 부피를 벗어나지 못하기 때문에 상기 구조, 분자 또는 화합물은 부피가 한정된다. 상기 가교 및/또는 고리 계는 하나 이상의 헤테로 원자를 포함할 수 있으며, 특히 치환 또는 비치환된 아로마틱일 수 있다. 나아가, 예상되는 케이지(cage) 구조에는 퓰러린(fullerene) 및 적어도 하나의 가교를 갖는 크라운(Crown) 에테르가 포함된다. 예를 들면, 이러한 정의의 범주에서는 아다만탄 또는 디아만탄은 케이지(cage) 구조라고 여겨지지만, 나프탈렌 화합물 또는 아로마틱 스피로 화랍물은 하나 이상의 가교를 가지고 있지 않기 때문에 나프탈렌 화합물 또는 아로마틱 스피로 화합물은 케이지(cage) 구조라고 여겨지지 않는다. 예상되는 케이지(cage) 화합물이 반드시 탄소 원자만으로 이루어지도록 제한될 필요는 없으며, N, S, O, P 등과 같은 헤테로원자 역시 포함할 수 있다. 헤테로원자들은 비-정방결정 결합각 형태를 유리하게 투입될 수 있다. 예상되는 케이지(cage) 구조의 치환체 및 유도체와 관련하여, 많은 치환체 및 유도체가 적합할 것으로 판단된다. 예를 들면, 케이지 화합물이 상대적으로 소수성인 경우에는, 친수성 용제에 대한 용해성을 높이기 위해 친수성 치환체가 투입될 수 있고, 그 역의 경우도 마찬가지이다. 선택적으로, 극성이 요구되는 경우에는 극성 측쇄를 케이지(cage) 화합물에 첨가할 수 있다. 또한, 적합한 치환체에는 또한 열 불안정성기, 친핵 및 전자 친화기가 투입될 수도 있으리라고 예상된다. 또한 상기 케이지 화합물(예를 들면 가교 결합 반응, 유도 반응 등을 촉진하기 위해) 내에 작용기를 사용할 수 있음은 당연하다. 본 명세서에 자세히 설명된 바와 같이, 케이지 분자 또는 화합물은 고분자 주쇄에 부착되는 작용기일 수 있으며, 따라서 상기 케이지 화합물이 한 유형의 기공(분자 내부)을 형성한 경우에 나노다공성 물질을 형성할 수 있으며, 주쇄의 적어도 한 부분이 그 자신 또는 다른 주쇄와 가교 결합된 경우에는, 또 다른 유형의 기공(분자 내부)을 형성할 수 있다. 부가적인 케이지 분자, 케이지 화합물 및 이러한 분자 및 화합물의 변형들이 본 명세서에 그 전부가 편입된 2001년 10월 18일에 출원된 PCT/US01/32569에 자세하게 기재되어 있다. 예상되는 고분자는 또한, 아로마틱 계 및 할로겐화된 기를 함유한 넓은 범위의 관능기 또는 구조적 부분을 포함할 수 있다. 나아가, 적합한 고분자는 단일고분자 및 이종 고분자를 포함하는 다양한 형태를 가질 수 있다. 또한 바람직한 고분자는 선형, 가지형, 초-가지형(super-branched), 또는 3차원과 같은 다양한 형태를 갖는다. 예상되는 고분자의 분자량은 일반적으로는 400달톤 과 400000달톤 이상 사이의 넓은 범위에 걸쳐져 있다. 또한, 특정한 특성을 강화 또는 부여하기 위해서 안정제, 내화제, 안료, 가소제, 계면 활성제 등을 포함하여 고분자 기술 분야에 종래에 알려져 있는 첨가제를 사용할 수 있다. 원하는 특성을 부여하기 위해, 혼화되거나 혼화되지 않는 고분자를 혼합할 수 있다. 접착력 증진제도 사용될 수 있다. 이러한 증진제는 헥사메틸디실리잔으로 대표되며, 이는 표면이 수분 또는 습기에 노출된 이산화 실리콘과 같이 표면에 나타날 수 있는 수산화 반응기와 함께 반응하는데 사용될 수 있다. 바람직하게도 마이크로전자 용도에 사용되는 고분자는 특히 유전체층 간에 있어서 낮은 수준의 이온 불순성을 포함한다. The terms "cage structure", "cage molecule" and "cage compound" may be used interchangeably, such that at least one crosslink is covalently bonded to two or more atoms in the ring system. It means a molecule having at least 10 atoms arranged. In other words, a cage structure, a cage molecule, or a cage compound contains many rings formed by covalent bonds of atoms, where the point located with the volume does not pass through the ring without passing through the ring. The structure, molecule or compound is limited in volume because it cannot escape. The crosslinking and / or ring system may comprise one or more hetero atoms, and in particular may be substituted or unsubstituted aromatic. Furthermore, expected cage structures include fullerenes and crown ethers having at least one crosslink. For example, within the scope of this definition, adamantane or diamantan is considered a cage structure, but a naphthalene compound or an aromatic spiro compound does not have one or more crosslinks. It is not considered a cage structure. The expected cage compound need not necessarily be limited to carbon atoms alone, and may also include heteroatoms such as N, S, O, P, and the like. Heteroatoms may advantageously be introduced in non-square crystal bond angle forms. With regard to substituents and derivatives of the expected cage structure, many substituents and derivatives are considered suitable. For example, when the cage compound is relatively hydrophobic, a hydrophilic substituent may be added in order to increase the solubility in the hydrophilic solvent, and vice versa. Optionally, polar side chains can be added to the cage compound if polarity is desired. It is also contemplated that suitable substituents may also be infused with heat labile groups, nucleophiles and electron affinity groups. It is also natural that functional groups can be used in the cage compound (eg, to promote crosslinking reactions, induction reactions, and the like). As detailed herein, the cage molecule or compound may be a functional group attached to the polymer backbone, thus forming nanoporous material when the cage compound forms one type of pores (inside the molecule) and In the case where at least one part of the main chain is crosslinked with itself or with another main chain, it is possible to form another type of pores (inside the molecule). Additional cage molecules, cage compounds, and variations of such molecules and compounds are described in detail in PCT / US01 / 32569, filed Oct. 18, 2001, the entirety of which is incorporated herein. Anticipated polymers may also include a wide range of functional groups or structural moieties containing aromatic and halogenated groups. Furthermore, suitable polymers can have a variety of forms including single polymers and heteropolymers. Preferred polymers also have various forms such as linear, branched, super-branched, or three-dimensional. The expected molecular weights of polymers generally range over a broad range between 400 Daltons and over 400000 Daltons. In addition, additives known in the polymer art may be used, including stabilizers, fire retardants, pigments, plasticizers, surfactants, and the like, to enhance or impart specific properties. In order to impart the desired properties, it is possible to mix polymers which are mixed or not. Adhesion promoters may also be used. Such enhancers are represented by hexamethyldisilizane, which can be used to react with a hydroxylation reactor whose surface may appear on the surface, such as silicon dioxide exposed to moisture or moisture. Preferably the polymers used in microelectronic applications include low levels of ion impurity, especially between dielectric layers.
본 명세서에 기재된 물질들, 전구체들 및 층들이 존재할 수 있으며, 생성되는 용액이 기판, 표면, 웨이퍼 또는 적층된 물질들에 적용될 수 있다면, 다양한 방법으로 적당한 용제에 용매화되거나, 용해되도록 설계될 수 있다. 또한, 단량체, 이성질체 단량체 혼합물 및 고분자를 용매화할 수 있는 용제들이 전형적인 용제들이다. 예상되는 용제에는 원하는 온도, 예를 들면 임계 온도에서 휘발되거나, 상기한 설계 목적 또는 필요 중 어떤 것을 용이하게 할 수 있는 유기 또는 무기 분자의 임의의 적당한 화합물 또는 혼합물이 포함된다. 상기 용제는 또한 임의의 적합한 극성 및 비극성 화합물 또는 이들의 혼합물을 포함할 수 있다. 본 명세서에서 사용되는 바와 같이, "극성"이라는 용어는 분자 또는 화합물의 한 부분에 또는 분자 또는 화합물을 따라서, 불균일한 전하, 부분적 전하 또는 임의적인 전하 분포를 나타내는 분자 또는 화합물의 특성을 의미한다. 본 명세서에서 사용되는 바와 같이, "무극성"이라는 용어는 분자 또는 화합물의 한 부분에 또는 분자 또는 화합물을 따라서 균일한 전하, 부분적인 전하 또는 임의적인 전하 분포를 나타내는 분자 또는 화합물의 특성을 의미한다. 일부 예정된 구현예에 있어서, 용제 또는 용제 혼합물( 2 이상의 용제를 포함함)은 탄화 수소 계열의 용제의 일부분으로 여겨지는 용제를 포함한다. 탄화 수소 용제는 카본과 수소를 포함하는 용제이다. 탄화 수소의 대부분이 무극성이나, 극히 일부의 탄화 수소 용제는 극성으로 여겨지기도 함을 이해할 수 있을 것이다. 탄화수소 용제는 일반적으로 3 부류로 나눌 수 있다: 지방족, 고리형 및 아로마틱. 지방족 탄화 수소 용제는 직쇄 화합물과 가교 결합이 가능한 가지형 화합물을 모두 포함할 수 있다. 그러나 지방족 화합물은 고리형은 아니라고 여겨진다. 고리형 탄화수소 용제는 지방족 탄화 수소 용제와 유사한 특성을 가지며, 고리 구조를 이루는 적어도 3개 이상의 탄소 원자를 포함하는 용제이다. 아로마틱 탄화 수소 용제는 단일 고리 또는 일반 결합에 의해 연결된 다중 고리 및/또는 함께 융합된 다중 고리를 갖으며, 일반적으로 3 이상의 불포화 결합을 포함하는 용제이다. 예상되는 탄화수소 용제에는 톨루엔, 자일렌, p-자일렌, m-자일렌, 메시틸렌, 솔벤트 나프타 H, 솔벤트 나프타 A, 펜탄, 헥산, 이소헥산, 헵탄, 노난, 옥탄, 도데칸, 2-메틸부탄, 헥사데칸, 트리데칸, 펜타데칸, 사이클로펜탄, 2,2,4-트리메틸펜탄, 석유 에테르와 같은 알칸, 염소화된 탄화 수소, 질산화된 탄화수소, 벤젠, 1,2-디메틸벤젠, 1,2,4-트리메틸벤젠, 미네랄 스피리트, 케로센, 이소부틸벤젠, 메틸나프탈렌, 에틸톨루엔, 리그로인과 같은 할로겐화된 탄화 수소가 포함된다.특히 바람직한 용제에는, 이로써 제한되는 것은 아니지만, 펜탄, 헥산, 헵탄, 사이클로헥산, 벤젠, 톨루엔, 자일렌 및 이들의 혼합물 또는 조합이 포함된다. The materials, precursors and layers described herein may be present and may be designed to be solvated or dissolved in a suitable solvent in a variety of ways, provided that the resulting solution can be applied to a substrate, surface, wafer or laminated materials. have. In addition, solvents capable of solvating monomers, isomer monomer mixtures and polymers are typical solvents. Anticipated solvents include any suitable compound or mixture of organic or inorganic molecules that may be volatilized at a desired temperature, such as a critical temperature, or may facilitate any of the design goals or needs described above. The solvent may also include any suitable polar and nonpolar compounds or mixtures thereof. As used herein, the term "polar" means a property of a molecule or compound that exhibits a non-uniform charge, partial charge, or arbitrary charge distribution, either along or along a molecule or compound. As used herein, the term "nonpolar" means a property of a molecule or compound that exhibits a uniform charge, partial charge, or arbitrary charge distribution at or along a portion of the molecule or compound. In some intended embodiments, the solvent or solvent mixture (including two or more solvents) includes a solvent that is considered to be part of a hydrocarbon-based solvent. The hydrocarbon solvent is a solvent containing carbon and hydrogen. It will be appreciated that most of the hydrocarbons are nonpolar, but very few hydrocarbon solvents are also considered polar. Hydrocarbon solvents can generally be divided into three classes: aliphatic, cyclic and aromatic. The aliphatic hydrocarbon solvent may include both a linear compound and a branched compound capable of crosslinking. However, aliphatic compounds are not considered to be cyclic. Cyclic hydrocarbon solvents are similar to aliphatic hydrocarbon solvents and are solvents containing at least three carbon atoms to form a ring structure. Aromatic hydrocarbon solvents are solvents having multiple rings connected by a single ring or a general bond and / or multiple rings fused together and generally containing three or more unsaturated bonds. Expected hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, mesitylene, solvent naphtha H, solvent naphtha A, pentane, hexane, isohexane, heptane, nonane, octane, dodecane, 2-methyl Alkanes such as butane, hexadecane, tridecane, pentadecane, cyclopentane, 2,2,4-trimethylpentane, petroleum ether, chlorinated hydrocarbons, nitrified hydrocarbons, benzene, 1,2-dimethylbenzene, 1,2 Halogenated hydrocarbons such as, 4-trimethylbenzene, mineral spirits, kerosene, isobutylbenzene, methylnaphthalene, ethyltoluene, ligroin, and the like. Particularly preferred solvents include, but are not limited to, pentane, hexane, heptane, Cyclohexane, benzene, toluene, xylene and mixtures or combinations thereof.
다른 예상되는 구현예에서, 상기 용제 또는 용제 혼합물은 아세톤, 3-펜탄온, 디에틸 케톤, 메틸에틸 케톤 등과 같은 케톤류, 알콜, 케톤, 에스테르, 에테르 및 아민과 같이 탄화수소 용제 계열의 화합물 중 일부로 여겨지지 않는 용제가 포함될 수 있다. 또 다른 예상되는 구현예에 있어서, 상기 용제 또는 용제 혼합물은 본 명세서에 언급된 임의의 용제들의 조합을 포함할 수 있다. 일부 구현예에서 상기 용제는 물, 에탄올, 프로판올, 아세톤, 에틸렌 산화물, 벤젠, 톨루엔, 에테르, 사이클로헥사논, 부티롤아세톤, 메틸에틸케톤 및 아니솔을 포함한다.In other anticipated embodiments, the solvent or solvent mixture is considered part of a hydrocarbon solvent family of compounds such as ketones such as acetone, 3-pentanone, diethyl ketone, methylethyl ketone, and the like, alcohols, ketones, esters, ethers and amines. Unsupported solvents may be included. In another expected embodiment, the solvent or solvent mixture may comprise a combination of any of the solvents mentioned herein. In some embodiments the solvent comprises water, ethanol, propanol, acetone, ethylene oxide, benzene, toluene, ether, cyclohexanone, butyrolacetone, methylethylketone and anisole.
더 나아가 선택되는 낮은 유전 상수 물질은 또한, 부가적인 성분을 포함할 수 있을 것으로 여겨진다. 예를 들면, 상기 낮은 유전 상수 물질이 기계 응력에 노출된 경우, 연화제 또는 다른 보호제가 첨가될 수 있다. 다른 경우에 유전체 물질이 부드러운 표면에 놓여지는 경우에는 접착력 증진제가 유용하게 채택될 수 있다. 또 다른 경우에는 세정제 또는 항발포제가 바람직할 수 있다. 일반적으로 상기 형태의 전구체, 예를 들면 하나 이상의 제거 가능한 용제를 포함하는 스핀-온-유리 조성물이 이러한 방법으로 기판에 적용되고, 중합된 다음, 용제 제거를 수행하여 나노미터-크기의 구성을 포함하는 유전체 필름이 제조된다. It is further contemplated that the low dielectric constant material selected may also include additional components. For example, when the low dielectric constant material is exposed to mechanical stress, softeners or other protective agents may be added. In other cases, adhesion promoters may be usefully employed when the dielectric material is placed on a smooth surface. In other cases, detergents or anti-foaming agents may be preferred. Generally a spin-on-glass composition comprising a precursor of this type, for example one or more removable solvents, is applied to the substrate in this manner, polymerized and then subjected to solvent removal to include nanometer-sized configurations. A dielectric film is produced.
이러한 나노다공성 필름을 형성할 때, 예를 들면, 전구체가 스핀 코팅에 의해 기판에 적용되고, 상기 필름 코팅이 일반적으로 산 또는 염기 촉매 및 물과 함께 촉매화되고, 초기 가열 단계 동안 중합/겔화("숙성")된다. 그런 후에 상기 필름에 예를 들면, 하나 이상의 고온 가열 단계, 특히 남아있는 용제를 제거하고 중합 공정을 완료하기 위한 가열 단계를 필요한 만큼 수행하여, 필름을 경화한다. 자외선, 전자빔, 마이크로웨이브 에너지 등과 같은 방사선 에너지를 필름에 조사하는 다른 경화 방법도 포함된다. When forming such a nanoporous film, for example, a precursor is applied to the substrate by spin coating, the film coating is generally catalyzed with an acid or base catalyst and water, and polymerized / gelled during the initial heating step ( "Aging"). The film is then cured, for example, by performing one or more high temperature heating steps, especially heating steps to remove the remaining solvent and to complete the polymerization process. Other curing methods for irradiating the film with radiation energy, such as ultraviolet light, electron beams, microwave energy, and the like, are also included.
본 명세서에 참조로 편입된 미국 공동 특허 6,204,202 및 6,413,882는 실리콘-기초 전구체 조성물 및 상기 전구체 조성물 내에 존재하는 하나 이상의 폴리머 또는 올리고머를 분해 또는 증기화하여 나노 다공 실리카 유전 필름을 형성하는 방법을 제공한다. 미국 공동특허 6,495,479는 실리콘-기초 전구체 조성물 및 상기 전구체 조성물 내에 존재하는 하나 이상의 화합물 또는 폴리머를 분해 또는 증기화하여 나노다공 실리카 유전 필름을 제조하는 방법을 제공한다. 미국특허 5,895,263는 분해 가능한 고분자 및 유기 폴리실리카, 즉 응축 또는 중합된 실리콘 고분자를 포함하는 조성물을 적용하고, 상기 폴리실리카를 더 응축시키기 위해 상기 조성물을 가열하고, 상기 분해 가능한 고분자를 분해시켜 다공성 유전층을 형성함으로써, 기판, 예를 들면 웨이퍼 위에 나노 다공성 실리카 유전체 필름을 형성하는 것에 대해 기재되어 있다.US co-patents 6,204,202 and 6,413,882, incorporated herein by reference, provide methods for decomposing or vaporizing a silicon-based precursor composition and one or more polymers or oligomers present in the precursor composition to form nanoporous silica dielectric films. U.S. Patent 6,495,479 provides a process for preparing nanoporous silica dielectric films by decomposing or vaporizing a silicon-based precursor composition and one or more compounds or polymers present in the precursor composition. U.S. Patent 5,895,263 applies a composition comprising a decomposable polymer and an organic polysilica, i.e., a condensed or polymerized silicone polymer, and heats the composition to further condense the polysilica, and decomposes the degradable polymer to form a porous dielectric layer. Forming a nanoparticle is described for forming a nanoporous silica dielectric film on a substrate, such as a wafer.
숙성, 경화, 평탄화 및 필름에 소수성 부여하는 기판에 전구체를 적용하기 위한 공정들이 다른 특허들, 예를 들면, 미국 공동 특허 6,589,889 및 6,037,275에 기재되어 있다. 본 발명에서 예상되는 기판 및 웨이퍼에는 임의의 바람직한 실질적으로 고체인 물질이 포함될 수 있다. 특히 바람직한 기판 층에는 필름, 유리, 세라믹, 플라스틱, 금속 또는 코팅된 금속 또는 혼합 물질이 포함된다. 바람직한 구현예에서 상기 기판은 실리콘 또는 게르마륨 비소화물 다이 또는 웨이퍼 표면, 구리, 은, 니켈 또는 금도금 납틀 내에서 발견되는 것과 같은 패키징(packaging) 표면, 집적회로 또는 패키지 상호접속선 내에서 발견되는 것과 같은 구리 표면, 비아-벽(via-wall) 또는 보강재 계면("구리"는 순수 구리 및 그 산화에 대한 고려를 포함한다), 폴리이미드-기초 플럭스 패키지에서 발견되는 것과 같은 폴리머-기초 패키지 또는 판 계면, 납 또는 다른 금속 합금 솔더 볼 표면, 유리 및 폴리이미드와 같은 고분자를 포함한다. 상기 "기판"은 접착력 있는 계면을 고려할 경우, 또 다른 고분자 사슬로 한정될 수 있다. 보다 바람직한 구현예에서, 상기 기판은 실리콘, 구리, 유리 및 다른 고분자와 같은 패키징 및 집적 회로 분야에서 일반적인 물질들을 포함한다.Processes for applying precursors to substrates that age, cure, planarize and impart hydrophobicity to the film are described in other patents, such as US Pat. Nos. 6,589,889 and 6,037,275. Substrates and wafers contemplated by the present invention may include any desired substantially solid material. Particularly preferred substrate layers include films, glass, ceramics, plastics, metals or coated metals or mixed materials. In a preferred embodiment the substrate is one found in a silicon or germanium arsenide die or wafer surface, a packaging surface such as that found in a copper, silver, nickel or gold plated solder, an integrated circuit or package interconnect. Same copper surface, via-wall or reinforcement interface ("copper" includes consideration for pure copper and its oxidation), polymer-based packages or plates such as those found in polyimide-based flux packages Interfacial, lead or other metal alloy solder ball surfaces, and polymers such as glass and polyimide. The "substrate" may be limited to another polymer chain, considering the adhesive interface. In a more preferred embodiment, the substrate comprises materials common in the field of packaging and integrated circuits such as silicon, copper, glass and other polymers.
PECVD에 의한 캡 필름 증착 공정 및 에칭 및 에싱을 이용한 패턴화에 의한 비아 및 트랜치 형성, 원자층 증착, 물리적 증기 증착 및 화학적 증기 증착 처리와 같은 후속하는 반도체 공정은 유기실리케이트 유리 유전체 필름으로부터 소수성 그룹인 탄소 함유 부분을 제거하고, 이를 실라놀 그룹으로 대체하는 경향이 있다. 유기실리케이트 유리 유전 필름이 실라놀 그룹을 포함하는 경우에 바람직하지 않은 특성들이 나타난다. 실라놀 및 그들이 공기 중에서 흡수할 수 있는 물은 전기장에서 높은 극성을 가질 수 있으며, 그 결과 필름의 유전 상수를 증가시키고, 습식 세정 화학 물질에 대한 저항성을 약화시키며, 휘발성을 증가시킨다. 또한, 트랜치 및 비아를 금속으로 채우고, 어닐링 처리를 할 때, 금속 수축이 비아 및 트랜치 벽에 압력을 유도하게 되고, 그 결과 비아 및/또는 트랜치 사이의 유전체 금속 내부에 바람직하지 않은 구멍이 형성된다. Cap film deposition processes by PECVD and subsequent semiconductor processes such as via and trench formation by patterning using etching and ashing, atomic layer deposition, physical vapor deposition, and chemical vapor deposition treatment are hydrophobic groups from organosilicate glass dielectric films. There is a tendency to remove the carbon containing moieties and replace them with silanol groups. Undesirable properties appear when the organosilicate glass dielectric film contains silanol groups. Silanol and the water they can absorb in the air can have a high polarity in the electric field, resulting in an increase in the dielectric constant of the film, weakening the resistance to wet cleaning chemicals, and increasing volatility. In addition, when filling trenches and vias with metal and annealing, metal shrinkage induces pressure on the vias and trench walls, resulting in undesirable holes in the dielectric metal between the vias and / or trenches. .
이러한 문제를 해결하기 위해서, 상기 유기실리케이트 유전 필름은 탄소 함유 부분을 회복하거나 유리실리케이트 유리 유전 필름의 소수성을 증가시키는 처리체로 처리함으로써, 실질적으로 실라놀 및 물이 없도록 제조된다. 이것은 어닐링 동안에 금속 수축에 의해 유도되는 것과 같은 비아 및 트랜치 벽에 대한 압력, 다른 유전층으로부터 오는 압력 및 패키징 동안의 압력에 대한 필름 저항성을 만들어 비아 및/또는 트랜치 사이의 유전 금속 내에서 바람직하지 않은 구멍이 형성되는 것을 방지한다. To solve this problem, the organosilicate dielectric film is made substantially free of silanol and water by treating the carbon containing portion with a treatment that increases the hydrophobicity of the glass silicate glass dielectric film. This makes film resistance to vias and trench walls, such as induced by metal shrinkage during annealing, pressure from other dielectric layers and pressure during packaging, which is undesirable in the dielectric metal between the vias and / or trenches. To prevent it from being formed.
에칭 및 플라즈마는 소수성 작용기를 제거한다. 반도체 제조 공정 동안 유기실리케이트 유리 유전 필름에 대한 손상은 트랜치와 비아를 식각하는 공격적인 플라즈마 및/또는 에칭 시약이 유전 필름 내부에 적용됨으로써 발생한다. 플라즈마는 또한 반도체 장치의 제작하는 동안 포토레지스트 필름을 제거하는 데에도 사용된다. 상기 플라즈마는 일반적으로 산소, 플루오르, 수소, 탄소, 아르곤, 헬륨 또는 질소로 이루어진다. (자유 원자, 화합물, 이온 및/또는 라디칼의 형태)Etching and plasma remove hydrophobic functional groups. Damage to the organosilicate glass dielectric film during the semiconductor manufacturing process is caused by the application of aggressive plasma and / or etching reagents to etch trenches and vias inside the dielectric film. Plasma is also used to remove photoresist films during fabrication of semiconductor devices. The plasma generally consists of oxygen, fluorine, hydrogen, carbon, argon, helium or nitrogen. (In the form of free atoms, compounds, ions and / or radicals)
트랜치, 비아, 에칭 및/또는 포토레지스트 제거 공정 동안에 이러한 플라즈마에 노출된 유전 필름은 쉽게 분해 또는 손상된다. 다공성 유전 필름은 넓은 표면적을 가지고 있으며, 그 결과 특히 플라즈마 손상에 취약하다. 특히, 유기 성분(Si 원자에 결합된 메틸기 같은 유기성분)을 가지고 있는 실리카-기초 유전 필름은 산소 플라즈마에 의해 쉽게 분해된다. 상기 유기기는 CO2로 산화되며, 이전에 유기기가 존재하였던 유전체 표면에는 실라놀 또는 Si-OH기가 남는다. 다공성 및 비-다공성 저 유전 상수 실리카 필름은 이러한 유기기(표면 상에 존재하는)에 의해 소수성이 유지된다. 이러한 소수성 손실에 의해 유전 상수가 증가한다.(상기 필름의 낮은 유전 상수는 이러한 물질에서 가장 중요한 특성이다.)Dielectric films exposed to such plasma during trench, via, etch and / or photoresist removal processes are readily degraded or damaged. Porous dielectric films have a large surface area and as a result are particularly vulnerable to plasma damage. In particular, silica-based dielectric films having organic components (organic components such as methyl groups bonded to Si atoms) are readily degraded by oxygen plasma. The organic group is oxidized to CO 2 , and silanol or Si—OH groups remain on the dielectric surface where the organic group previously existed. Porous and non-porous low dielectric constant silica films are maintained hydrophobic by these organic groups (existing on the surface). This hydrophobic loss increases the dielectric constant. (The low dielectric constant of the film is the most important property of these materials.)
IC 제조에서는 또한 트랜치 또는 비아 에칭 후에 남는 잔여물을 제거하기 위한 목적으로 습식 화학물질 처리가 사용된다. 상기 화학물질들은 종종 매우 공격적어서, 실라카 기초 필름, 특히 다공성 실리카 필름 내의 유기기들을 공격하고 제거할 수 있다. 이러한 손상으로 인해 상기 유전 필름은 또다시 소수성을 잃어버리게 된다. 습식 화학물질 식각액에는 예를 들면, N-메틸피롤리디논, 디메틸포름아미드, 디메틸아세트아미드와 같은 아미드; 에탄올 밀 2-프로판올과 같은 알코올; 에탄올 아민과 같은 알코올아민; 트리에틸아민과 같은 아민; 에틸렌디아민 및 N,N-디에틸에틸렌디아민과 같은 디아민; 디에틸렌트리아민과 같은 트리아민, 에틸렌디아민테트라아세트산"EDTA"과 같은 디아민산; 아세트산 및 포름산과 같은 유기산; 테트라메틸암모늄 아세테이트와 같은 유기산의 암모늄 염; 황산, 인산, 플루오르수소산과 같은 무기 산; 암모늄 플루오르와 같은 플루오르 염; 및 수산화 암모늄 및 수산화 테트라메틸 암모늄과 같은 염기; 및 히드록실 아민; EKC 505, 525, 450, 265, 270 및 630(EKC Corp., Hayward CA), 및 ACT-CMI 및 ACT-690(Ashland Chemical, Hayward, CA)로 불리는 당해 기술 분야에 알려진 몇몇 식각액과 같은 에칭 후 습식 세정에 사용되는 상업적으로 개발된 상품들이 포함된다. 에싱제들은 수소, 질소, 헬륨, 아르곤, 산소 및 이들로부터 파생된 혼합물 등으로부터 발생하는 플라즈마를 포함한다. In IC fabrication, wet chemical treatment is also used to remove residues left after trench or via etch. The chemicals are often very aggressive, capable of attacking and removing organic groups in silica-based films, especially porous silica films. This damage causes the dielectric film to lose hydrophobicity again. Wet chemical etchantes include, for example, amides such as N-methylpyrrolidinone, dimethylformamide, dimethylacetamide; Alcohols such as ethanol mill 2-propanol; Alcohol amines such as ethanol amine; Amines such as triethylamine; Diamines such as ethylenediamine and N, N-diethylethylenediamine; Triamines such as diethylenetriamine, diamine acids such as ethylenediaminetetraacetic acid "EDTA"; Organic acids such as acetic acid and formic acid; Ammonium salts of organic acids such as tetramethylammonium acetate; Inorganic acids such as sulfuric acid, phosphoric acid, hydrofluoric acid; Fluorine salts such as ammonium fluorine; And bases such as ammonium hydroxide and tetramethyl ammonium hydroxide; And hydroxyl amines; After etching such as EKC 505, 525, 450, 265, 270 and 630 (EKC Corp., Hayward CA), and some etchant known in the art called ACT-CMI and ACT-690 (Ashland Chemical, Hayward, CA) Commercially developed products used for wet cleaning are included. The ashing agents include plasma generated from hydrogen, nitrogen, helium, argon, oxygen and mixtures derived from them, and the like.
상기한 문제들을 해결하기 위해서, 본 발명은 반도체 제조 또는 IC 장치 공정 동안에 기판 상의 유기실리케이트 유리 유전 필름에 소수성을 부여하는 방법들을 제공한다.To solve the above problems, the present invention provides methods for imparting hydrophobicity to an organosilicate glass dielectric film on a substrate during semiconductor fabrication or IC device processing.
본 발명의 상기 방법들은 적어도 하나의 식각액 또는 에싱 시약을 적용한 후 상기 금속이 어닐링 처리되기 전에, 상기 유기실리케이트 유리 유기 필름의 적어도 일부 탄소 함유 부분을 복원하거나 상기 유기실리케이트 유리 유기 필름의 소수성을 증가시키는 유효 농도 및 유효 시간으로, 상기 유기실리케이트 유리 유기 필름과 처리제 조성물을 접촉하는 단계; 및 (b) 미반응 처리제 조성물, 반응 생성물 및 이들의 혼합물을 제거하는 단계를 포함한다. 상기 처리제 조성물은 손상된 실리카 유전 필름으로부터 실라놀 부분을 제거하기에 적합한 적어도 하나의 처리제, 즉, 화합물 또는 이들의 대전된 유도체를 포함한다. 그런 다음 선택적으로 상기 식각액에 손상된 실리카 유전 필름은 습식 세정 단계를 거친다. The methods of the invention restore at least some carbon containing portions of the organosilicate glass organic film or increase the hydrophobicity of the organosilicate glass organic film after applying at least one etchant or ashing reagent and before the metal is annealed. Contacting said organosilicate glass organic film and a treating composition at an effective concentration and an effective time; And (b) removing the unreacted treatment composition, the reaction product, and mixtures thereof. The treatment composition comprises at least one treatment agent, ie a compound or a charged derivative thereof, suitable for removing the silanol moiety from the damaged silica dielectric film. Then optionally the silica dielectric film damaged in the etchant is subjected to a wet cleaning step.
상기한 처리제 조성물 전부는 실리레이션을 통해 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분과, 산, 염기, 오늄 화합물, 탈수제 및 이들의 조합일 수 있는 활성화제를 포함한다. 상기 조성물들은 또한 선택적으로, 그러나 바람직하게 상기한 실리레이션을 통해 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분과 활성화제를 용해시킬 수 있는 선택된 용제 또는 주용제와 보조 용제의 혼합물을 포함한다. All of the above treating agent compositions include components capable of alkylating or arylating the silanol portion of the organosilicate glass dielectric film via silication, and activators which may be acids, bases, onium compounds, dehydrating agents, and combinations thereof. The compositions are also optionally, but preferably, selected from the selected solvents or main and auxiliary solvents capable of dissolving the components and activators capable of alkylating or arylating the silanol moiety of the organosilicate glass dielectric film via the silicides described above. Mixtures.
적합한 처리제 조성물은 소수성을 갖는 것이 바람직한 에칭 및/또는 에싱된 유기실리케이트 유리 유전 필름의 표면으로부터 실라놀 기를 제거할 수 있는 하나 이상의 처리제를 포함한다. 이들은 실란, 실라잔, 실라놀 또는 카르복시실릴일 수 있다. 예를 들면, 처리제는 다음 식을 갖는 화합물이다. Suitable treatment compositions include one or more treatment agents capable of removing silanol groups from the surface of the etched and / or ashed organosilicate glass dielectric film that preferably has hydrophobicity. These may be silane, silazane, silanol or carboxysilyl. For example, the treating agent is a compound having the following formula.
식 I (1-13) : (1)[-SiR2NR'-]n 이때 n >2 이고, 사이클일 수 있다; (2) R3SiNR'SiR3, (3)(R3Si)3N; (4)R3SiNR'2; (5)R2Si(NR')3; (7)RxSiCly; (8)RxSi(OH)y; (9)R3SiOSiR'3, (10) RxSi(OR')y, (11)RxSi(OCOR')y, (12)RxSiHy; (13)RxSi[OC(R')=R"]4-x 또는 이들의 조합.Formula I (1-13): (1) [-SiR 2 NR'-] n where n> 2 and may be a cycle; (2) R 3 SiNR'SiR 3 , (3) (R 3 Si) 3 N; (4) R 3 SiNR '2; (5) R 2 Si (NR ′) 3 ; (7) R x SiCl y ; (8) R x Si (OH) y ; (9) R 3 SiOSiR ' 3 , (10) R x Si (OR') y , (11) R x Si (OCOR ') y , (12) R x SiH y ; (13) R x Si [OC (R ') = R "] 4-x or a combination thereof.
이때 x는 1 내지 3의 범위의 정수이고, y는 y=4-x를 만족하는 1 내지 3의 범위의 정수이며, R은 각각 수소 및 소수성 유기 부분으로부터 독립적으로 선택된다. 상기 R 그룹은 독립적으로 알킬, 아릴 및 이들의 조합으로 이루어진 유기 부분인 것이 바람직하다. 상기 R' 그룹은 H, 알킬, 아릴, 또는 COR, CONR, CO2R과 같은 카르보닐일 수 있다. 상기 R" 그룸은 알킬 또는 COR, CONR, CO2R과 같은 카르보닐일 수 있다. Wherein x is an integer ranging from 1 to 3, y is an integer ranging from 1 to 3 satisfying y = 4-x, and R is each independently selected from hydrogen and hydrophobic organic moieties. The R group is preferably an organic moiety consisting of alkyl, aryl and combinations thereof. The R 'group may be H, alkyl, aryl, or carbonyl such as COR, CONR, CO 2 R. The R ″ group may be alkyl or carbonyl such as COR, CONR, CO 2 R.
모든 처리제에 있어서, 상기 반응성 실릴 기는, 이로써 제한되는 것은 아니지만, -Cl, -Br, -I, -OR, -NRx(이때 x=1), -OCOR, -OCO2R, -NRCOR, -NRCO2R, -NRCONR, -SR, -SO2R과 같은 가수분해가 가능한 이탈기(leaving gruop)을 포함하여야 한다. 처리제의 반응에 있어서, 처리제 적용 및 공정 동안 존재하는 수분으로 가수 분해가 발생할 수 있으며, 또는 제조 공정 동안 전-가수분해가 강제될 수도 있다. For all treatments, the reactive silyl group is, but is not limited to, -Cl, -Br, -I, -OR, -NRx (where x = 1), -OCOR, -OCO2R, -NRCOR, -NRCO 2 R And hydrolyzable leaving gruop such as -NRCONR, -SR, -SO 2 R. In the reaction of the treatment agent, hydrolysis may occur with moisture present during treatment agent application and processing, or pre-hydrolysis may be forced during the manufacturing process.
상기 알킬 부분은 관능기이거나 비-관능기일 수 있으며, 직쇄형 알킬, 가지형 알킬, 사이클릭 알킬 및 이들의 조합으로부터 유도된다. 또한, 여기서 상기 알킬 부분은 크기가 C1 내지 C18의 범위이다. 상기 관능화는 카르보닐, 할라이드, 아민, 알콜, 에테르, 술포닐 또는 술파이드일 수 있다. 상기 아릴 부분은 치환 또는 비-치환될 수 있으며, 크기는 약 C5 내지 C18의 범위에 있다. 상기 처리제는 아세톡시실란 또는 예를 들면 아세톡시실란, 디아세톡시실란, 트리아세톡시실란, 아세톡시트리메틸실란, 디아세톡시디메틸실란, 메틸트리아세톡시실란, 페닐트리아세톡시실란, 디페닐디아세톡시실란, 메틸트리에톡시실란, 디메틸디에톡시실란, 트리메틸에톡시실란, 메틸트리메톡시실란, 디메틸디메톡시실란, 트리메틸메톡시실란, 메틸트리클로로실란, 디메틸디클로로실란, 트리메틸클로로실란, 메틸실란, 디메틸실란, 트리메틸실란, 헥사메틸디실라잔, 헥사메틸사이클로트리실라잔, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 트리(디메틸아미노)메틸실란, 트리(디메틸아미노)페닐실란, 트리(디메틸아미노)실란, 디메틸실릴디포름아미드, 디메틸실릴디아세트아미드, 디메틸실릴디이소시아네이트, 트리메틸실릴트리이소시아네이트, 2-트리메틸실옥시펜트-2-엔-4-온, n-(트리메틸실릴)아세트아미드, 2-(트리메틸실릴)아세트산, n-(트리메틸실릴)이미다졸, 트리메틸실릴프로피올레이트, 트리메틸실릴(트리메틸실록시)-아세테이트, 노나메틸트리실라잔, 헥사메틸디실록산, 트리메틸실라놀, 트리에틸실라놀, 트리페닐실라놀, t-부틸디메틸실라놀, 디페닐실란디올, 트리메톡시실란, 트리에톡시실란, 트리클로로실란 및 이들의 조합과 같은 단량체 화합물이다. 주목할 만한 일 구현예에서, 상기 처리제는 메틸트리아세톡시실란이다. 바람직한 구현예에서 상기 처리제는 디메틸디아세톡시실란이다. The alkyl moiety may be a functional group or a non-functional group and is derived from straight chain alkyl, branched alkyl, cyclic alkyl and combinations thereof. In addition, the alkyl moiety here ranges in size from C 1 to C 18 . The functionalization may be carbonyl, halide, amine, alcohol, ether, sulfonyl or sulfide. The aryl moiety may be substituted or non-substituted and the size is in the range of about C 5 to C 18 . The treatment agent is acetoxysilane or, for example, acetoxysilane, diacetoxysilane, triacetoxysilane, acetoxytrimethylsilane, diacetoxydimethylsilane, methyltriacetoxysilane, phenyltriacetoxysilane, diphenyldia Cetoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, trimethylethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, trimethylmethoxysilane, methyltrichlorosilane, dimethyldichlorosilane, trimethylchlorosilane, methyl Silane, dimethylsilane, trimethylsilane, hexamethyldisilazane, hexamethylcyclotrisilazane, bis (dimethylamino) dimethylsilane, bis (diethylamino) dimethylsilane, tri (dimethylamino) methylsilane, tri (dimethylamino ) Phenylsilane, tri (dimethylamino) silane, dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyl diisocyanate, trimethylsilyl Triisocyanate, 2-trimethylsiloxypent-2-en-4-one, n- (trimethylsilyl) acetamide, 2- (trimethylsilyl) acetic acid, n- (trimethylsilyl) imidazole, trimethylsilylpropiolate, Trimethylsilyl (trimethylsiloxy) -acetate, nonamethyltrisilazane, hexamethyldisiloxane, trimethylsilanol, triethylsilanol, triphenylsilanol, t-butyldimethylsilanol, diphenylsilanediol, trimethoxy Monomer compounds such as silane, triethoxysilane, trichlorosilane and combinations thereof. In one notable embodiment, the treating agent is methyltriacetoxysilane. In a preferred embodiment the treating agent is dimethyldiacetoxysilane.
부가적인 처리제들은, 본 명세서에 상기한 바와 같이 참조로 편입된 미국 특허 6,208,014호에 자세히 개시된 다-관능기 표면 개질제를 포함한다. 이런 다-관능기 표면 개질제는 증기 또는 액체 형태로 적용될 수 있으며, 선택적으로 보조 용제를 포함할 수도 있고, 포함하지 않을 수도 있다.Additional treatments include multi-functional surface modifiers disclosed in detail in US Pat. No. 6,208,014, which is incorporated herein by reference. Such multi-functional surface modifiers may be applied in vapor or liquid form, optionally with or without an auxiliary solvent.
예를 들면, 미국특허 6,208,014에 구체적으로 기재된 바와 같이, 어떤 바람직한 표면 개질제는 둘 이상의 관능기를 가질 수 있고, 상기 필름의 구조틀 외부에 존재하는 질량을 최소화하는 동안, 표면 실라놀 관능기와 반응할 수 있으며, 예를 들면 RxSi(OH2)4-x와 같은 적합한 실라놀로 응축될 수 있는 표면 실라놀을 포함한다. For example, as specifically described in US Pat. No. 6,208,014, certain preferred surface modifiers may have two or more functional groups, and may react with surface silanol functional groups while minimizing the mass present outside the framework of the film. Surface silanol, which may be condensed with a suitable silanol such as, for example, R x Si (OH 2 ) 4-x .
이때 x = 1-3이고, R은 각각 H 및/또는 알킬, 아릴, 또는 이들의 유도체와 같은 유기 부분과 같은 부분들로부터 독립적으로 선택된다. R이 알킬일 때, 상기 알킬 부분은 선택적으로 치환 또는 비치환될 수 있으며, 직쇄형, 가지형 또는 사이클일 수 있고, 크기가 C1 내지 약 C18 또는 그 이상 범위인 것이 바람직하며, C1 내지 C8의 범위인 것이 보다 더 바람직하다. R이 아릴인 경우, 상기 아릴 부분은 선택적으로 치환 또는 미치환되며, C5 내지 C18 이상인 단일 아로마틱 고리로 이루어지는 것이 바람직하며, C5 내지 C8 인 것이 더 바람직하다. 또 다른 선택에 있어서, 상기 아릴 부분은 헤테로 아릴이다. Where x = 1-3 and R are each independently selected from moieties such as H and / or organic moieties such as alkyl, aryl, or derivatives thereof. When R is alkyl, the alkyl moiety may be optionally substituted or unsubstituted, may be straight chain, branched, or cycle, preferably in the range of C 1 to about C 18 or more, and C 1 It is even more preferable that it is the range of C- 8 . When R is aryl, the aryl moiety is optionally substituted or unsubstituted, and C 5 It preferably consists of a single aromatic ring having from C 18 to at least C, more preferably C 5 to C 8 . In another option, the aryl moiety is hetero aryl.
또 다른 구현예에서, RxSi(OR')4-x와 같은 알콕시 실란을 처리제로 사용할 수 있다. 여기서, R은 각각 H 및/또는 알킬, 아릴, 또는 이들의 유도체와 같은 유기 부분과 같은 부분들로부터 독립적으로 선택된다; R'은 아킬 또는 아릴 부분들로부터 독립적으로 선택된다. R 또는 R'이 알킬인 경우, 상기 알킬 부분은 선택적으로 치환되거나 또는 비치환되며, 직쇄형, 가지형 또는 사이클일 수 있고, 크기가 C1 내지 약 C18 또는 그 이상 범위인 것이 바람직하며, C1 내지 C8의 범위인 것이 보다 더 바람직하다. R 또는 R'이 아릴인 경우, 상기 아릴 부분은 선택적으로 치환 또는 미치환되며, C5 내지 C18 이상인 단일 아로마틱 고리로 이루어지는 것이 바람직하며, C5 내지 C8 인 것이 더 바람직하다. 또 다른 선택에 있어서, 상기 아릴 부분은 헤테로 아릴이다. 따라서, 상기 R 그룹은 적어도 하나의 R이 유기기라는 전제 하에 H, 메틸, 에틸, 프로필, 페닐, 및/또는 이들의 유도체로부터 독립적으로 선택된다. 일 구현예에서, 두 개의 R이 메틸이고, 3-관능기 표면 개질제는 메틸트리메톡시실란이다.In another embodiment, an alkoxy silane such as RxSi (OR ') 4-x may be used as the treatment agent. Wherein R is each independently selected from moieties such as H and / or organic moieties such as alkyl, aryl, or derivatives thereof; R 'is independently selected from alkyl or aryl moieties. When R or R 'is alkyl, the alkyl moiety is optionally substituted or unsubstituted, can be straight chain, branched or cycle, preferably in the range of C 1 to about C 18 or more, Even more preferred is the range of C 1 to C 8 . When R or R 'is aryl, the aryl moiety is optionally substituted or unsubstituted, and C 5 It preferably consists of a single aromatic ring having from C 18 to at least C, more preferably C 5 to C 8 . In another option, the aryl moiety is hetero aryl. Thus, the R groups are independently selected from H, methyl, ethyl, propyl, phenyl, and / or derivatives thereof provided that at least one R is an organic group. In one embodiment, two R are methyl and the trifunctional surface modifier is methyltrimethoxysilane.
다른 구현예에서, 본 발명에 의한 적합한 실란은 일반식 RxSi(NR2)4-x을 갖는다. 이때 X = 1-3이고, R은 독립적으로 H, 알킬 및/또는 아릴이다. 임의의 R이 알킬 및/또는 아릴이다. 바람직한 구현예에서, R은 H, CH3, C6H5로부터 선택되고, R2 및 R3는 모두 CH3이다. 따라서, 3-관능기 처리제는, 예를 들면 tris(디메틸아미노)메틸실란, tris(디메틸아미노)페닐실란 및/또는 tris(디메틸아미노)실란을 포함할 수 있다. 또한, 헥사메틸사이클로트리실라잔, 비스디메틸아미노디메틸실란 및 비스디에틸아미노디메틸실란과 같은 이치환된 실란이 사용될 수도 있다. In another embodiment, suitable silanes according to the invention have the general formula R x Si (NR 2 ) 4-x . Wherein X = 1-3 and R is independently H, alkyl and / or aryl. Any R is alkyl and / or aryl. In a preferred embodiment, R is selected from H, CH 3 , C 6 H 5 and R 2 and R 3 are both CH 3 . Thus, the trifunctional treatment agent may include, for example, tris (dimethylamino) methylsilane, tris (dimethylamino) phenylsilane and / or tris (dimethylamino) silane. In addition, disubstituted silanes such as hexamethylcyclotrisilazane, bisdimethylaminodimethylsilane and bisdiethylaminodimethylsilane may also be used.
또 다른 구현예에서는, 본 발명에 의한 적합한 실란이 RxSi(ON=CR2)4-x 또는 RxSi[OCOR')=R"]4-x의 일반식을 갖는다. 여기서 x = 1-3이고, 상기 R은 독립적으로 H, 알킬, 및/또는 아릴이며, R"은 알킬 또는 카르보닐이다. 따라서, 개질제는 예를 들면, 각각 메틸트리스(메틸에틸케톡심)실란 또는 2-트리메틸실옥시펜트-2-엔-4-온이다.In another embodiment, suitable silanes according to the invention have the general formula R x Si (ON = CR 2 ) 4-x or R x Si [OCOR ') = R "] 4-x , wherein x = 1 -3, R is independently H, alkyl, and / or aryl, and R "is alkyl or carbonyl. Thus, the modifier is, for example, methyltris (methylethylketoxime) silane or 2-trimethylsiloxypent-2-en-4-one, respectively.
또 다른 구현예에서, 본 발명에 의한 적합한 실란은 RxSi(NCOR2)4-x 또는 RxSi(NCO)4-x 의 일반식을 갖는다. 여기서 x= 1-3이고, R그룹은 독립적으로 H, 알킬, 및/또는 아릴이다. 따라서, 표면 개질제는, 예를 들면, 디메틸실릴디포름아미드, 디메틸실릴디아세트아미드, 디메틸실릴디이소시아네이트, 트리메틸실릴트리이소시아네이트를 포함한다.In another embodiment, suitable silanes according to the invention have the general formula of R x Si (NCOR 2 ) 4-x or R x Si (NCO) 4-x . Wherein x = 1-3 and the R group is independently H, alkyl, and / or aryl. Thus, surface modifiers include, for example, dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyldiisocyanate, trimethylsilyltriisocyanate.
또 다른 구현예에서, 본 발명에 의한 적합한 실란은 RxSiCl4 -x의 일반식을 갖는다. 여기서 x=1-3이고, R은 수소, 알킬 또는 아릴이다. 바람직한 구현에에서, Rx는 CH3이다. 따라서, 3-관능기 표면 개질제는 예를 들면, 메틸트리클로로실란을 포함한다. In another embodiment, suitable silanes according to the invention have the general formula of R x SiCl 4 -x . Wherein x = 1-3 and R is hydrogen, alkyl or aryl. In a preferred embodiment, R x is CH 3 . Thus, trifunctional surface modifiers include, for example, methyltrichlorosilane.
보다 더 바람직한 구현예에서, 상기 처리제는 다음의 일반식을 갖는 유기아세톡시실란을 하나 이상 포함한다. In a still more preferred embodiment, the treating agent comprises at least one organoacetoxysilane having the general formula
(R1)xSi(OCOR2)y (R1) x Si (OCOR 2 ) y
x는 1 내지 2의 값의 범위에 있는 정수이고, x 및 y는 같거나, 다를 수 있으며, y는 약 2 내지 3 이상의 범위에 있는 정수이다.x is an integer in the range of 1 to 2, x and y may be the same or different, and y is an integer in the range of about 2 to 3 or more.
다-관능기 알킬아세톡시실란 및/또는 아릴아세톡시실란 화합물을 포함하는 유용한 유기아세톡시실란들은 예로써 간단하게 제한없이 얘기하면, 메틸트리아세톡시실란("MTAS"), 디메틸디아세톡시실란("DMDAS"), 페닐트리아세톡시실란 및 디페닐디아세톡시실란 및 이들의 조합을 포함한다. Useful organoacetoxysilanes, including multi-functional alkylacetoxysilanes and / or arylacetoxysilane compounds, are by way of example simply and without limitation, methyltriacetoxysilane (“MTAS”), dimethyldiacetoxysilane ( "DMDAS"), phenyltriacetoxysilane and diphenyldiacetoxysilane and combinations thereof.
실리레이션을 통해 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분은 처리제 내에서 약 0.1 중량% 내지 100 중량%로 존재하고, 보다 효율적으로는 약 0.1 중량% 내지 50 중량%로, 가장 효율적으로는 3중량% 내지 30중량%로 존재한다. The component capable of alkylating or arylating the silanol portion of the organosilicate glass dielectric film via silicide is present in the treatment agent at about 0.1% to 100% by weight, more efficiently at about 0.1% to 50% by weight. Most efficiently, 3% to 30% by weight.
활성화제를 함유한 처리제 조성물은 산, 염기, 오늄 화합물, 탈수제, 수산화물 또는 이들의 조합일 수 있는 활성화제일 수 있다. 유용한 활성화제는 아민, 암모늄 화합물, 포스포늄 화합물, 술포늄 화합물, 이오도늄 화합물, 하이드록사이드, 알콕사이드, 산 할라이드, 실라놀레이트, 아민 염 및 이들의 조합을 포함한다. 알킬 아민, 아릴 아민, 알콜 아민 및 이들의 혼합물일 수 있는 활성화제가 포함될 수 있으며, 이들은 적합하게는 약 100℃ 이상, 통상적으로는 약 125℃이상이고, 보다 일반적으로는 150 ℃이상인 끓는 점을 갖는다. 유용한 산 활성화제는 비-배타적으로 염화 수소산, 황산, 질산, 붕산, 에틸 황산, 클로로술포릭 산, 포스포니트릴 클로라이드, 염화철, 염화 아연, 염화 주석, 염화 암모늄, 트리플루오르 붕소, 메탄술폰닉 산, 트리플루오로메탄술폰닉 산, 염화 철 헥사하이드레이트 또는 이들의 조합이 포함된다. The treating agent composition containing the activator may be an activator which may be an acid, a base, an onium compound, a dehydrating agent, a hydroxide or a combination thereof. Useful activators include amines, ammonium compounds, phosphonium compounds, sulfonium compounds, iodonium compounds, hydroxides, alkoxides, acid halides, silanolates, amine salts, and combinations thereof. Activators which may be alkyl amines, aryl amines, alcohol amines, and mixtures thereof, may suitably have a boiling point of at least about 100 ° C., typically at least about 125 ° C., and more generally at least 150 ° C. . Useful acid activators are non-exclusively hydrochloric acid, sulfuric acid, nitric acid, boric acid, ethyl sulfuric acid, chlorosulfonic acid, phosphonitrile chloride, iron chloride, zinc chloride, tin chloride, ammonium chloride, trifluoroboron, methanesulfonic acid , Trifluoromethanesulfonic acid, iron chloride hexahydrate or combinations thereof.
탈수제인 유용한 활성화제는 비-배타적으로 포스포러스 할라이드, 포스포러스 펜톡사이드, 페닐포스포닉 디클로라이드 및 페닐 포스포로디클로리데이트 및 이들의 조합을 포함한다.Useful activators which are dehydrating agents non-exclusively include phosphorus halides, phosphorus pentoxides, phenylphosphonic dichlorides and phenyl phosphorodichloridates and combinations thereof.
유용한 아민 활성화제는 1급 아민, 2급 아민, 3금 아민, 암모니아 및 4금 암모니아 염을 포함한다. 모노에탄올아민, 디에탄올아민, 트리에탄올 아민, 모노이소프로판올아민, 테트라에틸렌펜타민, 2-(2-아미노에톡시)에탄올; 2-(2-아미노에틸아미노)에탄올 및 이들의 조합이 유용한 아민들이다. Useful amine activators include primary amines, secondary amines, tertiary amines, ammonia and quaternary ammonia salts. Monoethanolamine, diethanolamine, triethanol amine, monoisopropanolamine, tetraethylenepentamine, 2- (2-aminoethoxy) ethanol; 2- (2-aminoethylamino) ethanol and combinations thereof are useful amines.
본 발명의 바람직한 구현예에서, 상기 활성화제는 테트라메닐암모늄 아세테이트, 테트라부틸암모늄 아세테이트 또는 이들의 조합을 포함한다. 다른 활성화제들은 수산화나트륨, 수산화 세슘, 수산화칼륨, 수산화리튬 및 수산화 암모늄을 포함한다. 상기 활성화제는 일반적으로 처리제 내에서 약 0.0001중량% 내지 약 10중량%로 존재하며, 보다 일반적으로는 약 0.001 중량% 내지 약 1 중량%로 존재하고, 가장 일반적으로는 약 0.01 중량% 내지 0.1 중량%로 존재한다.In a preferred embodiment of the invention, the activator comprises tetramenylammonium acetate, tetrabutylammonium acetate or a combination thereof. Other activators include sodium hydroxide, cesium hydroxide, potassium hydroxide, lithium hydroxide and ammonium hydroxide. The activator is generally present in the treatment agent at about 0.0001% to about 10% by weight, more typically at about 0.001% to about 1% by weight, and most typically at about 0.01% to 0.1% by weight. Exists in%
상기 처리제 조성물은 실리레이션을 통해 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분 및 활성화제를 용해시킬 수 있는 용제를 포함한다.The treatment composition includes a component capable of dissolving an alkylating or arylating silanol portion of the organosilicate glass dielectric film through a silicide and a solvent capable of dissolving an activator.
일 구현예에서, 상기 용제는 용제 또는 주 용제 및 보조 용제의 혼합물을 포함하며, 상기 혼합물은 실리레이션을 통해 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분 및 활성화제를 용해시키고; 상기 보조 용제는 주 용제보다 높은 증기압 및/또는 끓는 점을 갖는다. 일 구현예에서, 상기 주 용제는 약 100℃ 내지 300℃의 끓는 점을 가지며, 바람직하게는 약 110℃ 내지 약250℃, 보다 더 바람직하게는 약 130℃ 내지 약 180℃의 끓는 점을 갖는다. 일 구현예에서, 상기 보조 용제는 주 용제보다 약 1℃ 내지 약 100℃ 높은 끓는 점을 갖는다. 다른 구현예에서, 상기 보조 용제는 주 용제보다 약 10℃ 내지 70℃ 높은 끓는 점을 갖는다. 또 다른 구현예에서, 상기 보조 용제는 주 용제보다 약 20℃ 내지 50℃ 높은 끓는 점을 갖는다. In one embodiment, the solvent comprises a solvent or a mixture of primary and auxiliary solvents, the mixture dissolving components and activators capable of alkylating or arylating the silanol portion of the organosilicate glass dielectric film through silicidation. To; The auxiliary solvent has a higher vapor pressure and / or boiling point than the main solvent. In one embodiment, the main solvent has a boiling point of about 100 ° C to 300 ° C, preferably about 110 ° C to about 250 ° C, even more preferably about 130 ° C to about 180 ° C. In one embodiment, the auxiliary solvent has a boiling point of about 1 ° C. to about 100 ° C. higher than the main solvent. In another embodiment, the auxiliary solvent has a boiling point about 10 ° C. to 70 ° C. higher than the main solvent. In another embodiment, the auxiliary solvent has a boiling point of about 20 ° C. to 50 ° C. higher than the main solvent.
상기 용제들 및 주 용제는 하나 이상의 케톤, 에테르, 에스테르, 하이드로카본, 알코올, 카르복시산, 아민, 아미드 및 이들의 조합일 수 있다. 유용한 주 용제에는 비-배타적으로 3-펜탄온, 2-헵탄온, 감마부티롤아세톤, 프로필렌 글리콜메틸 에테르 아세테이트, 아세트 산 및 이들의 조합이 포함된다.The solvents and main solvents may be one or more ketones, ethers, esters, hydrocarbons, alcohols, carboxylic acids, amines, amides and combinations thereof. Useful main solvents non-exclusively include 3-pentanone, 2-heptanone, gammabutyrolacetone, propylene glycolmethyl ether acetate, acetic acid and combinations thereof.
용제들 및 보조 용제는 에틸 아세토아세테이트, 메틸 아세토아세테이트, t-부틸 아세토아세테이트, 2-메톡시에틸 아세토아세테이트, 알릴 아세토아세테이트, 벤질 아세토아세테이트, 노닐 아세테이트, 2-(2-부톡시에톡시)에틸 아세테이트, 펜틸 아세테이트, 2-부톡시에틸 아세테이트, 2-에틸헥실아세테이트, 알파-메틸벤질 아세테이트, 디메틸술폭사이드, N-메틸-N-메톡시아세트아미드, N,N-디에틸-2-페닐아세트아미드, N,N-디메틸아세트아미드, N,N-디에틸아세트아미드, N,N-디페닐아세트아미드, N,N-디메티프로피온아미드, N,N-디메틸이소부티르아미드, 1,2-디클로로벤젠, 클로로톨루엔, 1-헥산올, 2-에틸-1-헥산올, 5-메틸-1-헥산올, 6-페닐-1-헥산올, 1-헵탄올, 2-헵탄올, 4-헵탄올, 4-메틸-3-헵탄올, 6-메틸-2-헵탄올, 2,6-디메틸헵탄올, 1-옥탄올 또는 이들의 조합일 수 있다. 상기 보조 용제는 에틸아세토아세테이트, 디메틸술폭사이드, 1-헥산올 또는 이들의 조합을 포함하는 것이 바람직하다. 상기 주 용제는 혼합물 내에서 혼화 가능한 혼합물의 약 0.1 내지 약 99.9 중량%의 양으로 존재하는 것이 바람직하며, 약 50 내지 99 중량%의 양으로 존재하는 것이 더 바람직하고,약 70 내지 97 중량%의 양으로 존재하는 것이 보다 더 바람직하다. 상기 보조 용제는 바람직하게는 혼합물 내에서, 혼화 가능한 혼합물의 약 0.1 내지 99.9 중량%의 양으로 존재하며, 더 바람직하게는 혼화 가능한 혼합물의 약 0.5 내지 50 중량%로, 보다 더 바람직하게는 혼화 가능한 혼합물의 약 1 내지 30 중량%로 존재한다. Solvents and auxiliary solvents are ethyl acetoacetate, methyl acetoacetate, t-butyl acetoacetate, 2-methoxyethyl acetoacetate, allyl acetoacetate, benzyl acetoacetate, nonyl acetate, 2- (2-butoxyethoxy) ethyl Acetate, pentyl acetate, 2-butoxyethyl acetate, 2-ethylhexyl acetate, alpha-methylbenzyl acetate, dimethyl sulfoxide, N-methyl-N-methoxyacetamide, N, N-diethyl-2-phenylacet Amide, N, N-dimethylacetamide, N, N-diethylacetamide, N, N-diphenylacetamide, N, N-dimethypropionamide, N, N-dimethylisobutyamide, 1,2- Dichlorobenzene, chlorotoluene, 1-hexanol, 2-ethyl-1-hexanol, 5-methyl-1-hexanol, 6-phenyl-1-hexanol, 1-heptanol, 2-heptanol, 4- Heptanol, 4-methyl-3-heptanol, 6-methyl-2-heptanol, 2,6-dimethylheptanol, 1-octanol or combinations thereof . The auxiliary solvent preferably contains ethyl acetoacetate, dimethyl sulfoxide, 1-hexanol or a combination thereof. The main solvent is preferably present in an amount of about 0.1 to about 99.9% by weight of the miscible mixture in the mixture, more preferably in an amount of about 50 to 99% by weight, and about 70 to 97% by weight of It is even more preferred to be present in amount. The auxiliary solvent is preferably present in the mixture in an amount from about 0.1 to 99.9% by weight of the miscible mixture, more preferably from about 0.5 to 50% by weight of the miscible mixture, even more preferably miscible. Present in about 1 to 30% by weight of the mixture.
처리제 조성물 내에 존재하는 용제의 총량은 약 0.1 내지 99.9 중량%이며, 보다 일반적으로는 50중량% 내지 99중량%이고, 가장 일반적으로는 70 중량% 내지 97중량%이다. 본 발명의 또 다른 구현예에서, 상기 처리제 조성물은 초임계 이산화탄소와 같은 초임계 용제를 포함한다. The total amount of solvent present in the treating agent composition is about 0.1 to 99.9% by weight, more generally 50% to 99% by weight, most generally 70% to 97% by weight. In another embodiment of the present invention, the treatment composition includes a supercritical solvent, such as supercritical carbon dioxide.
선택적으로, 상기 처리제는 구리와 킬레이트된 부식 방지제와 같은 부식 방지제를 포함한다. 이러한 것들에는 벤조트리아졸, 톨리일트리아졸, 및 이들의 조합을 포함할 수 있다. 상기 부식 방지제는, 채택되었을 때, 일반적으로 처리제 내에서 약 0.001 중량% 내지 약 10중량%의 양으로, 보다 일반적으로는 약 0.01 중량% 내지 5중량%로, 가장 일반적으로는 약 0.2 중량% 내지 약 1중량%로 존재한다. Optionally, the treating agent includes a corrosion inhibitor such as copper and chelated corrosion inhibitor. These may include benzotriazoles, tolyltriazoles, and combinations thereof. The corrosion inhibitor, when employed, is generally in an amount from about 0.001% to about 10% by weight, more typically from about 0.01% to 5% by weight, most typically from about 0.2% to Present in about 1% by weight.
상기 처리제 조성물은 선택된 성분들을 혼합물로 혼합함으로써 제조된다. 상기 처리제 조성물은 손상된 실리카 유전 필름에 액체, 증기 또는 기체 및/또는 플라즈마로 접촉된다. 만일 플라즈마 형태라면, 상기 플라즈마는 실란 화합물, 탄화 수소, 알데하이드, 에스테르, 에테르 및/또는 이들의 조합으로부터 유도될 수 있다. 여기서 "제(agent)" 또는 "제들(agents)"라는 용어는 다른 지적이 없는 한 "시약" 또는 "시약들"과 동일한 것으로 여겨져야 한다. 선택적으로, 상기 처리는 미반응 처리제 조성물, 반응 생성물 및 이들의 혼합물을 제거하는 후속 공정 및/또는 유기실리케이트 유리 유전 필름 소수성을 증가시키는 후속 가열 단계를 포함한다. The treatment composition is prepared by mixing the selected ingredients into a mixture. The treatment composition is contacted with the damaged silica dielectric film by liquid, vapor or gas and / or plasma. If in plasma form, the plasma may be derived from silane compounds, hydrocarbons, aldehydes, esters, ethers and / or combinations thereof. The term "agent" or "agents" herein should be considered to be the same as "reagent" or "agents" unless otherwise indicated. Optionally, the treatment comprises a subsequent process of removing the unreacted treatment composition, the reaction product and mixtures thereof and / or a subsequent heating step to increase the organosilicate glass dielectric film hydrophobicity.
다른 구현예에서, AP395 또는 희석된 HF와 같은 화학 물질을 이용한 습식 세정 공정이 상기한 구현예에서의 소성 단계 후에 수행된다. 상기 습식 세정은 에시 이후에 남아 있는 임의의 레지스트 잔여물을 제거하는데 유용하다. 에칭 및 에시 후에 미처리된 낮은 k 유전 물질은 습식 세정제에 의해 공격당하기 쉽다. 상기 처리제 처리는 습식 세정액에 대한 공격에 대한 낮은 k 유전체의 저항성을 상당히 향상시킨다. In another embodiment, a wet cleaning process using a chemical such as AP395 or diluted HF is performed after the firing step in the above embodiments. The wet clean is useful to remove any resist residues remaining after the ash. Untreated low k dielectric materials after etching and ash are susceptible to attack by the wet cleaner. The treatment treatment significantly improves the resistance of the low k dielectric to attack on wet cleaning liquids.
공정의 흐름에 따라, 처리제 처리 동안에 특히, 비아의 바닥에서 구리 표면이 노출된다. 또한, 습식 세정은 구리 표면으로부터 자연발생 산화층을 제거하며, 또한 처리제와 노출된 구리 표면 사이의 어떠한 반응 생성물도 제거한다. 특히 AP395를 사용하는 습식 세정은 이전에 DMDAS를 이용한 처리제 처리에 노출된 구리 (또는 적합한 금속 또는 금속 합금) 표면을 세정할 수 있다. As the process flows, the copper surface is exposed during treatment treatment, especially at the bottom of the vias. In addition, the wet cleaning removes the naturally occurring oxide layer from the copper surface and also removes any reaction product between the treatment agent and the exposed copper surface. In particular, wet cleaning using AP395 can clean the copper (or suitable metal or metal alloy) surface previously exposed to treatment with DMDAS.
본 명세서에서 사용되는 것과 같이, "금속"이라는 용어는 실리콘 및 게르마늄과 같이 금속 유사 특성을 갖는 화합물들과 함께 원소 주기율표의 d-블록 및 f-블록에 있는 원소들을 의미한다. 본 명세서에서 사용된 바와 같이, 상기 "d-블록"이라는 용어는 원소의 핵을 둘러싸고 있는 3d, 4d, 5d 및 6d 오비탈을 채우는 전자들을 가지고 있는 원소들을 의미한다. 본 명세서에서 사용되는 바와 같이, "f-블록"이라는 용어는 란탄 족 원소들과 악티니드 족 원소들을 포함하는 원소의 핵을 둘러싼 4f 및 5f 오비탈에 채워진 전자를 갖는 원소를 의미한다. 바람직한 금속에는 인듐, 은, 구리, 알루미늄, 구리, 알루미늄, 주석, 비스무스, 갈륨 및 이들의 합금이 포함된다. "금속"이라는 용어에는 다른 금속 합성뿐만 아니라, 합금, 금속/금속 합성, 금속 세라믹 합성, 금속 고분자 합성이 포함된다. As used herein, the term "metal" refers to the elements in the d- and f-blocks of the Periodic Table of the Elements together with compounds having metal-like properties such as silicon and germanium. As used herein, the term "d-block" refers to elements with electrons filling the 3d, 4d, 5d and 6d orbitals surrounding the nucleus of the element. As used herein, the term "f-block" refers to an element having electrons filled in 4f and 5f orbitals surrounding the nucleus of the element, including lanthanide and actinide elements. Preferred metals include indium, silver, copper, aluminum, copper, aluminum, tin, bismuth, gallium and alloys thereof. The term "metal" includes alloys, metal / metal synthesis, metal ceramic synthesis, metal polymer synthesis, as well as other metal synthesis.
또 다른 구현예에 있어서, 상기 습식 세정은 소성 공정 전에 첫번째로 예상되는 구현에에서 소성 공정 전에 수행될 수 있다. 습식 세정 후에 고온 소성 단계가 수행될 수 있다. 이 방법의 장점은 습식 세정이 상기 소성 단계에 의해 경화되기 이전에 과량의 처리제와 노출된 구리 표면의 임의의 반응 생성물을 제거할 수 있다는 것이다. 이것은 유전체 물질 및 세정된 구리 표면에 있어서, 낮은 휘발성 성분을 야기시킨다. 양쪽 모두 장시간 신뢰도를 향상시키는 결과를 가져온다. In another embodiment, the wet cleaning may be performed before the firing process in the first expected embodiment before the firing process. After wet cleaning, a high temperature firing step can be performed. The advantage of this method is that it is possible to remove excess reaction agent and any reaction product of the exposed copper surface before the wet cleaning is cured by the firing step. This results in low volatility of the dielectric material and the cleaned copper surface. Both result in improved long term reliability.
또 다른 예상되는 구현예에서는 처리제(TA) 처리 이전에 100-400℃에서 1분 내지 120분 동안 부가적인 탈수 소성이 수행된다. 상기 탈수 소성은 손상된 낮은 k 유전체에 흡수된 수분을 제거한다. 처리제 처리 전에 유전체에서 수분을 제거하는 것은 보다 효과적인 처리를 할 수 있도록 해준다.In another anticipated embodiment, additional dehydration calcining is performed for 1 to 120 minutes at 100-400 ° C. prior to treatment (TA) treatment. The dehydration calcined removes moisture absorbed by the damaged low k dielectric. Removing moisture from the dielectric before treating the agent allows for a more effective treatment.
선택적인 구현예에 있어서, 상기 처리제 조성물은 식각액-손상을 입은 유기실리케이트 유리 유전 필름을 상기에 언급한 임의의 처리제로부터 유도되는 플라즈마에 노출시킴으로써 제공된다. 일반적인 공정에서, 상기 유기실리케이트 유리 유전 필름은 플라즈마 화학 증기 증착(PECVD) 계와 같은 플라즈마 발생 챔버에 놓여지고; 상기 처리제 조성물의 증기 및 아르곤 증기가 플라즈마 발생 챔버를 통해 지나간다; 그런 후에 RF 에너지원이 플라즈마를 생성하기 위해 활성화된다; 상기 아르곤 가스는 플라즈마 형성을 향상시키는 것을 높기 위해 포함된다. 상기 플라즈마는 처리제 조성물로부터 유도된 이온 조각으로 구성되어 진다; 예를 들면, CH3Si+ 이온 조각이 메틸실란(CH3SiH3)으로부터 생성된다. 이러한 조각은 실라놀 기와 반응하여 소수성인 Si-CH3 부분을 생성한다. 상기 언급한 어떤 처리제 조성물이 표면 처리를 유도하는 이러한 플라즈마에 사용될 수 있다. In an alternative embodiment, the treating agent composition is provided by exposing an etchant-damaged organosilicate glass dielectric film to a plasma derived from any of the treating agents mentioned above. In a typical process, the organosilicate glass dielectric film is placed in a plasma generating chamber, such as a plasma chemical vapor deposition (PECVD) system; Steam and argon vapor of the treatment composition pass through the plasma generation chamber; The RF energy source is then activated to produce a plasma; The argon gas is included to increase the plasma formation. The plasma consists of ion fragments derived from the treatment composition; For example, CH 3 Si + ion fragments are produced from methylsilane (CH 3 SiH 3 ). These fragments react with silanol groups to produce a hydrophobic Si—CH 3 moiety. Any of the above treatment agent compositions can be used in such plasmas that induce surface treatment.
표면 처리에 유도되는 플라즈마에 적합한 다른 처리제 조성물에는 C1-C12 알킬 및 아로마틱 하이드로카본이 포함된다. 가장 바람직한 탄화수소는 메탄이다. 표면 처리 조성물에서 유도된 플라즈마에 사용되는 다른 시약에는 알데하이드, 에스테르, 염화 산, 에테르가 포함된다. 적합한 알데하이드에는 아세트알데하이드 및 벤즈알데하이드가 포함된다; 적합한 에스테르에는 에틸 아세테이트 및 메틸 벤조에이트가 포함된다; 적합한 염화 산에는 염화 아세틸 및 염화 벤질이 포함되고; 적합한 에테르에는 디에틸 에테르 및 아니솔이 포함된다. 다양한 단일 웨이퍼 또는 다중 웨이퍼(batch) 플라즈마 시스템은 이러한 공정을 사용할 수 있다; 이러한 시스템에는 Gasonics L3510 포토레지스트 에셔(asher)와 같은 소위 다운스트림 에셔(asher), Applied Materials P5000과 같은 PECVD 유전체 적층 시스템 또는 반응성 이온 에칭("RIE") 시스템이 포함된다. 넓게는 상기 플라즈마 공정용 조건은 다음 범위 내에 있다: 챔버 온도 20℃ 내지 450℃; RF 파워, 50W 내지 1000W; 챔버 압력, 0.05 내지 100 Torr; 플라즈마 처리 시간, 5초 내지 5분; 및 표면 개질 흐름 속도 100-2000sccm; 불활성 가스 흐름 속도(일반적으로 아르곤), 100-2000sccm.Other treatment compositions suitable for plasma induced to surface treatment include C 1 -C 12 alkyl and aromatic hydrocarbons. Most preferred hydrocarbon is methane. Other reagents used in plasma derived from the surface treatment composition include aldehydes, esters, chloride acids, ethers. Suitable aldehydes include acetaldehyde and benzaldehyde; Suitable esters include ethyl acetate and methyl benzoate; Suitable chloride acids include acetyl chloride and benzyl chloride; Suitable ethers include diethyl ether and anisole. Various single wafer or multiple batch plasma systems can use this process; Such systems include so-called downstream ashers such as the Gasonics L3510 photoresist asher, PECVD dielectric lamination systems such as Applied Materials P5000, or reactive ion etching ("RIE") systems. Broadly the conditions for the plasma process are within the following ranges: chamber temperature 20 ° C. to 450 ° C .; RF power, 50 W to 1000 W; Chamber pressure, 0.05-100 Torr; Plasma treatment time, 5 seconds to 5 minutes; And surface modification flow rate 100-2000 sccm; Inert gas flow rate (typically argon), 100-2000 sccm.
당업자는 또한, 본 발명에 상기 기재된 플라즈마 표면 처리를 적용함으로써 손상이 발생하였든지, 발생하지 않았든지 간에 실리카 유전 필름, 다공성 및/또는 비-다공성에 소수성 표면을 부여하는 방법이 포함되어 있음을 예상할 수 있다. 이러한 방법을 사용하여 제조되는 반도체 장치 또는 ICs와 같은 마이크로 전자 장치 역시 본 발명의 일부이다. 마이크로 전자 장치는 다음을 포함하는 방법에 의해 제조될 수 있다:Those skilled in the art also envision that the present invention encompasses methods of imparting hydrophobic surfaces to silica dielectric films, porous and / or non-porous, whether or not damage has occurred by applying the plasma surface treatment described above. can do. Microelectronic devices such as semiconductor devices or ICs fabricated using this method are also part of the present invention. Microelectronic devices can be manufactured by methods that include:
a) 기판 위에 유기실리케이트 유리 유전 필름을 적용하는 단계;a) applying an organosilicate glass dielectric film over the substrate;
b)상기 유기실리케이트 유리 유전 필름에 비아 및/또는 트랜치 패턴을 형성하는 단계 및 상기 유기실리케이트 유리 유전 필름에, 이전에 탄소를 함유하였던 부분의 적어도 일부를 제거하거나, 상기 유기실리케이트 유리 유전 필름의 소수성을 감소시키는 최소 하나의 처리를 하는 단계; b) forming vias and / or trench patterns in the organosilicate glass dielectric film and removing at least a portion of the previously contained portions of carbon in the organosilicate glass dielectric film, or the hydrophobicity of the organosilicate glass dielectric film Performing at least one treatment to reduce the temperature;
c) 상기 유기실리케이트 유리 유전 필름과 처리제 조성물을 상기 유기실리케이트 유리 유전 필름의 소수성을 증가시키는데 유효한 농도 및 시간으로 접촉시키는 단계 (이때 상기 처리제 조성물은 실리레이션을 통해 유기실리케이트 유리 유전 필름의 실라놀 부분을 알킬화 또는 아릴화할 수 있는 성분, 아민, 오늄 화합물, 수산화 알카리 금속 및 이들의 조합 및 상기에 기재된 주 용제와 상기에 기재된 보조 용제의 혼화 가능한 혼합물 또는 상기 기재된 보조 용제만을 포함함); 그런 후에 선택적으로 약 80℃ 내지 약 500℃에서 약 10초 이상 소성하는 단계.c) contacting the organosilicate glass dielectric film and the treatment composition at a concentration and time effective to increase the hydrophobicity of the organosilicate glass dielectric film, wherein the treatment agent composition is via silicide to the silanol portion of the organosilicate glass dielectric film Components containing alkylation or arylation, amines, onium compounds, alkali metal hydroxides and combinations thereof, and miscible mixtures of the above-described main solvents and the above-described auxiliary solvents or only the above-mentioned auxiliary solvents); Then optionally firing at about 80 ° C. to about 500 ° C. for at least about 10 seconds.
일 구현예에서, 소성은 약 90℃ 내지 약 450℃의 온도로 가열함으로써 행해질 수 있다. 다른 구현예에서, 가열은 100℃ 내지 400℃의 온도에서 이루어질 수 있다. 또 다른 구현예에서, 가열은 125℃ 내지 350℃의 온도에서 이루어질 수 있다. 이러한 가열은 약 10초 이상부터 실시될 수 있으며, 바람직하게는 약 10초 내지 60분 동안 수행될 수 있다. 다음 단계는 d) 당해 기술 분야에서 알려진 임의의 방법에 의해 비아 및/또는 트랜치를 금속으로 채우는 단계; 및 그런 후에 e)선택적으로 상기 금속을 어닐링 처리하는 단계이다. 일 구현예에서, 어닐링은 상기 장치를 약 150℃ 내지 350℃의 온도에서 가열함으로 이루어질 수 있다. 또 다른 구현예에서, 어닐링은 상기 장치를 약 200℃ 내지 250℃의 온도로 가열함으로써 이루어질 수 있고, 어닐링은 약 10초 내지 60분 동안 수행될 수 있다. In one embodiment, firing may be done by heating to a temperature of about 90 ° C to about 450 ° C. In another embodiment, the heating may be at a temperature of 100 ° C to 400 ° C. In another embodiment, the heating may be at a temperature of 125 ° C to 350 ° C. Such heating may be carried out from about 10 seconds or more, preferably about 10 seconds to 60 minutes. The next step is to d) fill the vias and / or trenches with metal by any method known in the art; And then e) optionally annealing the metal. In one embodiment, annealing may be accomplished by heating the device at a temperature of about 150 ° C to 350 ° C. In another embodiment, annealing can be accomplished by heating the device to a temperature of about 200 ° C. to 250 ° C., and the annealing can be performed for about 10 seconds to 60 minutes.
상기 마이크로 전자 장치, 유전체 층 및 물질은 임의의 적합한 전자적 구성요소를 포함 또는 사용할 수 있다. 본 명세서에서 예상되는 바와 같이, 전자적 구성요소는 일반적으로 이온-기초 생성물에 사용될 수 있는 임의의 유전 성분 또는 다층 유전 성분을 포함하는 것으로 여겨진다. 예상되는 전자적 구성 요소에는 회로 기판, 칩 패키징, 회로 기판의 유전체 요소, 인쇄-배선 기판 및 캐퍼시터, 인덕터 및 레지스터와 같은 회로 기판의 다른 요소들이 포함된다.The microelectronic device, dielectric layer and material may include or use any suitable electronic component. As expected herein, electronic components are generally considered to include any dielectric or multilayer dielectric components that can be used in ion-based products. Prospective electronic components include circuit boards, chip packaging, dielectric elements of circuit boards, printed-wiring boards and capacitors, other elements of circuit boards such as inductors and resistors.
전자-계열 제품은 산업 또는 다른 소비자에 의해 사용될 수 있도록 한다는 의미에서 완성될 수 있다. 완성된 소비자 제품의 예에는 텔레비젼, 컴퓨터, 휴대폰, 호출기, 팜-타입 제품, 포터블 라디오, 카 스트레오 및 리모콘이 있다. 또한, 예상되는 것들에는 완성된 제품에 잠재적으로 사용되는 회로 기판, 칩 패키징 및 키보드와 같은 중간 생성물이 있다. Electronic-based products can be completed in the sense that they can be used by industry or other consumers. Examples of finished consumer products are televisions, computers, mobile phones, pagers, palm-type products, portable radios, car stereos and remote controls. Also expected are intermediate products such as circuit boards, chip packaging and keyboards that are potentially used in finished products.
전자 제품들은 또한 개념 모델(conceptual model)에서 최종 크기의 목-업(mock-up)까지 개발되는 임의의 단계에서 프로토타입(prototype) 구성 요소를 포함할 수 있다. 프로토타입은 최종 제품에서 의도되는 실제 구성 요소 전부를 포함할 수도 있고, 포함하지 않을 수도 있으며, 프로토타입은 초기 테스트 동안에 다른 구성요소에 그들의 초기 효과를 부정하기 위해서 혼합 물질로부터 만들어진 몇몇 성분을 가지고 있을 수 있다. 전자 제품 및 구성 요소는 상기 구성요소 또는 제품에 사용하기 위해 제조에 있어서, 다층 물질, 다층 구성요소 및 적층된 구성 요소를 포함할 수 있다. Electronic products may also include prototype components at any stage of development, from conceptual models to mock-ups of final size. Prototypes may or may not contain all of the actual components intended for the final product, and prototypes may have several components made from mixed materials to negate their initial effects on other components during initial testing. Can be. Electronic products and components may include multilayer materials, multilayer components, and stacked components in manufacture for use in the component or article.
실시예Example 1(2- 1 (2- 헵탄온Heptanone + 아세트산) + Acetic acid)
1.257g의 1%의 테트라메틸암모늄 아세테이트(알드리치 화학 회사, Milwaukee, WI53201), 44.24g의 2-헵탄온(Ultra Pure Solutions Inc., Castroville, CA 85012) 및 4.49g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007)을 60ml 입자 자유 고 밀도 폴리에틸렌 병에서 함께 혼합한다. 상기 용액을 1분 동안 강력하게 혼합한다. 혼합한 후에 희석된 전구체를 테플론 필터를 사용하여 0.1㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소 소모 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 대략 생성물의 2.0~3.0ml을 놓는다. 상기 생성물을 놓고 웨이퍼를 2500rpm으로 30초 동안 돌려 필름을 형성한다. 상기 필름을 N2 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 1.257 g of 1% tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI53201), 44.24 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012) and 4.49 g of dimethyldiacetoxysilane (Gelest , Tullytone, PA 19007) are mixed together in a 60 ml particle free high density polyethylene bottle. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.1 μm using a Teflon filter. Place approximately 2.0-3.0 ml of product on 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon consuming porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The wafer is placed at 2500 rpm for 30 seconds to form a film The film is heated with elevated temperature to 125 ° C., 200 ° C. and 350 ° C. for 1 minute in an N 2 atmosphere.
아래에 결과가 나타나 있다. The results are shown below.
유사한 방법으로 생성물 2.0~3.0ml를 8" Si-웨이퍼 위에 놓고, 회전시킨 후, 소성하였다.In a similar manner, 2.0-3.0 ml of product was placed on an 8 "Si-wafer, spun and then fired.
그 결과는 다음과 같다: KAL 2132: 결함 빈도 +5000counts/cm2 The result is: KAL 2132: defect frequency +5000 counts / cm 2
실시예Example 2 2
2-2- 헵탄온Heptanone + + 헥산올Hexanol
0.0126g 테트라메틸암모늄 아세테이트(알드리치 화학 회사, Milwaukee, WI 53201)을 1.247g 1-헥산올에 첨가하고, 그 혼합물이 용해될 때까지 교반한 후, 44.24g의 2-헵탄온(Ultra Pure Solutions Inc., Castroville, CA 85012) 및 4.49g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007)을 60ml 입자 자유 고밀도 폴리에틸렌 병 안에 첨가한다. 상기 용액을 1분 동안 강력하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필터를 이용하여 0.1㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소 소모 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 대략 생성물의 2.0~3.0ml을 놓는다. 상기 생성물을 놓고 웨이퍼를 2500rpm으로 30초 동안 회전시켜 필름을 형성한다. 상기 필름을 N2 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나 타나 있다: 0.0126 g tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is added to 1.247 g 1-hexanol and stirred until the mixture is dissolved, followed by 44.24 g of 2-heptanone (Ultra Pure Solutions Inc , Castroville, CA 85012) and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) are added into a 60 ml particle free high density polyethylene bottle. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.1 μm using a Teflon filter. Place approximately 2.0-3.0 ml of product on 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon consuming porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The film is rotated at 2500 rpm for 30 seconds to form a film, and the film is heated under elevated temperature to 125 ° C., 200 ° C. and 350 ° C. for 1 minute in an N 2 atmosphere.
유사한 방법으로 생성물 2.0~3.0ml를 8" Si-웨이퍼 위에 놓고, 회전시킨 후, 소성하였다. 그 결과는 다음과 같다: KAL 2132 결함 빈도 224-458 counts/cm2 In a similar manner, 2.0-3.0 ml of product was placed on an 8 "Si-wafer, rotated and calcined. The result is: KAL 2132 defect frequency 224-458 counts / cm 2
실시예Example 3 3
디메틸술폭사이드Dimethyl sulfoxide
0.0126g 테트라메틸암모늄 아세테이트(알드리치 화학 회사, Milwaukee, WI 53201)을 1.247g의 디메틸술폭사이드에 첨가하고, 상기 혼합물이 용해될 때까지 교반한 후, 44.24g의 2-헵탄온(Ultra Pure Solutions Inc., Castroville, CA 85012) 및 4.49g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007)을 60ml 입자 자유 고밀도 폴리에틸렌 병 안에 첨가한다. 상기 용액을 1분 동안 강력하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필터를 이용하여 0.1㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 대략 생성물의 2.0~3.0ml을 놓는다. 상기 생성물을 놓고 웨이퍼를 2500rpm으로 30초 동안 회전시켜 필름을 형성한다. 상기 필름을 N2 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 0.0126 g tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is added to 1.247 g of dimethylsulfoxide and stirred until the mixture is dissolved, followed by 44.24 g of 2-heptanone (Ultra Pure Solutions Inc , Castroville, CA 85012) and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) are added into a 60 ml particle free high density polyethylene bottle. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.1 μm using a Teflon filter. Place approximately 2.0-3.0 ml of product on 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon-depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The product is placed and the wafer is spun at 2500 rpm for 30 seconds to form a film The film is heated under elevated temperature to 125 ° C., 200 ° C. and 350 ° C. for 1 minute in an N 2 atmosphere, as shown below.
유사한 방법으로 생성물 2.0~3.0ml를 8" Si-웨이퍼 위에 놓고, 회전시킨 후, 소성하였다. 그 결과는 다음과 같다: KAL 2132 결함 빈도 177 - 885 counts/cm2 In a similar manner, 2.0-3.0 ml of the product was placed on an 8 "Si-wafer, rotated and calcined. The results were as follows: KAL 2132 defect frequency 177-885 counts / cm 2
실시예Example 4 4
에틸 ethyl 아세토아세테이트Acetoacetate
0.0126g 테트라메틸암모늄 아세테이트(알드리치 화학 회사, Milwaukee, WI 53201)을 45.49g의 에틸 아세토아세테이트에 첨가하고, 상기 혼합물이 용해될 때까지 교반한 후, 4.49g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007)을 60ml 입자 자유 고밀도 폴리에틸렌 병 안에 첨가한다. 상기 용액을 1분 동안 강력하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필터를 이용하여 0.1㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 대략 생성물의 2.0~3.0ml을 놓는다. 상기 생성물을 놓고 웨이퍼를 2500rpm으로 30초 동안 회전시켜 필름을 형성한다. 상기 필름을 N2 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 0.0126 g tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is added to 45.49 g of ethyl acetoacetate and stirred until the mixture is dissolved, followed by 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone). , PA 19007) is added to a 60 ml particle free high density polyethylene bottle. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.1 μm using a Teflon filter. Place approximately 2.0-3.0 ml of product on 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon-depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The product is placed and the wafer is spun at 2500 rpm for 30 seconds to form a film The film is heated under elevated temperature to 125 ° C., 200 ° C. and 350 ° C. for 1 minute in an N 2 atmosphere, as shown below.
유사한 방법으로 생성물 2.0~3.0ml를 8" Si-웨이퍼 위에 놓고, 회전시킨 후, 소성하였다. 그 결과는 다음과 같다: KAL 2132 결함 빈도 237 -390 counts/cm2 In a similar manner, 2.0-3.0 ml of the product was placed on an 8 "Si-wafer, rotated and calcined. The results were as follows: KAL 2132 defect frequency 237 -390 counts / cm 2
실시예Example 5 5
2-2- 헵탄온Heptanone + + 디메틸술폭사이드Dimethyl sulfoxide
0.0126g 테트라메틸암모늄 아세테이트(알드리치 화학 회사, Milwaukee, WI 53201)을 1..247g 디메틸술폭사이드에 첨가하고, 상기 혼합물이 용해될 때까지 교반한 후, 44.24g의 2-헵탄온(Ultra Pure Solutions Inc., Castroville, CA 85012) 및 4.49g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007)을 60ml 입자 자유 고밀도 폴리에틸렌 병 안에 첨가한다. 상기 용액을 1분 동안 강력하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필터를 이용하여 0.1㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 대략 생성물의 2.0~3.0ml을 놓는다. 상기 생성물을 놓고 웨이퍼를 2500rpm으로 30초 동안 회전시켜 필름을 형성한다. 상기 필름을 N2 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 0.0126 g tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is added to 1..247 g dimethylsulfoxide and stirred until the mixture is dissolved, followed by 44.24 g of 2-heptanone (Ultra Pure Solutions) Inc., Castroville, CA 85012) and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) are added into a 60 ml particle free high density polyethylene bottle. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.1 μm using a Teflon filter. Place approximately 2.0-3.0 ml of product on 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon-depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The product is placed and the wafer is spun at 2500 rpm for 30 seconds to form a film The film is heated under elevated temperature to 125 ° C., 200 ° C. and 350 ° C. for 1 minute in an N 2 atmosphere, as shown below.
유사한 방법으로 생성물 2.0~3.0ml를 8" Si-웨이퍼 위에 놓고, 회전시킨 후, 소성하였다. 그 결과는 다음과 같다: KAL 2132 결함 빈도 227 - 1520 counts/cm2 In a similar manner, 2.0-3.0 ml of product was placed on an 8 "Si-wafer, rotated and calcined. The result is as follows: KAL 2132 defect frequency 227-1520 counts / cm 2
실시예Example 6 6
2-2- 헵탄온Heptanone + 에틸 아세테이트 + Ethyl acetate
0.0126g 테트라메틸암모늄 아세테이트(알드리치 화학 회사, Milwaukee, WI 53201)을 1..247g 에틸 아세토아세테이트에 첨가하고, 상기 혼합물이 용해될 때까지 교반한 후, 44.24g의 2-헵탄온(Ultra Pure Solutions Inc., Castroville, CA 85012) 및 4.49g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007)을 60ml 입자 자유 고밀도 폴리에틸렌 병 안에 첨가한다. 상기 용액을 1분 동안 강력하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필터를 이용하여 0.1㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 대략 생성물의 2.0~3.0ml을 놓는다. 상기 생성물을 놓고 웨이퍼를 2500rpm으로 30초 동안 회전시켜 필름을 형성한다. 상기 필름을 N2 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 0.0126 g tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is added to 1..247 g ethyl acetoacetate and stirred until the mixture is dissolved, followed by 44.24 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012) and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) are added into a 60 ml particle free high density polyethylene bottle. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.1 μm using a Teflon filter. Place approximately 2.0-3.0 ml of product on 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon-depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The product is placed and the wafer is spun at 2500 rpm for 30 seconds to form a film The film is heated under elevated temperature to 125 ° C., 200 ° C. and 350 ° C. for 1 minute in an N 2 atmosphere, as shown below.
유사한 방법으로 생성물 2.0~3.0ml를 8" Si-웨이퍼 위에 놓고, 회전시킨 후, 소성하였다. 그 결과는 다음과 같다: KAL 2132 결함 빈도 0.9 - 1.8 counts/cm2 In a similar manner, 2.0-3.0 ml of product was placed on an 8 "Si-wafer, rotated and calcined. The result is as follows: KAL 2132 defect frequency 0.9-1.8 counts / cm 2
실시예Example 7 7
2-2- 헵탄온Heptanone + 에틸 + Ethyl 아세토아세테이트Acetoacetate
에틸 아세토아세테이트에 용해된 테트라메틸암모늄 아세테이트(알드리치 화학 회사, Milwaukee, WI 53201)의 0.255% 용액(0.359g 테트라메틸암모늄 아세테이트+140.00g 에틸 아세토 아세테이트) 37.6g에 2-펩탄온에 용해된 테트라부틸암모늄 아세테이트의 0.5% 용액(2.175g 테트라부틸암모늄 아세테이트 + 435g 2-헵탄온) 84.90g, 2-헵탄온(Ultra Pure Solutions Inc., Castroville, CA 85012) 1242.50g 및 디케틸디아세톡시실란(Gelest, Tullytone, PA 19007) 135g을 2L의 입자 자유 고밀도 폴리에틸렌 병에 첨가한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에, 희석된 전구체를 0.04 미크론 필터(Meissner CSPM0.04-442)를 사용하여 2-방향 여과를 실시한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 대략 생성물의 2.0~3.0ml을 놓는다. 상기 생성물을 놓고 웨이퍼를 2500rpm으로 30초 동안 회전시켜 필름을 형성한다. 상기 필름을 N2 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: Tetrabutyl dissolved in 2-peptanone in 37.6 g of a 0.255% solution (0.359 g tetramethylammonium acetate + 140.00 g ethyl aceto acetate) in tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) dissolved in ethyl acetoacetate. 84.90 g 0.5% solution of ammonium acetate (2.175 g tetrabutylammonium acetate + 435 g 2-heptanone), 1242.50 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012) and diketyldiacetoxysilane (Gelest , Tullytone, PA 19007) are added to a 2 L particle free high density polyethylene bottle. The solution is mixed vigorously for 1 minute. After mixing, the diluted precursor is subjected to two-way filtration using a 0.04 micron filter (Meissner CSPM 0.04-442). Place approximately 2.0-3.0 ml of product on 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon-depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The product is placed and the wafer is spun at 2500 rpm for 30 seconds to form a film The film is heated under elevated temperature to 125 ° C., 200 ° C. and 350 ° C. for 1 minute in an N 2 atmosphere, as shown below.
유사한 방법으로 생성물 2.0~3.0ml를 8" Si-웨이퍼 위에 놓고, 회전시킨 후, 소성하였다. 그 결과는 다음과 같다: KAL 2132 결함 빈도 1.7 - 1.9 counts/cm2 In a similar manner, 2.0-3.0 ml of product was placed on an 8 "Si-wafer, rotated and calcined. The result is: KAL 2132 defect frequency 1.7-1.9 counts / cm 2
실시예Example 8 8
2-2- 헵탄온Heptanone + 에틸 + Ethyl 아세토아세테이트Acetoacetate
에틸 아세토아세테이트에 용해된 테트라메틸암모늄 아세테이트(알드리치 화학 회사, Milwaukee, WI 53201)의 0.255% 용액(0.359g 테트라메틸암모늄 아세테이트+140.00g 에틸 아세토 아세테이트) 37.6g에 2-펩탄온에 용해된 테트라부틸암모늄 아세테이트의 0.5% 용액(2.175g 테트라부틸암모늄 아세테이트 + 2-헵탄온 중량 435.00g) 84.90g, 2-헵탄온(Ultra Pure Solutions Inc., Castroville, CA 85012) 1242.50g 및 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007) 135.00g을 2L의 입자 자유 고밀도 폴리에틸렌 병에 첨가한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에, 희석된 전구체를 0.04 미크론 필터(Meissner CSPM0.04-442)를 사용하여 2-방향 여과를 실시한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 대략 생성물의 2.0~3.0ml을 놓는다. 상기 생성물을 놓고 웨이퍼를 2500rpm으로 30초 동안 회전시켜 필름을 형성한다. 상기 필름을 N2 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: Tetrabutyl dissolved in 2-peptanone in 37.6 g of a 0.255% solution (0.359 g tetramethylammonium acetate + 140.00 g ethyl aceto acetate) in tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) dissolved in ethyl acetoacetate. 84.90 g of 0.5% solution of ammonium acetate (2.175 g tetrabutylammonium acetate + 2-heptanone weight 435.00 g), 1242.50 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012) and dimethyldiacetoxysilane ( 135.00 g of Gelest, Tullytone, PA 19007) are added to a 2 L particle free high density polyethylene bottle. The solution is mixed vigorously for 1 minute. After mixing, the diluted precursor is subjected to two-way filtration using a 0.04 micron filter (Meissner CSPM 0.04-442). Place approximately 2.0-3.0 ml of product on 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon-depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The product is placed and the wafer is spun at 2500 rpm for 30 seconds to form a film The film is heated under elevated temperature to 125 ° C., 200 ° C. and 350 ° C. for 1 minute in an N 2 atmosphere, as shown below.
유사한 방법으로 생성물 2.0~3.0ml를 8" Si-웨이퍼 위에 놓고, 회전시킨 후, 소성하였다. 그 결과는 다음과 같다: KAL 2132 결함 빈도 20.6 counts/cm2 In a similar manner, 2.0-3.0 ml of product was placed on an 8 "Si-wafer, rotated and calcined. The result was as follows: KAL 2132 defect frequency 20.6 counts / cm 2
실시예Example 9 9
9.72g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007), 26.0g의 3-펜탄온(Ultra Pure Solution Inc.,Castroville, CA 85012), 280ppm의 테트라메틸암모늄 아세테이트 (알드리치 화학 회사, Milwaukee, WI 53201)를, 60ml의 입자 자유 고밀도 폴리에틸렌 병에, 함께 첨가함으로써 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 상기 전구체 약 2.0~3.0ml을 놓는다. 그런 다음 필름을 2500rpm으로 30초 동안 회전시켜 휘발성 화학종을 제거한다. 상기 필름을 공기 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 3-pentanone (Ultra Pure Solution Inc., Castroville, CA 85012), 280 ppm of tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is prepared by adding together 60 ml of particle free high density polyethylene bottles. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film. Place about 2.0-3.0 ml of the precursor on a 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The film is then spun at 2500 rpm for 30 seconds to remove the volatile species The film is heated in an air atmosphere for 1 minute at elevated temperatures to 125 ° C., 200 ° C. and 350 ° C. The results are shown below:
실시예Example 10 10
9.72g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007), 26.0g의 2-헵탄온(Ultra Pure Solution Inc.,Castroville, CA 85012), 280ppm의 테트라메틸암모늄 아세테이트 (알드리치 화학 회사, Milwaukee, WI 53201)를, 60ml의 입자 자유 고밀도 폴리에틸렌 병에, 함께 첨가함으로써 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 상기 전구체 약 2.0~3.0ml을 놓는다. 그런 다음 필름을 2500rpm으로 30초 동안 회전시켜 휘발성 화학종을 제거한다. 상기 필름을 공기 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solution Inc., Castroville, CA 85012), 280 ppm of tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is prepared by adding together 60 ml of particle free high density polyethylene bottles. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film. Place about 2.0-3.0 ml of the precursor on a 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The film is then spun at 2500 rpm for 30 seconds to remove the volatile species The film is heated in an air atmosphere for 1 minute at elevated temperatures to 125 ° C., 200 ° C. and 350 ° C. The results are shown below:
실시예Example 11 11
9.72g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007), 26.0g의 2-프플프필렌 글리콜 메틸 에테르 아세테이트(General Chemical., Hollister, CA95023), 280ppm의 테트라메틸암모늄 아세테이트 (알드리치 화학 회사, Milwaukee, WI 53201)를, 60ml의 입자 자유 고밀도 폴리에틸렌 병에, 함께 첨가함으로써 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 상기 전구체 약 2.0~3.0ml을 놓는다. 그런 다음 필름을 2500rpm으로 30초 동안 회전시켜 휘발성 화학종을 제거한다. 상기 필름을 공기 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-fflfplene glycol methyl ether acetate (General Chemical., Hollister, CA95023), 280 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201) is prepared by adding together 60 ml of particle free high density polyethylene bottles. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film. Place about 2.0-3.0 ml of the precursor on a 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The film is then spun at 2500 rpm for 30 seconds to remove the volatile species The film is heated in an air atmosphere for 1 minute at elevated temperatures to 125 ° C., 200 ° C. and 350 ° C. The results are shown below:
실시예Example 12 12
9.72g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007), 26.0g의 2-헵탄온(Ultra Pure Solution Inc.,Castroville, CA 85012), 2800ppm의 테트라메틸암모늄 아세테이트 (알드리치 화학 회사, Milwaukee, WI 53201)를, 60ml의 입자 자유 고밀도 폴리에틸렌 병에, 함께 첨가함으로써 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 상기 전구체 약 2.0~3.0ml을 놓는다. 그런 다음 필름을 2500rpm으로 30초 동안 회전시켜 휘발성 화학종을 제거한다. 상기 필름을 공기 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solution Inc., Castroville, CA 85012), 2800 ppm of tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is prepared by adding together 60 ml of particle free high density polyethylene bottles. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film. Place about 2.0-3.0 ml of the precursor on a 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The film is then spun at 2500 rpm for 30 seconds to remove the volatile species The film is heated in an air atmosphere for 1 minute at elevated temperatures to 125 ° C., 200 ° C. and 350 ° C. The results are shown below:
실시예Example 13 13
2.65g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007), 26.0g의 2-헵탄온(Ultra Pure Solution Inc.,Castroville, CA 85012), 2800ppm의 테트라메틸암모늄 아세테이트 (알드리치 화학 회사, Milwaukee, WI 53201)를, 60ml의 입자 자유 고밀도 폴리에틸렌 병에, 함께 첨가함으로써 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 상기 전구체 약 2.0~3.0ml을 놓는다. 그런 다음 필름을 2500rpm으로 30초 동안 회전시켜 휘발성 화학종을 제거한다. 상기 필름을 공기 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 2.65 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solution Inc., Castroville, CA 85012), 2800 ppm of tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is prepared by adding together 60 ml of particle free high density polyethylene bottles. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film. Place about 2.0-3.0 ml of the precursor on a 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The film is then spun at 2500 rpm for 30 seconds to remove the volatile species The film is heated in an air atmosphere for 1 minute at elevated temperatures to 125 ° C., 200 ° C. and 350 ° C. The results are shown below:
실시예Example 14 14
2.65g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007), 26.0g의 2-헵 탄온(Ultra Pure Solution Inc.,Castroville, CA 85012), 4200ppm의 테트라메틸암모늄 아세테이트 (알드리치 화학 회사, Milwaukee, WI 53201)를, 60ml의 입자 자유 고밀도 폴리에틸렌 병에, 함께 첨가함으로써 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 상기 전구체 약 2.0~3.0ml을 놓는다. 그런 다음 필름을 2500rpm으로 30초 동안 회전시켜 휘발성 화학종을 제거한다. 상기 필름을 공기 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 2.65 g dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g 2-heptanone (Ultra Pure Solution Inc., Castroville, CA 85012), 4200 ppm tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is prepared by adding together 60 ml of particle free high density polyethylene bottles. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film. Place about 2.0-3.0 ml of the precursor on a 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The film is then spun at 2500 rpm for 30 seconds to remove the volatile species The film is heated in an air atmosphere for 1 minute at elevated temperatures to 125 ° C., 200 ° C. and 350 ° C. The results are shown below:
실시예Example 15 15
0.810g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007), 26.0g의 2-헵탄온(Ultra Pure Solution Inc.,Castroville, CA 85012), 4200ppm의 테트라메틸암모늄 아세테이트 (알드리치 화학 회사, Milwaukee, WI 53201)를, 60ml의 입자 자유 고밀도 폴리에틸렌 병에, 함께 첨가함으로써 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 상기 전구체 약 2.0~3.0ml을 놓는다. 그런 다음 필름을 2500rpm으로 30초 동안 회전시켜 휘발성 화학종을 제거한다. 상기 필름을 공기 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 0.810 g dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g 2-heptanone (Ultra Pure Solution Inc., Castroville, CA 85012), 4200 ppm tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is prepared by adding together 60 ml of particle free high density polyethylene bottles. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film. Place about 2.0-3.0 ml of the precursor on a 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The film is then spun at 2500 rpm for 30 seconds to remove the volatile species The film is heated in an air atmosphere for 1 minute at elevated temperatures to 125 ° C., 200 ° C. and 350 ° C. The results are shown below:
실시예Example 16 16
9.72g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007), 26.0g의 2-헵탄온(Ultra Pure Solution Inc.,Castroville, CA 85012), 4200ppm의 테트라메틸암모늄 아세테이트 (알드리치 화학 회사, Milwaukee, WI 53201)를, 60ml의 입자 자유 고밀도 폴리에틸렌 병에, 함께 첨가함으로써 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 상기 전구체 약 2.0~3.0ml을 놓는다. 그런 다음 필름을 2500rpm으로 30초 동안 회전시켜 휘발성 화학종을 제거한다. 상기 필름을 공기 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 9.72 g dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g 2-heptanone (Ultra Pure Solution Inc., Castroville, CA 85012), 4200 ppm tetramethylammonium acetate (Aldrich Chemical, Milwaukee, WI 53201) is prepared by adding together 60 ml of particle free high density polyethylene bottles. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film. Place about 2.0-3.0 ml of the precursor on a 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The film is then spun at 2500 rpm for 30 seconds to remove the volatile species The film is heated in an air atmosphere for 1 minute at elevated temperatures to 125 ° C., 200 ° C. and 350 ° C. The results are shown below:
실시예Example 17 17
테트라메틸암모늄 아세테이트를 갖는 처리제와 갖지 않는 처리제의 효과를 비교하기 위해 두 개의 전구체를 제조하였다. 첫 번째 전구체는 9.72g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007), 26.0g의 3-펜탄온(Ultra Pure Solution Inc.,Castroville, CA 85012), 280ppm의 테트라메틸암모늄 아세테이트(TMAA)(알드리치 화학 회사, Milwaukee, WI 53201)를, 60ml의 입자 자유 고밀도 폴리에틸렌 병에, 함께 첨가함으로써 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. Two precursors were prepared to compare the effect of a treatment with and without tetramethylammonium acetate. The first precursor is 9.72 g dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g 3-pentanone (Ultra Pure Solution Inc., Castroville, CA 85012), 280 ppm tetramethylammonium acetate (TMAA) (Aldrich Chemical Company, Milwaukee, WI 53201) is prepared by adding together a 60 ml particle free high density polyethylene bottle. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film.
두 번째 전구체는 9.72g의 디메틸디아세톡시실란(Gelest, Tullytone, PA 19007) 및 26.0g의 3-펜탄온(Ultra Pure Solution Inc.,Castroville, CA 85012)을, 60ml의 입자 자유 고밀도 폴리에틸렌 병에, 함께 첨가함으로써 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. The second precursor is 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) and 26.0 g of 3-pentanone (Ultra Pure Solution Inc., Castroville, CA 85012) in a 60 ml particle free high density polyethylene bottle The precursor is prepared by adding together. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film.
8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 상기 각각의 전구체를 약 2.0~3.0ml 놓는다. 그런 다음 필름을 2500rpm으로 30초 동안 회전시켜 휘발성 화학종을 제거한다. 상기 각각의 필름을 공기 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃ 으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: Place about 2.0-3.0 ml of each precursor on a 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon-depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ) The film is then spun at 2500 rpm for 30 seconds to remove volatile species, each of which is heated in an air atmosphere at elevated temperature to 125 ° C., 200 ° C. and 350 ° C. for 1 minute, respectively. have:
실시예Example 18 18
3.25g의 헥사메틸클로로트리실라잔 (Gelest, Tullytone, PA 19007) 및 25.0g의 2-헵탄온(Ultra Pure Solution Inc.,Castroville, CA 85012)을, 60ml의 입자 자유 고밀도 폴리에틸렌 병에 첨가하여 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 상기 전구체 약 2.0~3.0ml을 놓는다. 그런 다음 필름을 2500rpm으로 30초 동안 회전시켜 휘발성 화학종을 제거한다. 상기 필름을 공기 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 3.25 g of hexamethylchlorotrisilazane (Gelest, Tullytone, PA 19007) and 25.0 g of 2-heptanone (Ultra Pure Solution Inc., Castroville, CA 85012) were added to a 60 ml particle free high density polyethylene bottle to form a precursor. To prepare. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film. Place about 2.0-3.0 ml of the precursor on a 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The film is then spun at 2500 rpm for 30 seconds to remove the volatile species The film is heated in an air atmosphere for 1 minute at elevated temperatures to 125 ° C., 200 ° C. and 350 ° C. The results are shown below:
실시예Example 19 19
6.5g의 비스(디메틸아미노)디메틸실란(Gelest, Tullytone, PA 19007) 및 22.0g의 2-헵탄온(Ultra Pure Solution Inc.,Castroville, CA 85012)을 60ml의 입 자 자유 고밀도 폴리에틸렌 병에, 함께 첨가하여 전구체를 제조한다. 상기 용액을 1분 동안 격렬하게 혼합한다. 혼합 후에 희석된 전구체를 테플론 필름을 사용하여 0.2㎛까지 여과한다. 8" 식각(C4F8, 20s) 및 에시 처리된(플라즈마 O2; 20s) 탄소가 소모된 다공성 SiCOH 필름(~4000Å 두께 NANOGLASS-E®) 위에 상기 전구체 약 2.0~3.0ml을 놓는다. 그런 다음 필름을 2500rpm으로 30초 동안 회전시켜 휘발성 화학종을 제거한다. 상기 필름을 공기 분위기에서 1분 동안 각각 125℃, 200℃ 및 350℃으로 승온하면서 가열한다. 아래에 결과가 나타나 있다: 6.5 g bis (dimethylamino) dimethylsilane (Gelest, Tullytone, PA 19007) and 22.0 g 2-heptanone (Ultra Pure Solution Inc., Castroville, CA 85012) are combined together in a 60 ml particle free high density polyethylene bottle To prepare the precursor. The solution is mixed vigorously for 1 minute. After mixing the diluted precursor is filtered to 0.2 μm using a Teflon film. Place about 2.0-3.0 ml of the precursor on a 8 "etched (C 4 F 8 , 20s) and ashed (plasma O 2 ; 20s) carbon depleted porous SiCOH film (~ 4000 mm thick NANOGLASS-E ® ). The film is then spun at 2500 rpm for 30 seconds to remove the volatile species The film is heated in an air atmosphere for 1 minute at elevated temperatures to 125 ° C., 200 ° C. and 350 ° C. The results are shown below:
본 발명은 바람직한 구현예를 참조하여 구체적으로 설명되고, 기재되었으마, 당해 기술분야의 통상의 지식을 가진 자에 의해 본 발명의 요지 및 범주에서 벗어나지 않는 다양한 변형 및 수정이 이루어질 수 있다고 여겨진다. 청구항은 개시된 구현예, 기재된 선택 사항들 및 이들의 등가물을 모두 포함하고자 한다. While the invention has been described and described in detail with reference to preferred embodiments, it is believed that various changes and modifications can be made by those skilled in the art without departing from the spirit and scope of the invention. The claims are intended to cover all disclosed embodiments, the described options, and their equivalents.
Claims (52)
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/940,686 US8475666B2 (en) | 2004-09-15 | 2004-09-15 | Method for making toughening agent materials |
US10/940,686 | 2004-09-15 | ||
US11/203,558 | 2005-08-12 | ||
US11/203,558 US7915159B2 (en) | 2004-09-15 | 2005-08-12 | Treating agent materials |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20070060117A true KR20070060117A (en) | 2007-06-12 |
Family
ID=36090456
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020077008636A KR20070060117A (en) | 2004-09-15 | 2005-09-07 | Treating agent materials |
Country Status (5)
Country | Link |
---|---|
EP (1) | EP1803149A2 (en) |
JP (1) | JP5161571B2 (en) |
KR (1) | KR20070060117A (en) |
SG (1) | SG141441A1 (en) |
WO (1) | WO2006033836A2 (en) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101376003B1 (en) * | 2012-06-25 | 2014-03-19 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | Self repairing process for porous dielectric materials |
Families Citing this family (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP5019714B2 (en) * | 2005-01-31 | 2012-09-05 | 大陽日酸株式会社 | Damage recovery method for low dielectric constant films |
US7807219B2 (en) * | 2006-06-27 | 2010-10-05 | Lam Research Corporation | Repairing and restoring strength of etch-damaged low-k dielectric materials |
US7999355B2 (en) * | 2008-07-11 | 2011-08-16 | Air Products And Chemicals, Inc. | Aminosilanes for shallow trench isolation films |
JP5705751B2 (en) * | 2009-03-10 | 2015-04-22 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | Cyclic amino compounds for low-k silylation |
JP5404361B2 (en) | 2009-12-11 | 2014-01-29 | 株式会社東芝 | Semiconductor substrate surface treatment apparatus and method |
JP5820688B2 (en) | 2011-03-23 | 2015-11-24 | 株式会社Kri | Solvent used for dissolving polysaccharide, molded product using the solvent, and method for producing polysaccharide derivative |
KR101847033B1 (en) | 2015-11-30 | 2018-04-09 | 김태관 | Coating solution composition for silver coating and coating method using the same |
JP7194372B2 (en) | 2017-06-09 | 2022-12-22 | 株式会社 高秋化学 | METHOD FOR FORMING COATING OF RESIN MOLDED PRODUCT |
JP7292020B2 (en) * | 2018-08-27 | 2023-06-16 | 東京応化工業株式会社 | Surface treatment agent and surface treatment method |
JPWO2021176913A1 (en) * | 2020-03-04 | 2021-09-10 |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6448331B1 (en) * | 1997-07-15 | 2002-09-10 | Asahi Kasei Kabushiki Kaisha | Alkoxysilane/organic polymer composition for thin insulating film production and use thereof |
US6395651B1 (en) * | 1998-07-07 | 2002-05-28 | Alliedsignal | Simplified process for producing nanoporous silica |
JP2001118842A (en) * | 1999-10-15 | 2001-04-27 | Nec Corp | Semiconductor device and its manufacturing method |
KR100797202B1 (en) * | 2000-06-23 | 2008-01-23 | 허니웰 인터내셔널 인코포레이티드 | A method of imparting hydrophobic properties to a damaged silica dielectric film and a method of treating a damaged silica dielectric film |
JP2002353308A (en) * | 2001-05-28 | 2002-12-06 | Toshiba Corp | Semiconductor device and its manufacturing method |
US6879046B2 (en) * | 2001-06-28 | 2005-04-12 | Agere Systems Inc. | Split barrier layer including nitrogen-containing portion and oxygen-containing portion |
US7270941B2 (en) * | 2002-03-04 | 2007-09-18 | Tokyo Electron Limited | Method of passivating of low dielectric materials in wafer processing |
JP2003282698A (en) * | 2002-03-22 | 2003-10-03 | Sony Corp | Method for fabricating semiconductor and the same |
WO2003088344A1 (en) * | 2002-04-10 | 2003-10-23 | Honeywell International, Inc. | Low metal porous silica dielectric for integral circuit applications |
JP4225765B2 (en) * | 2002-10-31 | 2009-02-18 | 日揮触媒化成株式会社 | Method for forming low dielectric constant amorphous silica coating and low dielectric constant amorphous silica coating obtained by the method |
JP2007508691A (en) * | 2003-10-08 | 2007-04-05 | ハネウェル・インターナショナル・インコーポレーテッド | Repair of damage in low dielectric constant dielectric materials using silylating agents |
-
2005
- 2005-09-07 KR KR1020077008636A patent/KR20070060117A/en not_active Application Discontinuation
- 2005-09-07 JP JP2007531317A patent/JP5161571B2/en not_active Expired - Fee Related
- 2005-09-07 SG SG200802085-1A patent/SG141441A1/en unknown
- 2005-09-07 EP EP05806419A patent/EP1803149A2/en not_active Withdrawn
- 2005-09-07 WO PCT/US2005/031936 patent/WO2006033836A2/en active Application Filing
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101376003B1 (en) * | 2012-06-25 | 2014-03-19 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | Self repairing process for porous dielectric materials |
US9029171B2 (en) | 2012-06-25 | 2015-05-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self repairing process for porous dielectric materials |
US9806026B2 (en) | 2012-06-25 | 2017-10-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self repairing process for porous dielectric materials |
Also Published As
Publication number | Publication date |
---|---|
JP2008513552A (en) | 2008-05-01 |
WO2006033836A3 (en) | 2006-07-27 |
EP1803149A2 (en) | 2007-07-04 |
SG141441A1 (en) | 2008-04-28 |
JP5161571B2 (en) | 2013-03-13 |
WO2006033836A2 (en) | 2006-03-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7915159B2 (en) | Treating agent materials | |
US7678712B2 (en) | Vapor phase treatment of dielectric materials | |
US7709371B2 (en) | Repairing damage to low-k dielectric materials using silylating agents | |
KR100984195B1 (en) | Activated chemical process for enhancing material properties of dielectric film | |
JP5161571B2 (en) | Treatment material | |
JP5307963B2 (en) | Method for restoring hydrophobicity in dielectric films and materials | |
JP2007508691A (en) | Repair of damage in low dielectric constant dielectric materials using silylating agents | |
Baklanov et al. | Porous low dielectric constant materials for microelectronics | |
US8283260B2 (en) | Process for restoring dielectric properties | |
EP1691410A2 (en) | Method for defining a feature on a substrate | |
US20050173803A1 (en) | Interlayer adhesion promoter for low k materials | |
JP2004134738A (en) | Low-dielectric-constant material, and manufacturing method thereof | |
KR101064336B1 (en) | Repairing Damage To Low-K-Dielectric Materials Using Silylating Agents |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WITN | Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid |