KR20070032036A - Methods and apparatus for determining endpoint in a plasma processing system - Google Patents

Methods and apparatus for determining endpoint in a plasma processing system Download PDF

Info

Publication number
KR20070032036A
KR20070032036A KR1020077002364A KR20077002364A KR20070032036A KR 20070032036 A KR20070032036 A KR 20070032036A KR 1020077002364 A KR1020077002364 A KR 1020077002364A KR 20077002364 A KR20077002364 A KR 20077002364A KR 20070032036 A KR20070032036 A KR 20070032036A
Authority
KR
South Korea
Prior art keywords
data set
statistical model
component
plasma
steady state
Prior art date
Application number
KR1020077002364A
Other languages
Korean (ko)
Inventor
현호 도
브라이언 케이 맥밀린
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020077002364A priority Critical patent/KR20070032036A/en
Publication of KR20070032036A publication Critical patent/KR20070032036A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Abstract

플라즈마 프로세싱 시스템에서, 프로세스 임계치를 결정하는 방법이 개시된다. 본 방법은 프로세스 시작 부분, 실질적인 정상 상태 부분, 및 프로세스 종료 부분을 포함하는, 플라즈마 프로세스에 기판을 노출시키는 단계를 포함한다. 또한, 본 방법은 실질적인 정상 상태 부분 동안 제 1 데이터 세트를 수집하는 단계; 편차 성분 및 오차 성분으로 구성된 그룹으로부터 선택된 통계 모델 성분을 적어도 포함하는 제 1 통계 모델을 생성하는 단계; 및 제 2 데이터 세트를 수집하는 단계를 포함한다. 본 방법은 통계 모델 성분을 포함하는 제 2 통계 모델을 생성하는 단계를 더 포함하며, 제 1 통계 모델의 통계 모델 성분이 제 2 통계 모델의 통계 모델 성분과 실질적으로 상이한 경우, 프로세스 임계치가 실질적으로 획득된다. In a plasma processing system, a method of determining a process threshold is disclosed. The method includes exposing a substrate to a plasma process, including a process start portion, a substantial steady state portion, and a process end portion. The method also includes collecting the first data set during the substantial steady state portion; Generating a first statistical model comprising at least a statistical model component selected from the group consisting of a deviation component and an error component; And collecting the second data set. The method further includes generating a second statistical model comprising the statistical model component, wherein if the statistical model component of the first statistical model is substantially different from the statistical model component of the second statistical model, the process threshold is substantially Obtained.

플라즈마 프로세싱 시스템, 종료점 결정, 프로세스 임계치, 통계 모델 Plasma processing systems, endpoint determination, process thresholds, statistical models

Description

플라즈마 프로세싱 시스템에서 종료점을 결정하는 방법 및 장치{METHODS AND APPARATUS FOR DETERMINING ENDPOINT IN A PLASMA PROCESSING SYSTEM}METHODS AND APPARATUS FOR DETERMINING ENDPOINT IN A PLASMA PROCESSING SYSTEM}

발명의 배경Background of the Invention

본 발명은 일반적으로는 기판 제조 기술에 관한 것으로, 특히 플라즈마 프로세싱 시스템에서 종료점을 결정하는 방법 및 장치에 관한 것이다.FIELD OF THE INVENTION The present invention generally relates to substrate fabrication techniques, and more particularly to methods and apparatus for determining endpoints in plasma processing systems.

예를 들어, 플랫 패널 디스플레이 제조에서 사용된 것과 같은 반도체 기판 또는 글래스 패널 같은 기판의 프로세싱에서, 플라즈마가 자주 사용된다. 예로든 기판의 프로세싱의 일부로서, 기판은 복수의 다이들 (dies), 또는 사각 영역으로 분할되고, 이들 각각은 집적회로가 될 것이다. 그 후, 기판은 기판상에 전기적 성분을 형성하기 위해 물질이 선택적으로 제거 (에칭) 되고 쌓이는 (증착) 일련의 단계에서 처리된다.For example, in the processing of semiconductor substrates such as those used in flat panel display manufacturing or substrates such as glass panels, plasma is often used. As part of the processing of an example substrate, the substrate will be divided into a plurality of dies, or rectangular regions, each of which will be an integrated circuit. The substrate is then processed in a series of steps in which the material is selectively removed (etched) and deposited (deposited) to form electrical components on the substrate.

예시적인 플라즈마 프로세스에서, 기판은 에칭에 앞서 고체화된 에멀젼 (즉, 포토레지스트 마스크 등) 의 박막으로 코팅된다. 그 후, 고체화된 에멀젼의 영역은 선택적으로 제거되어, 하부 층의 성분이 노출되도록 야기한다. 그 후, 기판은 척 또는 페디스털 (pedestal) 이라고 불리는, 모노폴라 또는 바이폴라 전극을 포함하는 기판 지지 구조 상의 플라즈마 프로세싱 챔버에 위치한다. 그 후, 적절한 에천트 소스가 챔버로 유입되고, 기판의 노출된 영역을 에칭하는 플라즈마를 형성하게 된다.In an exemplary plasma process, the substrate is coated with a thin film of solidified emulsion (ie, photoresist mask, etc.) prior to etching. Thereafter, the area of the solidified emulsion is selectively removed, causing the components of the underlying layer to be exposed. The substrate is then placed in a plasma processing chamber on a substrate support structure that includes a monopolar or bipolar electrode, called a chuck or pedestal. A suitable etchant source then enters the chamber and forms a plasma that etches the exposed areas of the substrate.

도 1 은 저 챔버 압력을 유지하고 프로세스 가스 유출물을 배출하는 펌프 (120) 를 갖춘 챔버 (100) 를 포함한 플라즈마 프로세싱 시스템 (150) 을 나타낸다. 챔버 (100) 는, 샤워헤드 타입 가스 분배 시스템으로서 또한 동작하는 상부전극 (104) 과 같이 그라운딩된다. RF 전력은 전력 소스 (101) 로부터 하부 전극 어셈블리 (106) 에 위치한 정전 척 (척) (108) 에 공급된다. RF 전력 소스는 정합 네트워크 (145) 에서 주파수 동조 또는 가변 임피던스 동조를 함으로써 플라즈마 임피던스로 정합하는 수단을 포함할 수도 있다. RF 전기적 측정은 케이블 (141) 에 의해 프로세스 모듈 컨트롤러 (116) 에 전달되는 신호로 프로브 (140) 를 이용하여 이루어진다. 플라즈마 (102) 는 기판 (109) 을 처리하기 위해 RF 전력을 척 (108) 에 공급함으로써 생성된다. 이 예시적인 시스템에서, 플라즈마 (102) 는 플라즈마 (102) 내의 압력을 조절할 수도 있는 제한 링 (103) 에 의해 척 (108) 과 전극 (104) 사이에 제한된다. 제한 링 (103) 은 일반적으로 캠 링의 사용에 의해, 인접한 제한 링들 사이의 공간이나 갭을 증가시키고 감소시키기 위해 움직일 수 있다. 일반적으로, 가스 분배 시스템 (122) 은 플라즈마 프로세싱 가스들 (예를 들어, C4F8, C4F6, CHF3, CH2F3, CF4, HBr, CH3F, C2F4, N2, O2, Ar, Xe, He, H2, NH3, SF6, BCl3, Cl2, WF6 등) 을 포함하는 압축된 가스 실린더로 구성된다.1 shows a plasma processing system 150 including a chamber 100 with a pump 120 that maintains a low chamber pressure and discharges a process gas effluent. The chamber 100 is grounded like an upper electrode 104 which also operates as a showerhead type gas distribution system. RF power is supplied from the power source 101 to an electrostatic chuck (chuck) 108 located in the lower electrode assembly 106. The RF power source may include means for matching to plasma impedance by frequency tuning or variable impedance tuning in the matching network 145. RF electrical measurements are made using the probe 140 as a signal transmitted by the cable 141 to the process module controller 116. The plasma 102 is generated by supplying RF power to the chuck 108 to process the substrate 109. In this example system, the plasma 102 is confined between the chuck 108 and the electrode 104 by a confinement ring 103 that may regulate the pressure within the plasma 102. The confinement ring 103 can move to increase and decrease the space or gap between adjacent confinement rings, generally by use of a cam ring. In general, the gas distribution system 122 includes plasma processing gases (eg, C 4 F 8, C 4 F 6 , CHF 3 , CH 2 F 3 , CF 4 , HBr, CH 3 F, C 2 F 4 , N 2 , O 2 , Ar, Xe, He, H 2 , NH 3 , SF 6 , BCl 3 , Cl 2 , WF 6 And compressed gas cylinders).

동작되는 동안, 플라즈마 유도 전자기 방사 (광학 방사) 는 윈도우 (110) 를 통해 수집되고 렌즈 (111) 와 화이버 옵틱 (112) 에 의해 분광계 (114) 상에 이미 지를 만들 수도 있다. 분광계 (114) 내의 광학 검출기는 신호 케이블 (115) 을 통해 스펙트럼으로 해상된 방사 신호를 에치 프로세스 컨트롤러 (116) 로 전송한다. During operation, plasma induced electromagnetic radiation (optical radiation) may be collected through window 110 and make an image on spectrometer 114 by lens 111 and fiber optic 112. The optical detector in spectrometer 114 transmits the spectral resolved radiation signal to signal processing controller 116 via signal cable 115.

분광계 (114) 는 바람직하게는 Ocean Optics, Inc. 에 의해 제조된 모델 S2000 과 같이 상업적으로 이용 가능한 유닛일 수도 있다. 통상적으로, 컴팩트한 분광계는, 내부 회절 격자와 옵틱, 및 약 2048 개의 픽셀을 갖는 내장 CCD 어레이에 의해, 약 200nm 와 약 850nm 사이의 파장 범위에 걸쳐 스펙트럼 신호를 분산시키고 수집할 것이다. 그러한 시스템에서, 광학 해상도는 통상적으로 약 1nm 이다. 광학 방사 스펙트럼들은 약 1 내지 약 10 Hz 의 샘플링 레이트에서 기판을 처리하는 동안에 수집된다.Spectrometer 114 is preferably Ocean Optics, Inc. It may be a commercially available unit, such as a model S2000 manufactured by. Typically, a compact spectrometer will scatter and collect spectral signals over a wavelength range between about 200 nm and about 850 nm by an internal diffraction grating and optics, and an embedded CCD array with about 2048 pixels. In such a system, the optical resolution is typically about 1 nm. Optical emission spectra are collected during processing of the substrate at a sampling rate of about 1 to about 10 Hz.

일반적으로, 냉각 시스템의 일부 형태는 일단 플라즈마가 점화되면 열 평형을 이루기 위해 척에 결합된다. 일반적으로, 냉각 시스템 그 자체는 척 내부에서 공동 (cavity) 을 통해 냉각제를 펌프질하는 냉각 장치로 구성되어 있고, 헬륨 가스는 척과 기판 사이의 작은 갭에 압력을 가한다. 생성된 열을 제거하는 것 외에, 또한 헬륨 가스는 냉각 시스템이 열 소산을 신속하게 제어하도록 한다. 즉, 헬륨 압력을 증가시키는 것은 결과적으로 열 전달률 또한 증가시킨다. 또한, 대부분의 플라즈마 프로세싱 시스템은 소프트웨어 프로그램을 동작시키는 단계를 포함하는 정교한 컴퓨터에 의해 제어된다. 전형적인 동작 환경에서, 제조 프로세스 파라미터들 (예를 들어, 전압, 가스 플로우 믹스, 가스 플로우 레이트, 압력 등) 은 일반적으로 특정 플라즈마 프로세싱 시스템 및 구체적인 방법에 대해 구성된다.In general, some forms of cooling system are coupled to the chuck to achieve thermal equilibrium once the plasma is ignited. In general, the cooling system itself consists of a cooling device that pumps the coolant through a cavity inside the chuck, and the helium gas pressurizes a small gap between the chuck and the substrate. In addition to removing the generated heat, helium gas also allows the cooling system to quickly control heat dissipation. In other words, increasing the helium pressure results in an increase in the heat transfer rate. In addition, most plasma processing systems are controlled by sophisticated computers that include operating a software program. In a typical operating environment, manufacturing process parameters (eg, voltage, gas flow mix, gas flow rate, pressure, etc.) are generally configured for a particular plasma processing system and specific method.

듀얼 다마신으로 알려진, 통상적인 기판 제조 방법에서, 절연층들은 비아 홀을 채우는 도전성의 플러그에 의해 전기적으로 접속되어 있다. 일반적으로, 개구가 보통 TaN 또는 TiN 배리어와 함께 정렬된 절연층에 형성되고, 그 후 이어서 도전성 패턴의 2 세트 사이에 전기적 접촉을 가능하게 하는 도전성 물질 (예를 들어, 알루미늄 (Al), 구리 (Cu) 등) 로 채워진다. 이것은 소스/드레인 영역과 같은, 기판상의 2 개의 활성 영역 사이에서 전기적 접촉을 확립한다. 절연층의 표면상에서 초과 도전성 물질은 통상적으로 화학기계적 연마 (chemical mechanical polishing; CMP) 에 의해 제거된다. 그 후, 실리콘 질화물의 블랭킷 (blanket) 층이 증착되어 구리를 캡핑한다.In a conventional substrate manufacturing method, known as dual damascene, the insulating layers are electrically connected by conductive plugs filling the via holes. Generally, openings are formed in an insulating layer, usually aligned with a TaN or TiN barrier, and then conductive materials (e.g., aluminum (Al), copper () that allow electrical contact between two sets of conductive patterns. Cu) and the like). This establishes electrical contact between two active regions on the substrate, such as the source / drain regions. The excess conductive material on the surface of the insulating layer is typically removed by chemical mechanical polishing (CMP). A blanket layer of silicon nitride is then deposited to cap copper.

듀얼 다마신 기판을 제조하는 3 개의 일반적으로 사용되는 접근법: 비아-퍼스트 (via-first), 트렌치-퍼스트 (trench-first), 및 듀얼 하드 마스크 (dual hard mask) 가 있다. 비아-퍼스트 방법의 한 예에서, 기판은 포토레지스트로 제 1 코팅되고 그 후, 비아는 리소그래피로 패터닝된다. 다음으로, 이방성의 에치는 표면 캡 물질을 관통하여 절단하고 기판의 저-k 층을 통해 에칭하고, 하부금속 층 바로 위인, 실리콘 질화물 배리어에서 멈춘다. 다음으로, 비아 포토레지스트 층은 제거되고, 트렌치 포토레지스트가 적용되고 리소그래피로 패터닝된다. 통상적으로, 더 낮은 부분 비아가 트렌치 에치 프로세스 동안에 오버에칭되는 것을 방지하기 위해, 포토레지스트의 일부는 비아의 보텀 (bottom) 에 남을 것이며, 또는 비아는 유기 ARC 플러그에 의해 덮일 수도 있다. 제 2 의 이방성 에 치는 그 후, 표면 캡 물질을 관통하여 절단하고 저-k 물질을 희망하는 깊이로 에칭한다. 이 에치가 트렌치를 형성한다. 그 후, 포토레지스트는 제거되고 비아의 보텀에 있는 실리콘 질화물 배리어는 매우 부드럽고, 낮은 에너지의 에치로 개방되어, 하부 구리가 비아로 스퍼터링되지 않도록 한다. 상술한 바와 같이, 트렌치 및 비아는 도전성 물질 (예를 들어, 알루미늄 (Al), 구리 (Cu) 등) 로 채워지고 화학기계적 연마 (CMP) 에 의해 연마된다. There are three commonly used approaches for making dual damascene substrates: via-first, trench-first, and dual hard mask. In one example of the via-first method, the substrate is first coated with photoresist and the vias are then patterned lithographically. Next, the anisotropic etch cuts through the surface cap material and etches through the low-k layer of the substrate and stops at the silicon nitride barrier, just above the bottom metal layer. Next, the via photoresist layer is removed, the trench photoresist is applied and lithographically patterned. Typically, to prevent the lower partial vias from overetching during the trench etch process, a portion of the photoresist will remain at the bottom of the vias, or the vias may be covered by an organic ARC plug. The second anisotropic etch is then cut through the surface cap material and the low-k material is etched to the desired depth. This etch forms the trench. The photoresist is then removed and the silicon nitride barrier at the bottom of the via is very soft and opens to a low energy etch to prevent the underlying copper from sputtering into the via. As mentioned above, the trenches and vias are filled with a conductive material (eg, aluminum (Al), copper (Cu), etc.) and polished by chemical mechanical polishing (CMP).

다른 방법은 트렌치-퍼스트이다. 일 예에서, 기판은 포토레지스트로 코팅되고 트렌치 리소그래피 패턴이 적용된다. 그 후, 이방성 드라이 에치는 표면 하드 마스크 (다시 통상적으로, SiN, TiN, 또는 TaN) 를 관통하여 절단하고 이어서 포토레지스트를 제거한다. 다른 포토레지스트는 트렌치 하드 마스크 위에 적용되고, 그 후 비아는 리소그래피 패터닝된다. 그 후, 제 2 의 이방성 에치는 캡 층을 관통하여 절단하고 저-k 물질로 부분적으로 에칭한다. 이 에치는 부분 비아를 형성한다. 그 후, 포토레지스트는 하드 마스크와 함께 비아 위에 트렌치 에치에 대해 제거된다. 그 후, 트렌치 에치는 캡 층을 관통하여 절단하고 저-k 물질을 희망하는 깊이로 부분적으로 에칭한다. 또한, 이 에치는 비아의 보텀에 위치한 최종 배리어 상에 멈추는 동시에 비아 홀들을 제거한다. 그 후, 보텀 배리어는 특별한 에치와 함께 개방된다. Another method is trench-first. In one example, the substrate is coated with photoresist and a trench lithography pattern is applied. The anisotropic dry etch is then cut through the surface hard mask (again typically SiN, TiN, or TaN) and subsequently removed the photoresist. Another photoresist is applied over the trench hard mask, after which the vias are lithographic patterned. The second anisotropic etch is then cut through the cap layer and partially etched with the low-k material. This etch forms partial vias. The photoresist is then removed against the trench etch over the vias with the hard mask. The trench etch then cuts through the cap layer and partially etches the low-k material to the desired depth. The etch also stops on the final barrier located at the bottom of the via and simultaneously removes the via holes. The bottom barrier is then opened with a special etch.

제 3 의 방법은 듀얼 하드 마스크이다. 이 방법은 산화 에치 단계들을 결합하지만, 질화물 마스크와 에치 단계 사이에 2 개의 분리된 ILD (층간 절연체) 증착을 요구한다. 저 (비아) 절연체는 탑 (top) 및 보텀 모두의 상에서 질화물 에치 스탑 (stop) 에 증착된다. 탑 질화물은 비아 하드 마스크를 형성하기 위해 마스크되고 에칭된다. 이것은 특별한 질화물 에치 프로세스를 요한다. 그 후, 탑 (라인) 절연체가 증착된다. 최종적으로, 트렌치 마스크는 질화물에서 에칭된 비아 개구와 정렬되고, 트렌치 및 비아 모두, 일 에치 단계에서 산화물의 양 층에서 에칭된다. The third method is a dual hard mask. This method combines oxidized etch steps, but requires two separate ILD (interlayer insulator) depositions between the nitride mask and the etch step. Low (via) insulators are deposited at the nitride etch stops on both the top and bottom. Top nitride is masked and etched to form a via hard mask. This requires a special nitride etch process. After that, a top (line) insulator is deposited. Finally, the trench mask is aligned with the via opening etched in the nitride, and both the trench and the via are etched in both layers of oxide in one etch step.

검토를 용이하게 하기 위해, 도 2A 는 리소그래피 단계에 앞서, 예시 기판의 층들을 표현하는, 층 스택의 이상화된 단면도를 보여준다. 후술할 검토에서, 층들간의 공간적 관계를 논의하기 위해 여기서 사용될 수도 있는 "위 (above)" 및 "아래 (below)" 와 같은 용어들은, 항상 그럴 필요는 없지만, 관련된 층들간의 직접적인 접촉을 의미할 수도 있다. 도시된 층들의 위, 아래, 또는 그 사이에 다른 추가적인 층들이 존재할 수도 있음을 유념해야 한다. 또한, 도시된 층들 전부가 반드시 존재할 필요는 없으며, 일부 또는 전부는 다른 별개의 층들에 의해 대체될 수도 있다. To facilitate the review, FIG. 2A shows an idealized cross-sectional view of the layer stack, representing the layers of the exemplary substrate, prior to the lithography step. In the discussion that follows, terms such as “above” and “below”, which may be used herein to discuss the spatial relationship between layers, do not always need to mean direct contact between related layers. You may. It should be noted that other additional layers may be present above, below, or in between. In addition, not all illustrated layers need necessarily be present, some or all of which may be replaced by other separate layers.

층 스택의 보텀에서, 반도체를 포함하는 층 (208) 이 도시된다. 층 (208) 위에 질화물 또는 탄화물 (SiN 또는 SiC) 을 통상적으로 포함하는 배리어 층 (204) 이 배치된다. 듀얼 다마신 기판은 알루미늄 또는 구리를 통상적으로 포함하는, M1 (209a-b) 을 포함하는 금속층들의 세트를 더 포함한다. 배리어 층 (204) 위에, 저-k 물질 (예를 들어, SiOC 등) 을 포함하는, 중간 절연 (intermediate dielectric; IMD) 층 (206) 이 배치된다. IMD 층 (206) 위에, SiO2 를 통상적으로 포함하는, 캡 층 (203) 이 배치될 수도 있다. 캡 층 (203) 위에, TiN, SiN, 또는 TaN 을 통상적으로 포함하는, 트렌치 마스크 층 (202) 이 배치될 수도 있다. At the bottom of the layer stack, a layer 208 including a semiconductor is shown. Over the layer 208 a barrier layer 204 is typically disposed comprising nitride or carbide (SiN or SiC). The dual damascene substrate further includes a set of metal layers comprising M1 209a-b, typically comprising aluminum or copper. Above the barrier layer 204 is an intermediate dielectric (IMD) layer 206 comprising a low-k material (eg, SiOC, etc.) is disposed. Over the IMD layer 206, a cap layer 203, which typically includes SiO 2 , may be disposed. Over the cap layer 203, a trench mask layer 202, which typically includes TiN, SiN, or TaN, may be disposed.

도 2B 는 포토레지스트 층 (220) 및 BARC 층 (212) 이 더 추가된 후의, 도 2A 의 층 스택의 다소 이상화된 단면도를 도시한다.2B shows a somewhat idealized cross-sectional view of the layer stack of FIG. 2A after further photoresist layer 220 and BARC layer 212 are added.

도 2C 는 포토레지스트 층 (220) 및 BARC 층 (212) 이 리소그래피를 통해 처리된 후의 도 2B 의 층 스택의 다소 이상화된 단면도를 도시한다. 이 예에서, 포토레지스트 마스크 패턴은 트렌치들 (214a 및 214b) 의 세트와 함께 생성된다. 2C shows a somewhat idealized cross-sectional view of the layer stack of FIG. 2B after photoresist layer 220 and BARC layer 212 have been processed via lithography. In this example, a photoresist mask pattern is created with the set of trenches 214a and 214b.

도 2D 는 트렌치 마스크 층 (201) 이 플라즈마 시스템에서 처리되어 트렌치 (214a 및 214b) 가 캡 층 (203) 까지 더 확장된 후의, 도 2C 의 층 스택의 단면도를 도시한다. 2D shows a cross-sectional view of the layer stack of FIG. 2C after trench mask layer 201 has been processed in a plasma system such that trenches 214a and 214b extend further to cap layer 203.

도 2E 는 포토레지스트 층 (220) 및 BARC 층 (212) 이 제거된 후의, 도 2D 의 층 스택의 단면도를 도시한다. 2E shows a cross-sectional view of the layer stack of FIG. 2D after photoresist layer 220 and BARC layer 212 are removed.

도 2F 는, 제 2 금속층 및 그것을 제 1 금속층 (209a 및 209b) 에 접속하는 비아를 생성하기 위해, 제 2 포토레지스트 층 (216) 및 BARC 층 (218) 이 배치된 후의, 도 2E 의 층 스택의 단면도를 도시한다. FIG. 2F shows the layer stack of FIG. 2E after the second photoresist layer 216 and the BARC layer 218 are disposed to create a second metal layer and vias connecting it to the first metal layers 209a and 209b. The cross section of the is shown.

도 2G 는, 포토레지스트 층이 개방되고 에칭이 수행되어 IMD 층 (206) 으로 부분적으로 에칭하여 비아를 형성한 후의, 도 2F 의 층 스택의 단면도를 도시한다. 2G shows a cross-sectional view of the layer stack of FIG. 2F after the photoresist layer is open and etching is performed to partially etch with IMD layer 206 to form vias.

도 2H 는, 포토레지스트 층 (216) 및 BARC 층 (218) 이 제거되고, 추가적인 에치 프로세스가 수행되어 희망하는 깊이까지 트렌치가 확장되고, 비아를 관통하는 에칭이 배리어 층 (204) 상에서 중단된 후의, 도 2G 의 층 스택의 단면도를 도시한다. 2H shows that after the photoresist layer 216 and BARC layer 218 are removed, an additional etch process is performed to extend the trench to the desired depth, and etching through the via is stopped on the barrier layer 204. FIG. 2G is a cross-sectional view of the layer stack. FIG.

도 2I 에서, 배리어 층 (204) 은, 예를 들어 CH2F2, CH3F 등을 이용함으로써 에칭된다. In FIG. 2I, the barrier layer 204 is etched by using, for example, CH 2 F 2 , CH 3 F, and the like.

도 2J 에서, 층 스택을 캡 층 (203) 까지 연마하는 데에 화학기계적 연마 프로세스가 수행되며, 도전성의 물질 (예를 들어, 알루미늄 (Al), 구리 (Cu) 등) 은 존재하는 M1 금속 물질에 접촉되도록 배치된다. In FIG. 2J, a chemical mechanical polishing process is performed to polish the layer stack to the cap layer 203, wherein the conductive material (eg, aluminum (Al), copper (Cu), etc.) is present in the M1 metal material. Is arranged to contact.

플라즈마 에치 프로세스 동안 가장 중요한 프로세스 단계 중의 하나는 종료점이다. 일반적으로, 종료점은 프로세스가 완료되었다고 간주되는 플라즈마 프로세스에서의 값들의 세트, 또는 범위 (예를 들어, 시간) 를 의미한다. 예를 들어, 비아를 에칭할 때, 하부 층으로의 에칭의 양을 최소화하기 위해, SiN 과 같은 배리어 층이 언제 실질적으로 침투되어야 하는지를 결정하는 것이 중요하다. One of the most important process steps during the plasma etch process is the endpoint. In general, an endpoint refers to a set, or range (eg, time) of values in a plasma process in which the process is considered complete. For example, when etching vias, it is important to determine when the barrier layer, such as SiN, should substantially penetrate in order to minimize the amount of etching to the underlying layer.

그러나, 이러한 플라즈마 프로세스나 다른 플라즈마 프로세스에서, 프로세스 조건들은 챔버 잔류물 축적, 챔버 구조에 대한 플라즈마 데미지 등 때문에 플라즈마 프로세싱 시스템 내에서 가변적일 수 있기 때문에, 프로세스를 모니터링 하는 것은 종종 어렵다. However, in such or other plasma processes, it is often difficult to monitor the process because process conditions may vary within the plasma processing system due to chamber residue accumulation, plasma damage to the chamber structure, and the like.

플라즈마 프로세싱 시스템 내에서 이용되는 하나의 통상적인 기술은 광학 방사 분광학 (OES) 이다. OES 에서, 플라즈마 프로세싱 시스템에서 선택된 화학 종 (즉, 라디칼, 이온 등과 같은) 의 세트로부터의 광학 방사는 종료점과 같은 프로세스 임계치와 상관될 수도 있다. 즉, 플라즈마 프로세싱 챔버 내에서 활성화된 각 타입의 종들은, (일반적으로 약 245nm 와 약 800nm 사이) 전자기 방사 파장의 유일한 세트에 통상적으로 대응하는, 유일한 스펙트럼 신호를 일반적으로 갖는다. 임의의 다른 종들 또는 플라즈마 프로세스 그 자체에 의해 실질적으로 산출되지 않은 특정 파장의 강도에 대해 모니터링 함으로써, 프로세스 임계치는 플라즈마 챔버에 있는 특정 종의 상대적인 양의 변화를 관찰함으로써 결정될 수 있다. One common technique used within plasma processing systems is optical emission spectroscopy (OES). In OES, optical radiation from a set of selected chemical species (ie, radicals, ions, etc.) in a plasma processing system may be correlated with process thresholds, such as endpoints. That is, each type of species activated in the plasma processing chamber generally has a unique spectral signal, typically corresponding to a unique set of electromagnetic radiation wavelengths (generally between about 245 nm and about 800 nm). By monitoring for any other species or intensity of a particular wavelength not substantially produced by the plasma process itself, the process threshold can be determined by observing a change in the relative amount of a particular species in the plasma chamber.

예를 들어, SiO2 가 CF-계 에천트 (예를 들어, CF4) 를 이용하여 에칭될 때, CO 종은 일반적으로 약 483.5nm 의 특정 파장에서 산출된다. 마찬가지로, SiN 또한 CF-계 에천트로 에칭될 때, N 종은 일반적으로 약 674nm 의 특정 파장에서 산출된다. 일단 적당한 SiO2 또는 SiN 물질이 실질적으로 소모되면, 프로세스가 종료점에 도달했다는 신호를 주는, 산출된 종의 상응하는 파장이 일반적으로 떨어진다. For example, when SiO 2 is etched using a CF-based etchant (eg, CF 4 ), CO species are generally produced at a specific wavelength of about 483.5 nm. Likewise, when SiN is also etched with CF-based etchant, N species are generally produced at a specific wavelength of about 674 nm. Once suitable SiO 2 or When the SiN material is substantially consumed, the corresponding wavelength of the calculated species generally drops, signaling that the process has reached an end point.

이제 도 3 을 언급하면, 파장 (304) 이 신호 강도 (302) 에 맵핑되는 블랭킷 산화 기판 (Ar/C4F8/CH2F2/O2 화학-6kW/50mTorr) 에 대한 광학 방사 스펙트럼 스냅샷의 간략화된 예를 나타낸다. 이 예에서, CF2 (306) 는 275nm 와 321nm 에 대해 현저한 스펙트럼 방사를 보인다. CO (308) 는 451nm, 520nm, 561nm, 및 662nm 에 대해 현저한 스펙트럼 방사를 보인다. H (310) 는 656nm 에 대해 현저한 스 펙트럼 방사를 보인다. 반면에, Ar (312) 은 750nm 에 대해 현저한 스펙트럼 방사를 보인다. Referring now to FIG. 3, optical emission spectral snaps on a blanket oxide substrate (Ar / C 4 F 8 / CH 2 F 2 / O 2 chemistry-6 kW / 50 mTorr) in which wavelength 304 is mapped to signal intensity 302. A simplified example of a shot is shown. In this example, CF 2 306 shows significant spectral emission for 275 nm and 321 nm. CO 308 shows significant spectral emission for 451 nm, 520 nm, 561 nm, and 662 nm. H 310 shows significant spectral emission for 656 nm. Ar 312, on the other hand, shows significant spectral emission for 750 nm.

그러나, 현재 광학 분광학 종료점 검출법은 플라즈마 광학 방사가 챔버 조건의 변화에 민감하다는 문제가 있을 수도 있다. 어떤 면에서는, 플라즈마 광학 방사에서의 이러한 변화들은, 종료점 콜을 트리거 하는데에 사용되는 기대되는 변화에 비할 수 있고, 따라서, 그릇된 종료점 콜을 야기할 수 있다. 또한, 전체 표면 영역 중의 오직 작은 부분 (일반적으로 1% 미만) 만이 실제로 종료점에서 신호 변화를 산출할 수도 있기 때문에, 변화는 배경 챔버 OES 신호의 존재 하에 검출하기 어려울 수도 있다. 또한, 서브-미크론 비아 접촉들 (sub-micron via contacts) 및 고 애스펙트 비 (high aspect ratios) 를 갖는 기판에 대해 단계적으로 확대되는 조건들에 의해 효과적인 미션 스펙트럼 분석 또한 더욱 어려워진다. However, current optical spectroscopy endpoint detection methods may have the problem that plasma optical radiation is sensitive to changes in chamber conditions. In some respects, these changes in plasma optical emission may be comparable to the expected changes used to trigger the endpoint call, thus resulting in a false endpoint call. Also, since only a small portion (typically less than 1%) of the total surface area may actually yield a signal change at the endpoint, the change may be difficult to detect in the presence of the background chamber OES signal. In addition, effective mission spectral analysis also becomes more difficult due to escalating conditions for substrates with sub-micron via contacts and high aspect ratios.

상술한 바를 고려하여, 플라즈마 프로세싱 시스템에서 종료점을 결정하는 바람직한 방법들 및 장치들이 있다. In view of the foregoing, there are preferred methods and apparatus for determining an endpoint in a plasma processing system.

발명의 요약Summary of the Invention

플라즈마 프로세싱 시스템에서의, 일 실시형태에서, 프로세스 임계치를 결정하는 방법에 관한 본 발명이 개시된다. 본 방법은 프로세스 시작 부분, 실질적인 정상 상태 부분, 및 프로세스 종료 부분을 포함하는 플라즈마 프로세스에 기판을 노출시키는 단계를 포함한다. 또한, 본 방법은 실질적인 정상 상태 부분 동안에 제 1 데이터 세트를 수집하는 단계; 편차 성분 및 오차 성분으로 구성된 그룹으로부터 선택된 통계 모델 성분을 적어도 포함하는 제 1 통계 모델을 생성하는 단 계; 및 제 2 데이터 세트를 수집하는 단계를 포함한다. 본 방법은 통계 모델 성분을 포함하는 제 2 통계 모델을 생성하는 단계를 더 포함하는데, 제 1 통계 모델의 통계 모델 성분이 제 2 통계 모델의 통계 모델 성분과 실질적으로 상이한 경우, 프로세스 임계치가 실질적으로 획득된다. In an embodiment, in a plasma processing system, the present invention relates to a method of determining a process threshold. The method includes exposing a substrate to a plasma process that includes a process start portion, a substantially steady state portion, and a process end portion. The method also includes collecting the first data set during the substantial steady state portion; Generating a first statistical model comprising at least a statistical model component selected from the group consisting of a deviation component and an error component; And collecting the second data set. The method further includes generating a second statistical model comprising the statistical model component, wherein if the statistical model component of the first statistical model is substantially different from the statistical model component of the second statistical model, the process threshold is substantially Obtained.

플라즈마 프로세싱 시스템에서의, 일 실시형태에서, 본 발명은 프로세스 임계치를 결정하는 장치와 관련된다. 본 방법은 프로세스 시작 부분, 실질적인 정상 상태 부분, 및 프로세스 종료 부분을 포함하는 플라즈마 프로세스에 기판을 노출시키는 수단을 포함한다. 또한, 본 방법은, 실질적인 정상 상태 부분 동안에 제 1 데이터 세트를 수집하는 수단; 편차 성분 및 오차 성분으로 구성된 그룹으로부터 선택된 통계 모델 성분을 적어도 포함하는 제 1 통계 모델을 생성하는 수단; 및 제 2 데이터 세트를 수집하는 수단을 포함한다. 본 방법은 통계 모델 성분을 포함하는 제 2 통계 모델을 생성하는 수단을 더 포함하는데, 제 1 통계 모델의 통계 모델 성분이 제 2 통계 모델의 통계 모델 성분과 실질적으로 상이하다면, 프로세스 임계치가 실질적으로 획득된다. In a plasma processing system, in one embodiment, the present invention relates to an apparatus for determining a process threshold. The method includes means for exposing a substrate to a plasma process comprising a process start portion, a substantial steady state portion, and a process end portion. The method also includes means for collecting the first data set during the substantial steady state portion; Means for generating a first statistical model comprising at least a statistical model component selected from the group consisting of a deviation component and an error component; And means for collecting the second data set. The method further includes means for generating a second statistical model comprising the statistical model component, wherein if the statistical model component of the first statistical model is substantially different than the statistical model component of the second statistical model, the process threshold is substantially Obtained.

본 발명의 이런 특성 및 다른 특성은 뒤따를 도들과 함께 아래의 발명의 상세한 설명에서 자세히 기술될 것이다.These and other features of the invention will be described in detail in the detailed description below, in conjunction with the following figures.

도면의 간단한 설명Brief description of the drawings

본 발명은 제한하고자 하는 것이 아니라 예시로서 설명되는 것이고, 수반하는 도면의 도들에서 동일한 참조 번호는 동일한 요소를 나타낸다. The present invention is described by way of example and not by way of limitation, in the figures of the accompanying drawings which refer to like elements.

도 1 은 플라즈마 프로세싱 시스템의 간략화된 도를 도시한다.1 shows a simplified diagram of a plasma processing system.

도 2A 내지 도 2J 는 예시적인 기판의 층을 표현하는, 층 스택의 이상화된 단면도를 도시한다.2A-2J illustrate idealized cross-sectional views of a layer stack, representing a layer of an exemplary substrate.

도 3 은 블랭킷 산화 기판에 대한 광학 방사 스펙트럼 스냅샷의 간략화된 예시를 도시한다.3 shows a simplified illustration of optical emission spectral snapshots for a blanket oxide substrate.

도 4 는 발명의 일 실시형태에 따라, 프로세스 임계치 (즉, 종료점 등) 를 결정하는 데에 편차가 이용되는 플라즈마 프로세싱 시스템에서 사용되는 통계 모델을 채용하는 간략화된 프로세스를 도시한다.4 illustrates a simplified process employing a statistical model used in a plasma processing system in which deviations are used to determine process thresholds (ie, endpoints, etc.), in accordance with an embodiment of the invention.

도 5 는 발명의 일 실시형태에 따라, 프로세스 임계치를 결정하는 데에 오차가 이용되는 플라즈마 프로세싱 시스템에서 사용되는 통계 모델을 채용하는 간략화된 프로세스를 도시한다.5 illustrates a simplified process of employing a statistical model used in a plasma processing system in which an error is used to determine a process threshold, in accordance with an embodiment of the invention.

도 6 은 발명의 일 실시형태에 따라, 플라즈마 프로세싱 시스템 내의 기판에 대해 CF2 의 광학 방사를 보여주는 간략화된 도를 도시한다.6 shows a simplified diagram showing optical emission of CF 2 relative to a substrate in a plasma processing system, in accordance with an embodiment of the invention.

도 7 은 발명의 일 실시형태에 따라, 실질적인 정상 상태 측정치의 세트 및 프로세스 종료 측정치의 세트를 포함하는 통계 모델의 세트로부터 호텔링 T2 편차의 세트가 생성되는 것을 나타낸 간략화된 도를 도시한다.FIG. 7 illustrates a simplified diagram showing that a set of hotelling T 2 deviations is generated from a set of statistical models that includes a set of substantial steady state measurements and a set of process termination measurements, in accordance with an embodiment of the invention.

도 8 은 발명의 일 실시형태에 따라, 실질적인 정상 상태 측정치의 세트 및 프로세스 종료 측정치의 세트를 포함하는 통계 모델의 세트로부터 q 통계 오차의 세트가 생성되는 것을 나타낸 간략화된 도를 도시한다. 8 shows a simplified diagram illustrating that a set of q statistical errors is generated from a set of statistical models that includes a set of substantial steady state measurements and a set of process termination measurements, in accordance with an embodiment of the invention.

바람직한 실시형태들의 상세한 설명Detailed Description of the Preferred Embodiments

본 발명은 수반하는 도들에 묘사된 바와 같이 몇몇 바람직한 실시형태들을 참조하여 여기서 설명될 것이다. 후술할 설명에서는, 본 발명의 완전한 이해를 제공하기 위해 다수의 특정 세부 내용들이 설명된다. 그러나, 당업자에게는, 이러한 특정 세부 내용의 일부 또는 전부가 없어도 본 발명이 수행될 수도 있음이 명백할 것이다. 다른 면에서, 공지의 프로세스 단계 및/또는 구조는, 불필요하게 본 발명을 불명확하게 하지 않기 위해 상세히 설명하지 않는다. The invention will be described herein with reference to some preferred embodiments as depicted in the accompanying figures. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, it will be apparent to one skilled in the art that the present invention may be practiced without some or all of these specific details. In other respects, well known process steps and / or structures have not been described in detail in order not to unnecessarily obscure the present invention.

이론에 구속되지 않길 바라면서, 여기서 발명자는 플라즈마 프로세스의 통계 모델은, 에치 종료점과 같은 프로세스 임계치를 결정하는데 이용될 수 있다고 믿는다. 일반적으로, 다수의 통계 분석 기술들은 측정치 또는 샘플의 세트를, 관찰된 측정치들을 합리적으로 설명하고 가능하다면 측정치들을 예측하는 통계 모델로 변환할 수 있다. Without wishing to be bound by theory, the inventors here believe that a statistical model of the plasma process can be used to determine process thresholds, such as etch endpoints. In general, many statistical analysis techniques may convert a set of measurements or samples into a statistical model that reasonably describes the observed measurements and, if possible, predicts the measurements.

통계 모델 자체는, 어떻게 새로운 샘플이 통계 모델을 따르는지를 설명하는 구성요소들의 제 1 세트 (종종 편차라고 불림) 및 통계 모델을 따르지 않는 새로운 샘플에서 편차를 포착하는 구성요소들의 제 2 세트 (종종 오차라고 불림) 로 구성될 수도 있다. 불분명한 방법에서, 상대적으로 더 민감한 통계 모델이, 상대적으로 작은 편차를 가진 플라즈마 프로세스의 부분 동안에 측정치의 세트로부터 생성될 수도 있다. 즉, 통계 모델의 편차 및 오차는 상대적으로 작아질 수도 있다. 실질적으로 편차 또는 오차를 증가시키는, 새로운 다음 측정은, 에치 종료점과 같은 프로세스 임계치에 신호를 보낼 수도 있다. 일 실시형태에서, 통계 모델은 각각의 개별 기판에 대해 생성되어, 결과적으로 프로세스 정합, 플라즈마 챔버 정합, 및 기판 정합에 의해 야기된 프로세스 임계치 검출의 민감도를 실질적으로 감소시킨다. 다른 실시형태에서, 통계 모델은 제한 링들의 세트를 포함한다. 또 다른 실시형태에서, 통계 모델은 저 개방 영역 에칭 플라즈마 프로세스를 포함한다. The statistical model itself is a first set of components (sometimes called a deviation) that describes how the new sample follows the statistical model and a second set of components (often an error) that captures the deviation in the new sample that does not follow the statistical model. May be called). In an obscure method, a relatively more sensitive statistical model may be generated from a set of measurements during the portion of the plasma process with relatively small deviations. That is, deviations and errors in the statistical model may be relatively small. A new next measurement, which substantially increases the deviation or error, may signal a process threshold, such as an etch endpoint. In one embodiment, a statistical model is generated for each individual substrate, resulting in a substantially reduced sensitivity of process matching, plasma chamber matching, and process threshold detection caused by substrate matching. In another embodiment, the statistical model includes a set of restriction rings. In yet another embodiment, the statistical model comprises a low open area etch plasma process.

그러나, 상술한 바처럼, 이런 플라즈마 프로세스 및 다른 플라즈마 프로세스에서, 챔버 잔여물 축적, 챔버 구조에 대한 플라즈마 손상 등 때문에 프로세스 조건들이 플라즈마 프로세싱 시스템 내에서 동적일 수도 있기 때문에, 프로세스를 모니터링 하는 것은 종종 어렵다. However, as described above, in such and other plasma processes, it is often difficult to monitor the process because process conditions may be dynamic within the plasma processing system due to chamber residue accumulation, plasma damage to the chamber structure, and the like. .

동적 환경에서 사용되는 통상적인 통계 기술은 주성분 분석 (principal components analysis; PCA) 이다. 다변수 기술인 PCA 는, 주기적으로 측정되고 데이터 세트에서 주된 편차를 설명하는 비상관 (uncorrelated) 변수, 또는 요소들의 더 작은 세트로 변환되는, 다수의 변수들을 상관시킬 수 있다. PCA 는 데이터 세트에서 주된 경향을 설명하는 변수 또는 요소의 조합을 발견하고, 각각을 일련의 주성분으로 표현한다. 예를 들어, PCA 는 표적 에치 단계 동안에 순차적으로 측정된 전자기 방사 스펙트럼들의 세트에 기초하여 인수분해된 모델을 생성하는 데에 이용될 수도 있다. A common statistical technique used in dynamic environments is principal components analysis (PCA). PCA, a multivariate technique, can correlate a number of variables that are periodically measured and transformed into uncorrelated variables, or smaller sets of elements, that account for the major deviations in the data set. The PCA finds a combination of variables or elements that describe the main trends in the data set and represents each as a series of principal components. For example, PCA may be used to generate a factored model based on a set of electromagnetic emission spectra sequentially measured during a target etch step.

일단 PCA 모델이 생성되면, 그 후, 다음의 측정치들은 PCA 모델과 비교되어 종료점 등과 같은 프로세스 임계치를 결정할 수 있다. 종료점은 일반적으로 프로세스가 완료되었다고 간주되는 플라즈마 프로세스에서의 값들의 세트, 또는 범위 (예를 들어, 시간) 를 의미한다. 일반적으로, 프로세스 엔지니어는 플라즈마 프로세스로부터의 정보 (예를 들어, 에치 레이트 등) 에 기초하여 실질적으로 대표적인 PCA 모델이 생성될 수 있기 전에, 필요한 측정치의 범위를 정의한다. Once the PCA model is generated, the following measurements can then be compared to the PCA model to determine process thresholds such as endpoints and the like. An end point generally means a set, or range (eg, time) of values in the plasma process at which the process is considered complete. In general, the process engineer defines a range of measurements needed before a substantially representative PCA model can be generated based on information from the plasma process (eg, etch rate, etc.).

통계 모델의 민감도를 증가시키기 위해, 모델은 프로세스의 실질적인 정상 상태 기간으로부터 생성될 수도 있다. 즉, 대부분의 플라즈마 프로세스는 일반적으로 3 단계: 프로세스 시작, 정상 상태, 및 프로세스 종료로 구성된다. 압력, 전력, 및 화학이 플라즈마 안정에 앞서 현저한 과도 현상을 보일 수도 있는, 프로세스 시작 단계 동안에, 측정치에 대응하는 세트는 상대적으로 높은 편차 (T2 통계에 의해 일반적으로 측정된 PCA 에 대한 것) 및 오차 (Q 통계에 의해 일반적으로 측정된 PCA 에 대한 것) 를 통상적으로 가질 것이다. 일정 시간 간격 후, 통상적으로는 몇 초 후에, 프로세스는 다음 측정치들이 상대적으로 낮은 편차 및 오차를 갖는 경향이 있는 정상 상태 기간으로 진입한다. 최종적으로, 프로세스 종료 단계 동안에, 측정치에 상응하는 세트는 다시 상대적으로 높은 편차 및 오차를 갖는 경향이 있다. To increase the sensitivity of the statistical model, the model may be generated from the actual steady state period of the process. That is, most plasma processes generally consist of three stages: process start, steady state, and process end. During the process initiation phase, where pressure, power, and chemistry may exhibit significant transients prior to plasma stability, the set corresponding to the measurements is relatively high in deviation (for PCA generally measured by T 2 statistics) and There will typically be an error (for PCA generally measured by Q statistics). After a certain time interval, typically after a few seconds, the process enters a steady state period where the next measurements tend to have relatively low deviations and errors. Finally, during the process termination phase, the set corresponding to the measurements again tends to have relatively high deviations and errors.

측정치의 정상 상태 세트로부터 초기 통계 모델을 생성함으로써, 전체 모델 편차 및 오차 성분은, 프로세스 시작 및 정상 상태 단계를 모두 포함하는 모델과 비교했을 때 상대적으로 작다. 비록 정상 상태 단계로부터 프로세스 종료 단계까지 횡단하는 것이 OES 신호에서 최소 편차를 가질 수도 있지만, PCA 모델을 이용하는 PCA 투영법 (projection) 은 프로세스 임계치가 획득되었음을 결정하기 위해, 여전히 편차 및 오차에서의 충분한 증가를 포착할 수도 있다. 일단 실질적으로 특정한 수의 주성분들을 이용하여, 정상 상태로부터 PCA 모델이 결정되면, PCA 투영법은 정상 상태 단계로부터 획득한 공분산 (covariance) 의 고유치 (eigenvalue) 들과 고유 벡터 (eigenvector) 들을 이용하여 종료 단계에서 PCA 파라미터 (예를 들어, Q, T2 등) 를 계산할 수도 있다. By generating an initial statistical model from a steady state set of measurements, the overall model deviation and error component is relatively small when compared to a model that includes both process start and steady state steps. Although traversing from the steady state phase to the process termination phase may have a minimum deviation in the OES signal, the PCA projection using the PCA model still requires a sufficient increase in the deviation and error to determine that a process threshold has been obtained. It can also be captured. Once a PCA model is determined from a steady state using a substantially specific number of principal components, the PCA projection method uses the eigenvalues and eigenvectors of the covariances obtained from the steady state step to terminate the step. PCA parameters (e.g., Q, T2, etc.) may be calculated at.

미국 특허 제 5,288,367 호에서, 주성분 분석의 접근법을 이용하여 방사 스펙트럼의 특정 파장이 어디에서 자동적으로 결정되는지 및 특정 파장에 기초하여 에칭의 종료점이 어디에서 검출되는지의 방법이 제안되었다. 이 방법에 따르면, 특정 파장은 자동적으로 결정될 수 있다. 그러나, 본 발명과는 상이하게, 이 방법은 프로세스 시작, 정상 상태 단계 및 종료 단계를 모두 포함하는 통계 모델을 포함한다. 즉, 본 발명과 같이, 프로세스의 정상 상태 부분에 대한 실질적인 PCA 모델링 및 종료 단계 상으로의 PCA 투영법과는 대조적으로, 프로세스의 시작부터 종료까지, 측정된 스펙트럼 각각에 대한 강도가 계속적으로 추적 (tracked) 되고 PCA 모델링된다. 추가적으로, 미국 특허 제 5,288,367 호는 본 발명과 같이 통계 모델의 편차 또는 오차를 이용하는 것과는 대조적으로, 종료점을 결정하기 위해 주로 주성분의 세트를 토대로 한다. In US Pat. No. 5,288,367 a method has been proposed using an approach of principal component analysis where a particular wavelength of the emission spectrum is automatically determined and where the end point of the etching is detected based on the particular wavelength. According to this method, a specific wavelength can be determined automatically. However, unlike the present invention, the method includes a statistical model that includes all of the process start, steady state steps, and end steps. That is, as in the present invention, in contrast to the PCA projection onto the substantial PCA modeling and termination phase of the steady state portion of the process, from the beginning to the end of the process, the intensity for each measured spectrum is continuously tracked. And PCA modeled. In addition, US Pat. No. 5,288,367 is based primarily on a set of principal components to determine endpoints, as opposed to using deviations or errors in statistical models such as the present invention.

수학적으로, PCA 는 프로세스 변수의 공분산 또는 상관행렬의 고유벡터 분석을 토대로 한다. m 행 n 열의 주어진 데이터 행렬 X 에 대해 X 의 공분산 행렬은 다음과 같이 정의된다: Mathematically, PCA is based on covariance of process variables or eigenvector analysis of correlation matrix. For a given data matrix X in m rows n columns, the covariance matrix of X is defined as:

Figure 112007009143503-PCT00001
Figure 112007009143503-PCT00001

일단 X 의 열이 중앙 집중 (mean centered) (즉, 각 열의 평균을 뺌으로써 제로 평균을 갖도록 조정) 되면, 자동스케일링 (즉, 각 열을 그 표준 편차로 나눔으로써 제로 평균과 단위 편차로 조정) 된 식 1 은 X 의 상관행렬을 제공한다. Once the columns of X are mean centered (i.e., adjusted to have a zero mean by subtracting the mean of each column), they are automatically scaled (i.e. adjusted to zero mean and unit deviation by dividing each column by its standard deviation) Equation 1 gives the correlation matrix of X.

PCA 는 데이터 행렬 X 를 벡터 ti 및 pi 의 외적과 오차 행렬 E 의 합으로서 분석한다.:PCA analyzes the data matrix X as the sum of the products of the vectors t i and p i and the error matrix E:

Figure 112007009143503-PCT00002
Figure 112007009143503-PCT00002

pi 벡터가 공분산의 고유벡터인 반면에, ti 벡터는 스코어로 알려져 있고 샘플들이 서로 어떻게 연관되어 있는지에 대한 정보를 포함한다. While the p i vector is the eigenvector of the covariance, the t i vector is known as the score and contains information about how the samples are related to each other.

제 1 주성분 (t1pT 1) 은 통상적으로 종료점을 결정하는데 이용되지 않고, 일반적으로 전체 편차의 약 80% 의 비율을 점하며, 윈도우 등의 증착에 의해 야기된 표류하는 윈도우 전송으로 인한 평균 신호 레벨 변화를 따라간다. 제 2 주성분 (t2pT 2), 제 3 주성분 (t3pT 3), 및 가능하다면 제 4 주성분 (t4pT 4) 은 일반적으로 전체 편차의 20% 미만의 비율을 점하며, 종료점을 검출하는 데에 일반적으로 사용될 수도 있다. 나머지 주성분은 일반적으로 잡음을 포함하고 있고, 따라서 중요한 패터닝에 대해서는 일반적으로 사용되지 않는다. The first principal component (t 1 p T 1 ) is typically not used to determine the end point, and generally accounts for about 80% of the total deviation and averages due to drift window transmission caused by deposition of windows or the like. Follow the signal level change. The second principal component (t 2 p T 2 ), the third principal component (t 3 p T 3 ), and possibly the fourth principal component (t 4 p T 4 ), generally have a proportion of less than 20% of the overall deviation, It may be generally used to detect an endpoint. The remaining principal components generally contain noise and are therefore not commonly used for important patterning.

샘플 각각에 대해 오차, Q 통계를 계산하는 것 또한 가능하다. Q 는 단순히 (식 2로부터의) E 의 각 행의 제곱의 합이며, 예를 들어, X 에서 i 번째 샘플인, xi 에 대해:It is also possible to calculate the error, Q statistics for each sample. Q is simply the sum of the squares of each row of E (from Equation 2), for example, for xi, the i th sample in X:

Figure 112007009143503-PCT00003
Figure 112007009143503-PCT00003

ei 는 E 의 i번째 행이고, Pk 는 PCA 모델 (각 벡터는 Pk 의 열) 에서 유지된 제 1 의 k 로딩 벡터의 행렬이며, I 는 적절한 크기 (n 바이 n) 의 단위행렬이다. 그러므로, PCA 모델이 정상 상태부터 주성분의 m 번째 수에 의해 생성된 경우, PCA 투영법에 의한 종료 단계에서 Qj는 다음과 같이 표현된다.:e i is the i th row of E, P k is the matrix of the first k loading vectors held in the PCA model (each vector is a column of P k ), and I is a unit matrix of appropriate size (n by n) . Therefore, when the PCA model is generated by the mth number of principal components from the steady state, Qj at the end step by PCA projection is expressed as follows:

Figure 112007009143503-PCT00004
Figure 112007009143503-PCT00004

Q 통계는 정상 상태부터 모델 내에 유지된 m 주성분에 의해 획득되지 않은 각 샘플에서 편차량의 측정치이다. 동시에, 그것은 정상 상태에 반하여 종료 단계에서 새로운 편차량의 측정치이다. 상술한 바와 같이, 정상 상태 기간에서 측정치들로부터 PCA 모델을 생성하고, 종료 단계 상으로 PCA 투영법을 수행함으로써, Q 통계는 종료점과 같은, 프로세스 임계치를 교차하는 신호를 줄 수도 있다.The Q statistic is a measure of the amount of deviation in each sample not obtained by the m principal component maintained in the model from steady state. At the same time, it is a measure of the new amount of deviation in the termination phase as opposed to the steady state. As discussed above, by generating a PCA model from measurements in a steady state period and performing PCA projection onto an end step, the Q statistics may give a signal that crosses a process threshold, such as an end point.

PCA 모델 내에서 편차의 측정치는 호텔링의 T2 통계에 의해 주어진다. T2는 다음과 같이 정의된 표준화된 제곱 스코어의 합이며: The measure of deviation within the PCA model is given by the T 2 statistics of the hotel ring. T 2 is the sum of the standardized square scores defined as:

Figure 112007009143503-PCT00005
Figure 112007009143503-PCT00005

여기서 ti 는 Tk 의 i번째 행을 의미하고, k 의 행렬은 PCA 모델로부터 벡터들을 얻는다. 행렬 l-1 은 모델 내에 유지된 k 고유벡터 (주성분) 와 관련된 역 고유치를 포함하는 대각행렬이다. PCA 모델이 정상 상태로부터의 주성분의 m 번째 수에 의해 생성되는 경우, PCA 투영법에 의한 종료 단계에서 Tj 2 은 다음과 같이 표현되며:Where ti is the i-th row of Tk, and the matrix of k gets the vectors from the PCA model. Matrix l-1 is a diagonal matrix containing the inverse eigenvalues associated with the k eigenvectors (main components) held in the model. If the PCA model is generated by the m th number of principal components from steady state, then T j 2 at the end step by PCA projection Is expressed as:

Figure 112007009143503-PCT00006
Figure 112007009143503-PCT00006

여기서 Pm 은 정상 상태로부터의 PCA 모델의 로딩 벡터의 행렬이다. 상술한 바와 같이, 정상 상태 기간에 측정치로부터 PCA 모델을 생성함으로써, 종료 단계에서의 PCA 투영법에 의한 T2 통계는, 종료점과 같은 프로세스 임계치를 교차하는 신호를 줄 수도 있다. Where P m is the matrix of loading vectors of the PCA model from steady state. As described above, by generating the PCA model from the measured value in the steady state period, T 2 by the PCA projection method at the end stage The statistics may give a signal that crosses process thresholds, such as endpoints.

PCA 와 함께 사용될 수도 있는 통상적인 플라즈마 프로세싱 시스템 측정치들은: 광학 방사, 잔류 가스 분석기, 광학 흡수 등으로 측정된 플라즈마 종 존재 또는 집중, 기판 전극의 바이어스 전압, ESC DC 전류, 및 RF 전압, 전류, 상 (phase), 및 관련된 고조파와 같은 다른 전기적 파라미터, 주파수 동조 시스템에서 플라즈마를 발전기 임피던스에 정합하는 RF 동조 주파수, 또는 가변 캐패시터/인덕터 정합 네트워크에서 플라즈마를 발전기 임피던스에 정합하는 RF 동조 캐패시턴스/인덕턴스이다. Typical plasma processing system measurements that may be used with the PCA include: plasma species presence or concentration measured by optical emission, residual gas analyzer, optical absorption, etc., bias voltage of the substrate electrode, ESC DC current, and RF voltage, current, phase phase, and other electrical parameters such as associated harmonics, RF tuning frequency to match the plasma to generator impedance in a frequency tuning system, or RF tuning capacitance / inductance to match the plasma to generator impedance in a variable capacitor / inductor matching network.

예를 들어, 종료점 결정에서, 플라즈마 프로세스의 다양한 양상이 측정될 수 있고 (예를 들어, 특정한 종, 전기적 측정치 등에 대응하는 파장에서의 광학 방사 신호 세기), 그 후 실질적으로 종료점을 결정할 수 있는 통계 모델로 변환될 수 있다. For example, in endpoint determination, various aspects of the plasma process may be measured (eg, optical emission signal strength at wavelengths corresponding to a particular species, electrical measurements, etc.), and then statistics that may substantially determine the endpoint. Can be converted to a model.

상술한 바과 같이, 종료점 결정은 기판의 전체 표면 영역 중 상대적으로 작은 개방 (마스크되지 않은) 영역을 에칭하는 것 (예를 들어, 저 개방 영역 에칭 등) 을 목표로 하는 플라즈마 프로세스에 대해서는 어렵다. OES 를 사용하는 경우, 소정의 종들에서의 작은 변화는, 종료점 이전의 임의의 레벨에서 플라즈마에 존재하는 이 종들로부터의 배경 신호의 존재 하에서 대응하는 신호 변화 검출을 어렵게 만들 수 있기 때문에, 이러한 문제는 더 심화된다. 특히, 플라즈마 광학 방사에서 이러한 섭동들은 종료점 콜을 트리거하는데 이용되는 기대되는 섭동에 비할 수 있고, 따라서 그릇된 종료점 콜 발생을 야기할 수 있다.   As mentioned above, endpoint determination is difficult for plasma processes aimed at etching relatively small open (unmasked) areas of the entire surface area of the substrate (eg, low open area etching, etc.). When using OES, this problem is because a small change in certain species can make it difficult to detect a corresponding signal change in the presence of a background signal from these species present in the plasma at any level before the endpoint. Worse. In particular, such perturbations in plasma optical radiation may be comparable to the expected perturbation used to trigger the endpoint call, thus causing false endpoint call occurrences.

이제 도 4 를 언급하면, 본 발명의 일 실시형태에 따라, 프로세스 임계치 (즉, 종료점 등) 를 결정하는데 편차가 이용되는 플라즈마 프로세싱 시스템에서 사용되는 통계 모델을 채용하는 간략화된 프로세스가 나타나 있다. 우선, 단계 402 에서 플라즈마 프로세스의 실질적인 정상 상태 단계에 대해, OES 스펙트럼 샘플이 수집된다. 다음으로, 초기 통계 모델 (예, PCA 등) 이 생성된다. 즉, 단계 404 에서 X-1 편차 및 X-1 오차를 포함하는 X-1 통계 모델이 생성된다. 그 후, 단계 406 에서, 추가적인 OES 스펙트럼 샘플이 수집된다. 그 후, 제 2 통계 모델이 생성된다. 즉, 단계 408 에서, X 편차 및 X 오차를 포함하는 X 통계 모델이 생성된다. 단계 410 에서, (앞의) X-1 편차가 (후의) X 편차보다 실질적으로 작지 않은 경우, 프로세스 임계치에 도달되지 않고, 단계 414 에서 X=X+1 에 따라서 프로세스 모니터링이 계속된다. 즉, 단계 406 에서, 추가적인 OES 스펙트럼 샘플이 다시 수집되며, 또 다른 통계 모델이 생성된다. 단계 410 에서, (앞의) X-1 편차가 (후의) X 편차보다 실질적으로 작은 경우, 단계 412 에서 프로세스 임계치에 도달한다. Referring now to FIG. 4, in accordance with one embodiment of the present invention, a simplified process is employed that employs a statistical model used in a plasma processing system in which deviations are used to determine process thresholds (ie, endpoints, etc.). First, in step 402, for a substantially steady state step of the plasma process, OES spectral samples are collected. Next, an initial statistical model (eg, PCA, etc.) is generated. That is, an X-1 statistical model is generated that includes X-1 deviations and X-1 errors in step 404. Then, in step 406, additional OES spectral samples are collected. Then, a second statistical model is generated. That is, in step 408, an X statistical model is generated that includes the X deviation and the X error. In step 410, if the (before) X-1 deviation is not substantially smaller than the (after) X deviation, the process threshold is not reached and process monitoring continues according to X = X + 1 in step 414. That is, at step 406, additional OES spectral samples are collected again, and another statistical model is generated. In step 410, if the (before) X-1 deviation is substantially less than the (after) X deviation, then the process threshold is reached in step 412.

이제 도 5 를 언급하면, 본 발명의 일 실시형태에 따라, 프로세스 임계치 (즉, 종료점 등) 를 결정하는데에 오차가 이용되는 플라즈마 프로세싱 시스템에서 사용되는 통계 모델을 채용한 간략화된 프로세스가 나타나 있다. 우선, 단계 502 에서, 플라즈마 프로세스의 실질적인 정상 상태 단계에 대해, OES 스펙트럼 샘플이 수집된다. 다음으로, 초기 통계 모델 (예, PCA 등) 이 생성된다. 즉, 단계 504 에서, X-1 편차와 X-1 오차를 포함하는 X-1 통계 모델이 생성된다. 그 후, 단계 506 에서, 추가적인 OES 스펙트럼 샘플이 수집된다. 그 후, 제 2 통계 모델이 생성된다. 즉, 단계 508 에서, X 편차 및 X 오차를 포함하는 X 통계 모델이 생성된다. 단계 510 에서, (앞의) X-1 오차가 (후의) X 오차보다 실질적으로 작지 않은 경우, 프로세스 임계치에 도달되지 않고, 단계 514 에서 X=X+1 에 따라서 프로세스 모니터링이 계속된다. 즉, 단계 506 에서, 추가적인 OES 스펙트럼 샘플이 다시 수집되며, 또 다른 통계 모델이 생성된다. 단계 510 에서, (앞의) X-1 오차가 (후의) X 오차보다 실질적으로 작은 경우, 단계 512 에서, 프로세스 임계치에 도달한다. Referring now to FIG. 5, shown is a simplified process employing a statistical model used in a plasma processing system in which errors are used to determine process thresholds (ie, endpoints, etc.), in accordance with an embodiment of the present invention. First, in step 502, OES spectral samples are collected for a substantially steady state step of the plasma process. Next, an initial statistical model (eg, PCA, etc.) is generated. That is, in step 504, an X-1 statistical model is generated that includes X-1 deviations and X-1 errors. Then, in step 506, additional OES spectral samples are collected. Then, a second statistical model is generated. That is, in step 508, an X statistical model is generated that includes the X deviation and the X error. In step 510, if the (previous) X-1 error is not substantially smaller than the (after) X error, the process threshold is not reached and process monitoring continues according to X = X + 1 in step 514. That is, at step 506 additional OES spectral samples are collected again and another statistical model is generated. In step 510, if the (before) X-1 error is substantially smaller than the (after) X error, then in step 512, a process threshold is reached.

이제 도 6 을 언급하면, 본 발명의 일 실시형태에 따라, 기판의 표면 영역의 약 0.8 % 만이 마스크되어 있지 않고, 에칭되는 플라즈마 프로세싱 시스템 (50mT / 6kW / Ar / C4F8 / O2 프로세스) 에서 기판에 대한 CF2 의 광학 방사를 나타내는 간략화된 도가 나타나 있다. 약 70 초 후에, 단계 402 에서 프로세스 종료점이 나타난다. 그러나, 에칭된 표면 영역이 기판의 전체 표면 영역의 약 1 % 보다 작기 때문에, 260 nm 파장에서 대응하는 검출가능한 신호 변화는 약 0.5 % 에 불과하다. Referring now to FIG. 6, in accordance with one embodiment of the present invention, only about 0.8% of the surface area of the substrate is unmasked, and the plasma processing system (50 mT / 6 kW / Ar / C 4 F 8 / O 2 process) is etched. A simplified diagram showing the optical emission of CF 2 to the substrate is shown at. After about 70 seconds, a process endpoint appears at step 402. However, since the etched surface area is less than about 1% of the total surface area of the substrate, the corresponding detectable signal change at 260 nm wavelength is only about 0.5%.

이제 도 7 을 언급하면, 본 발명의 일 실시형태에 따라, 실질적인 정상 상태 측정치의 세트 및 프로세스 종료 측정치의 세트를 포함하는 통계 모델의 세트로부터 호텔링 T2 편차의 세트가 생성되는 것을 나타낸 간략화된 도이다. 상술한 바와 같이, 통계 모델의 초기 세트는 정상 상태 측정치 세트로부터 생성된다. 따라서, 프로세스 시작 및 정상 상태 단계를 모두 포함하는 모델에 비교해 볼 때, 전체 모델 편차 및 오차는 상대적으로 작다. 702 에서, 약 80 초에 정상 상태 단계로부터 프로세스 종료 단계로의 교차는, 통계 모델의 편차와 오차를 실질적으로 증가시켜, 종료점과 같은 플라즈마 프로세스 임계치가 획득되었다는 신호를 줄 수도 있다. Referring now to FIG. 7, in accordance with one embodiment of the present invention, a simplified representation is shown that a set of hotelling T 2 deviations is generated from a set of statistical models that includes a set of substantial steady state measurements and a set of process termination measurements. It is also. As discussed above, an initial set of statistical models is generated from a set of steady state measurements. Thus, when compared to a model that includes both process start and steady state steps, the overall model deviation and error are relatively small. At 702, the intersection from the steady state phase to the process termination phase at about 80 seconds may substantially increase the deviation and error of the statistical model, signaling that a plasma process threshold, such as an endpoint, has been obtained.

이제 도 8 을 언급하면, 발명의 일 실시형태에 따라, 실질적인 정상 상태 측정치의 세트 및 프로세스 종료 측정치의 세트를 포함하는 통계 모델의 세트로부터 q 통계 오차가 생성되는 간략화된 도가 나타나 있다. 상술한 바와 같이, 통계 모델의 초기 세트는 정상 상태 측정치의 세트로부터 생성된다. 따라서, 프로세스 시작 및 정상 상태 단계를 모두 포함하는 모델에 비교해 볼 때, 전체 모델 편차 및 오차 성분은 상대적으로 작다. 702 에서, 약 80 초에 정상 상태 단계로부터 프로세스 종료 단계로의 교차는, 통계 모델의 편차와 오차 성분을 실질적으로 증가시켜, 종료점과 같은 플라즈마 프로세스 임계치가 획득되었다는 신호를 줄 수도 있 다. Referring now to FIG. 8, there is shown a simplified diagram in which q statistical errors are generated from a set of statistical models comprising a set of substantial steady state measurements and a set of process termination measurements, in accordance with an embodiment of the invention. As discussed above, an initial set of statistical models is generated from a set of steady state measurements. Thus, when compared to a model that includes both process start and steady state steps, the overall model deviation and error component are relatively small. At 702, the intersection from the steady state phase to the process termination phase at about 80 seconds may substantially increase the deviation and error component of the statistical model, signaling that a plasma process threshold, such as an endpoint, has been obtained.

본 발명이 수 개의 바람직한 실시형태들로 설명되었지만, 본 발명의 범위에 포함되는 변경, 치환, 균등물이 존재한다. 예를 들어, 본 발명은 Lam Research Corp.으로부터의 플라즈마 프로세싱 시스템 (예를 들어, ExelanTM, ExelanTM HP, ExelanTM HPT, 2300TM, VersysTM Star 등) 과 관련하여 설명되었지만, 다른 플라즈마 프로세싱 시스템이 이용될 수도 있다. 또한, 본 발명은 다양한 직경 (예를 들면, 200mm, 300mm 등) 의 기판과 함께 이용될 수도 있다. 또한, 산소 이외의 가스들을 포함하는 포토레지스트 플라즈마 에천트가 이용될 수도 있다. 또한, 본 발명의 방법을 구현하는 다수의 대안들이 존재한다. Although the invention has been described in several preferred embodiments, there are variations, substitutions, and equivalents falling within the scope of the invention. For example, although the invention has been described in connection with a plasma processing system (eg, Exelan , Exelan HP, Exelan HPT, 2300 , Versys Star, etc.) from Lam Research Corp., other plasma processing systems This may be used. In addition, the present invention may be used with substrates of various diameters (eg, 200 mm, 300 mm, etc.). In addition, a photoresist plasma etchant comprising gases other than oxygen may be used. In addition, there are a number of alternatives for implementing the method of the present invention.

또한, 부분 최소 자승법 (partial least squares; PLS) 과 같은 다른 통계 분석 기술들이 이용될 수도 있다. 또한, 측정치들의 세트는 전자기 방사, 플라즈마 프로세싱 시스템에서 물리적 변화 (예를 들어, 압력, 온도, 제한 링 위치 등), 및 RF 변화 (RF 보텀 전력, RFB 반사 전력, RF 동조 주파수, RF 로드 (load), 페이즈 오류 (phase error), RF 전력, RF 임피던스, RF 전압, RF 전류 등) 를 포함할 수도 있다. 또한, 청구된 발명은 플라즈마 프로세싱 시스템에서 플라즈마 프로세스의 다른 타입들에 대해 프로세스 모델을 최적화하는 데 이용될 수도 있다. In addition, other statistical analysis techniques, such as partial least squares (PLS), may be used. In addition, the set of measurements may include electromagnetic radiation, physical changes in the plasma processing system (eg, pressure, temperature, limit ring position, etc.), and RF changes (RF bottom power, RFB reflected power, RF tuning frequency, RF load). ), Phase error, RF power, RF impedance, RF voltage, RF current, and the like. The claimed invention may also be used to optimize the process model for other types of plasma processes in a plasma processing system.

발명의 장점은 플라즈마 프로세싱 시스템에서 프로세스 종료점의 결정을 최적화하는 방법 및 장치를 포함한다. 추가적인 장점은 플라즈마 프로세싱 시스템에서 프로세스 모델을 최적화하고, 프로세스 임계치 결정에 대해 더 민감한 통계 모델을 생성하고, 각 개별적인 기판에 대한 통계 모델의 동적 생성을 포함한다. 도 6 에서 보여지는 바와 같이 상기 예시에서, 정상 상태 부분은 40 < t < 50 초 동안에 선택된다. 신호 섭동은 제한 링 동작 때문에 약 t=30~40 초 (601) 에서 일어난다. 정상 상태 부분 동안에 섭동이 예상되는 경우, 그러한 섭동들은 제 1 모델 세트에 포함되어야 한다. 예를 들어, 제한 링이 고정되어 있지 않은 경우, 그러한 섭동들이 나타날 수 있다. Advantages of the invention include methods and apparatus for optimizing the determination of process endpoints in a plasma processing system. Additional advantages include optimizing the process model in the plasma processing system, generating statistical models that are more sensitive to process threshold determination, and dynamic generation of statistical models for each individual substrate. In this example, as shown in FIG. 6, the steady state portion is selected for 40 <t <50 seconds. Signal perturbation occurs at about t = 30-40 seconds (601) because of the limiting ring operation. If perturbation is expected during the steady state portion, such perturbations should be included in the first model set. For example, such perturbations may occur if the confinement ring is not fixed.

예시적인 실시형태들과 최선의 실시형태를 개시하였지만, 다음의 청구항에 의해 정의되는 바와 같은 본 발명의 주제 및 사상 내에서, 개시된 실시형태들에 대한 변형 및 변화들이 이루어질 수도 있다. Although the exemplary embodiments and the best embodiments have been disclosed, variations and changes to the disclosed embodiments may be made within the spirit and spirit of the invention as defined by the following claims.

Claims (48)

플라즈마 프로세싱 시스템에서, 프로세스 임계치를 결정하는 방법으로서,In a plasma processing system, a method of determining a process threshold, 프로세스 시작 부분, 실질적인 정상 상태 부분, 및 프로세스 종료 부분을 포함하는 플라즈마 프로세스에 기판을 노출시키는 단계;Exposing the substrate to a plasma process comprising a process start portion, a substantial steady state portion, and a process termination portion; 상기 실질적인 정상 상태 부분 동안에 제 1 데이터 세트를 수집하는 단계;Collecting a first data set during the substantially steady state portion; 편차 성분 및 오차 성분으로 구성된 그룹으로부터 선택된 통계 모델 성분을 적어도 포함하는 제 1 통계 모델을 생성하는 단계; Generating a first statistical model comprising at least a statistical model component selected from the group consisting of a deviation component and an error component; 제 2 데이터 세트를 수집하는 단계; 및Collecting a second data set; And 상기 통계 모델 성분을 포함하는 제 2 통계 모델을 생성하는 단계를 포함하고,Generating a second statistical model comprising the statistical model component, 상기 제 1 통계 모델의 상기 통계 모델 성분이 상기 제 2 통계 모델의 상기 통계 모델 성분과 실질적으로 상이하다면, 상기 프로세스 임계치가 실질적으로 획득되는, 프로세스 임계치 결정 방법.If the statistical model component of the first statistical model is substantially different from the statistical model component of the second statistical model, the process threshold is substantially obtained. 제 1 항에 있어서,The method of claim 1, 상기 제 1 통계 모델 및 상기 제 2 통계 모델은 주성분 분석을 포함하는, 프로세스 임계치 결정 방법.Wherein the first statistical model and the second statistical model comprise principal component analysis. 제 1 항에 있어서,The method of claim 1, 상기 제 1 통계 모델 및 상기 제 2 통계 모델은 부분 최소 자승법 (partial least squares; PLS) 을 포함하는, 프로세스 임계치 결정 방법. Wherein the first statistical model and the second statistical model comprise partial least squares (PLS). 제 1 항에 있어서,The method of claim 1, 상기 플라즈마 프로세스는 에천트를 이용하는 에치 프로세스인, 프로세스 임계치 결정 방법.And the plasma process is an etch process using an etchant. 제 1 항에 있어서,The method of claim 1, 상기 프로세스 임계치는 종료점인, 프로세스 임계치 결정 방법.Wherein the process threshold is an endpoint. 제 4 항에 있어서,The method of claim 4, wherein 에천트는 CF4 인, 프로세스 임계치 결정 방법.The etchant is CF 4 , process threshold determination method. 제 4 항에 있어서,The method of claim 4, wherein 에천트는 CHF3 인, 프로세스 임계치 결정 방법.Etchant CHF 3 Process threshold determination method. 제 4 항에 있어서,The method of claim 4, wherein 에천트는 C4F6 인, 프로세스 임계치 결정 방법.The etchant is C 4 F 6 , the process threshold determination method. 제 4 항에 있어서, The method of claim 4, wherein 에천트는 C4F8 인, 프로세스 임계치 결정 방법.Etchant C 4 F 8 Process threshold determination method. 제 1 항에 있어서,The method of claim 1, 상기 플라즈마 프로세스는 저 개방 영역 에칭인, 프로세스 임계치 결정 방법.And the plasma process is a low open area etch. 제 1 항에 있어서,The method of claim 1, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 광학 방사를 포함하는, 프로세스 임계치 결정 방법.And the first data set and the second data set comprise optical emission. 제 1 항에 있어서,The method of claim 1, 상기 제 1 데이터 세트는 광학 수집 개구 변화에 의해 야기된 표준 신호 섭동을 포함하기 위해 다중 제한 링 위치에서 수집된 광학 방사 신호를 포함하는, 프로세스 임계치 결정 방법.Wherein the first data set comprises optical emission signals collected at multiple confinement ring locations to include standard signal perturbations caused by optical collection aperture changes. 제 1 항에 있어서,The method of claim 1, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 전송 시스템 내의 전기적 측정치를 포함하는, 프로세스 임계치 결정 방법.Wherein the first data set and the second data set comprise electrical measurements in an RF transmission system. 제 1 항에 있어서,The method of claim 1, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 플라즈마 종 존재를 포함하는, 프로세스 임계치 결정 방법. And the first data set and the second data set comprise plasma species present. 제 1 항에 있어서,The method of claim 1, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 전력을 포함하는, 프로세스 임계치 결정 방법.And the first data set and the second data set comprise RF power. 제 1 항에 있어서,The method of claim 1, 상기 플라즈마 프로세스는 절연 필름 에칭인, 프로세스 임계치 결정 방법.Wherein the plasma process is an insulating film etch. 제 1 항에 있어서,The method of claim 1, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 챔버 압력을 포함하는, 프로세스 임계치 결정 방법.And the first data set and the second data set comprise chamber pressure. 제 1 항에 있어서,The method of claim 1, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 정합 네트워크 동조 임피던스를 포함하는, 프로세스 임계치 결정 방법.And the first data set and the second data set comprise an RF matching network tuning impedance. 제 1 항에 있어서,The method of claim 1, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 전송 시스템 상에서 측정된 RF 전압을 포함하는, 프로세스 임계치 결정 방법.And the first data set and the second data set comprise an RF voltage measured on an RF transmission system. 제 1 항에 있어서,The method of claim 1, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 웨이퍼 DC 바이어스 전압을 포함하는, 프로세스 임계치 결정 방법.And the first data set and the second data set comprise a wafer DC bias voltage. 제 1 항에 있어서,The method of claim 1, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 전송 시스템 상에서 측정된 임피던스를 포함하는, 프로세스 임계치 결정 방법.Wherein the first data set and the second data set comprise impedances measured on an RF transmission system. 제 1 항에 있어서,The method of claim 1, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 동조 주파수를 포함하는, 프로세스 임계치 결정 방법.And the first data set and the second data set comprise RF tuning frequencies. 제 1 항에 있어서,The method of claim 1, 상기 제 1 통계 모델 및 상기 제 2 통계 모델은 제한 링 동작을 포함하는, 프로세스 임계치 결정 방법.Wherein the first statistical model and the second statistical model comprise a limiting ring operation. 플라즈마 프로세싱 시스템에서, 인시츄 (in-situ) 기판 프로세싱 모델을 구성하는 방법으로서,In a plasma processing system, a method of constructing an in-situ substrate processing model, 프로세스 시작 부분, 실질적인 정상 상태 부분, 및 프로세스 종료 부분을 포함하는, 플라즈마 프로세스에 기판을 노출시키는 단계;Exposing the substrate to a plasma process comprising a process start portion, a substantial steady state portion, and a process end portion; 상기 실질적인 정상 상태 부분 동안에 제 1 데이터 세트를 수집하는 단계;Collecting a first data set during the substantially steady state portion; 편차 성분 및 오차 성분으로 구성된 그룹으로부터 선택된 통계 모델 성분을 적어도 포함하는 제 1 통계 모델을 생성하는 단계;Generating a first statistical model comprising at least a statistical model component selected from the group consisting of a deviation component and an error component; 제 2 데이터 세트를 수집하는 단계; 및Collecting a second data set; And 상기 통계 모델 성분을 포함하는 제 2 통계 모델을 생성하는 단계를 포함하고,Generating a second statistical model comprising the statistical model component, 상기 제 1 통계 모델의 상기 통계 모델 성분이 상기 제 2 통계 모델의 상기 통계 모델 성분과 실질적으로 상이하다면, 상기 프로세스 임계치가 실질적으로 획득되는, 프로세싱 모델 구성 방법. If the statistical model component of the first statistical model is substantially different from the statistical model component of the second statistical model, the process threshold is substantially obtained. 플라즈마 프로세싱 시스템에서, 프로세스 임계치를 결정하는 장치로서,In a plasma processing system, an apparatus for determining a process threshold, 프로세스 시작 부분, 실질적인 정상 상태 부분, 및 프로세스 종료 부분을 포함하는, 플라즈마 프로세스에 기판을 노출시키는 수단;Means for exposing the substrate to a plasma process, comprising a process start portion, a substantial steady state portion, and a process end portion; 상기 실질적인 정상 상태 부분 동안에 제 1 데이터 세트를 수집하는 수단;Means for collecting a first data set during the substantially steady state portion; 편차 성분 및 오차 성분으로 구성된 그룹으로부터 선택된 통계 모델 성분을 적어도 포함하는 제 1 통계 모델을 생성하는 수단;Means for generating a first statistical model comprising at least a statistical model component selected from the group consisting of a deviation component and an error component; 제 2 데이터 세트를 수집하는 수단; 및Means for collecting a second data set; And 상기 통계 모델 성분을 포함하는 제 2 통계 모델을 생성하는 수단을 포함하고,Means for generating a second statistical model comprising the statistical model component, 상기 제 1 통계 모델의 상기 통계 모델 성분이 상기 제 2 통계 모델의 상기 통계 모델 성분과 실질적으로 상이하다면, 상기 프로세스 임계치가 실질적으로 획득되는, 프로세스 임계치 결정 장치.And if the statistical model component of the first statistical model is substantially different from the statistical model component of the second statistical model, the process threshold is substantially obtained. 제 25 항에 있어서,The method of claim 25, 상기 제 1 통계 모델 및 상기 제 2 통계 모델은 주성분 분석을 포함하는, 프로세스 임계치 결정 장치.And the first statistical model and the second statistical model comprise principal component analysis. 제 25 항에 있어서,The method of claim 25, 상기 제 1 통계 모델 및 상기 제 2 통계 모델은 부분 최소 자승법을 포함하는, 프로세스 임계치 결정 장치.And the first statistical model and the second statistical model comprise a partial least squares method. 제 25 항에 있어서,The method of claim 25, 상기 플라즈마 프로세스는 에천트를 이용하는 에치 프로세스인, 프로세스 임계치 결정 장치.And the plasma process is an etch process using an etchant. 제 25 항에 있어서,The method of claim 25, 상기 프로세스 임계치는 종료점인, 프로세스 임계치 결정 장치.And the process threshold is an endpoint. 제 4 항에 있어서,The method of claim 4, wherein 상기 에천트는 CF4 인, 프로세스 임계치 결정 장치.And the etchant is CF 4 . 제 4 항에 있어서,The method of claim 4, wherein 상기 에천트는 CHF3 인, 프로세스 임계치 결정 장치.The etchant is CHF 3 Process threshold determination device. 제 4 항에 있어서,The method of claim 4, wherein 상기 에천트는 C4F6 인, 프로세스 임계치 결정 장치.And the etchant is C 4 F 6 . 제 4 항에 있어서,The method of claim 4, wherein 상기 에천트는 C4F8 인, 프로세스 임계치 결정 장치.And the etchant is C 4 F 8 . 제 25 항에 있어서, The method of claim 25, 상기 플라즈마 프로세스는 저 개방 영역 에칭인, 프로세스 임계치 결정 장치.And the plasma process is a low open area etch. 제 25 항에 있어서,The method of claim 25, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 광학 방사를 포함하는, 프로세스 임계치 결정 장치.And the first data set and the second data set comprise optical radiation. 제 25 항에 있어서,The method of claim 25, 상기 제 1 데이터 세트는 광학 수집 개구 변화에 의해 야기된 표준 신호 섭동을 포함하기 위해 다중 제한 링 위치에서 수집된 광학 방사 신호를 포함하는, 프로세스 임계치 결정 장치.And the first data set comprises optical emission signals collected at multiple confinement ring locations to include standard signal perturbations caused by optical collection aperture changes. 제 25 항에 있어서,The method of claim 25, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 전송 시스템 내의 전기적 측정치를 포함하는, 프로세스 임계치 결정 장치.And the first data set and the second data set comprise electrical measurements in an RF transmission system. 제 25 항에 있어서,The method of claim 25, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 플라즈마 종 존재를 포함하는, 프로세스 임계치 결정 장치.And the first data set and the second data set comprise plasma species present. 제 25 항에 있어서,The method of claim 25, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 전력을 포함하는, 프로세스 임계치 결정 장치.And the first data set and the second data set comprise RF power. 제 25 항에 있어서,The method of claim 25, 상기 플라즈마 프로세스는 절연 필름 에칭인, 프로세스 임계치 결정 장치.And the plasma process is an insulating film etch. 제 25 항에 있어서,The method of claim 25, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 챔버 압력을 포함하는, 프로세스 임계치 결정 장치.And the first data set and the second data set comprise chamber pressure. 제 25 항에 있어서,The method of claim 25, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 정합 네트워크 동조 임피던스를 포함하는, 프로세스 임계치 결정 장치. And the first data set and the second data set comprise an RF matching network tuning impedance. 제 25 항에 있어서,The method of claim 25, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 전송 시스템 상에서 측정된 RF 전압을 포함하는, 프로세스 임계치 결정 장치.And the first data set and the second data set comprise an RF voltage measured on an RF transmission system. 제 25 항에 있어서,The method of claim 25, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 웨이퍼 DC 바이어스 전압을 포함하는, 프로세스 임계치 결정 장치.And the first data set and the second data set comprise a wafer DC bias voltage. 제 25 항에 있어서,The method of claim 25, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 전송 시스템 상에서 측정된 임피던스를 포함하는, 프로세스 임계치 결정 장치.And the first data set and the second data set comprise impedances measured on an RF transmission system. 제 25 항에 있어서,The method of claim 25, 상기 제 1 데이터 세트 및 상기 제 2 데이터 세트는 RF 동조 주파수를 포함하는, 프로세스 임계치 결정 장치.And the first data set and the second data set comprise an RF tuning frequency. 제 25 항에 있어서,The method of claim 25, 상기 제 1 통계 모델 및 상기 제 2 통계 모델은 제한 링 동작을 포함하는, 프로세스 임계치 결정 장치.And the first statistical model and the second statistical model comprise a confinement ring operation. 플라즈마 프로세싱 시스템에서, 인시츄 (in-situ) 기판 프로세싱 모델을 구성하는 장치로서,In a plasma processing system, an apparatus for constructing an in-situ substrate processing model, 프로세스 시작 부분, 실질적인 정상 상태 부분, 및 프로세스 종료 부분을 포함하는, 플라즈마 프로세스에 기판을 노출시키는 수단;Means for exposing the substrate to a plasma process, comprising a process start portion, a substantial steady state portion, and a process end portion; 상기 실질적인 정상 상태 부분 동안에 제 1 데이터 세트를 수집하는 수단;Means for collecting a first data set during the substantially steady state portion; 편차 성분 및 오차 성분으로 구성된 그룹으로부터 선택된 통계 모델 성분을 적어도 포함하는 제 1 통계 모델을 생성하는 수단;Means for generating a first statistical model comprising at least a statistical model component selected from the group consisting of a deviation component and an error component; 제 2 데이터 세트를 수집하는 수단; 및Means for collecting a second data set; And 상기 통계 모델 성분을 포함하는 제 2 통계 모델을 생성하는 수단을 포함하 고,Means for generating a second statistical model comprising the statistical model component, 상기 제 1 통계 모델의 상기 통계 모델 성분이 상기 제 2 통계 모델의 상기 통계 모델 성분과 실질적으로 상이하다면, 상기 프로세스 임계치가 실질적으로 획득되는, 프로세싱 모델 구성 장치.And if the statistical model component of the first statistical model is substantially different from the statistical model component of the second statistical model, the process threshold is substantially obtained.
KR1020077002364A 2004-06-30 2005-06-14 Methods and apparatus for determining endpoint in a plasma processing system KR20070032036A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020077002364A KR20070032036A (en) 2004-06-30 2005-06-14 Methods and apparatus for determining endpoint in a plasma processing system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/882,474 2004-06-30
KR1020077002364A KR20070032036A (en) 2004-06-30 2005-06-14 Methods and apparatus for determining endpoint in a plasma processing system

Publications (1)

Publication Number Publication Date
KR20070032036A true KR20070032036A (en) 2007-03-20

Family

ID=43656146

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077002364A KR20070032036A (en) 2004-06-30 2005-06-14 Methods and apparatus for determining endpoint in a plasma processing system

Country Status (1)

Country Link
KR (1) KR20070032036A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101529827B1 (en) * 2014-06-16 2015-06-17 성균관대학교산학협력단 Method for detecting endpoint of plasma etching

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101529827B1 (en) * 2014-06-16 2015-06-17 성균관대학교산학협력단 Method for detecting endpoint of plasma etching

Similar Documents

Publication Publication Date Title
TWI464816B (en) Methods and apparatus for determining endpoint in a plasma processing system
JP4833396B2 (en) Method for monitoring processes using principal component analysis
US7844559B2 (en) Method and system for predicting process performance using material processing tool and sensor data
US6673200B1 (en) Method of reducing process plasma damage using optical spectroscopy
KR101570552B1 (en) Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US6905800B1 (en) Etching a substrate in a process zone
US6492186B1 (en) Method for detecting an endpoint for an oxygen free plasma process
KR100912748B1 (en) Method and apparatus for endpoint detection using partial least squares
US7008803B2 (en) Method of reworking structures incorporating low-k dielectric materials
US7482178B2 (en) Chamber stability monitoring using an integrated metrology tool
WO2004003822A1 (en) Controlling a material processing tool and performance data
KR20000071595A (en) Method and apparatus for monitoring the process state of a semiconductor device fabrication process
JP2007531305A (en) Run-to-run control method and system
US20080038673A1 (en) Method for adjusting a critical dimension in a high aspect ratio feature
KR100426988B1 (en) end point detector in semiconductor fabricating equipment and method therefore
US7001529B2 (en) Pre-endpoint techniques in photoresist etching
US7479458B1 (en) Methods and apparatus for the optimization of highly selective process gases
US7092096B2 (en) Optical scatterometry method of sidewall spacer analysis
KR20070032036A (en) Methods and apparatus for determining endpoint in a plasma processing system
US7758763B2 (en) Plasma for resist removal and facet control of underlying features
CN110716399B (en) Photoresist removing method
CN100423222C (en) Method and device for detecting contact holes in plasma processing system
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
KR100562627B1 (en) Method of detecting end point of plasma processing and apparatus for the same
Qian et al. Self-clean process and real time etch rate monitor development for sub-quarter micron device etching

Legal Events

Date Code Title Description
A201 Request for examination
E601 Decision to refuse application