KR100426988B1 - end point detector in semiconductor fabricating equipment and method therefore - Google Patents

end point detector in semiconductor fabricating equipment and method therefore Download PDF

Info

Publication number
KR100426988B1
KR100426988B1 KR10-2001-0069422A KR20010069422A KR100426988B1 KR 100426988 B1 KR100426988 B1 KR 100426988B1 KR 20010069422 A KR20010069422 A KR 20010069422A KR 100426988 B1 KR100426988 B1 KR 100426988B1
Authority
KR
South Korea
Prior art keywords
intensity data
etching
optical
address
light
Prior art date
Application number
KR10-2001-0069422A
Other languages
Korean (ko)
Other versions
KR20030038018A (en
Inventor
이헌정
전필권
이기석
김우일
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2001-0069422A priority Critical patent/KR100426988B1/en
Priority to US10/121,709 priority patent/US20030085198A1/en
Priority to DE10230729A priority patent/DE10230729B4/en
Priority to JP2002289786A priority patent/JP2003151958A/en
Publication of KR20030038018A publication Critical patent/KR20030038018A/en
Application granted granted Critical
Publication of KR100426988B1 publication Critical patent/KR100426988B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Abstract

플라즈마 식각장비의 챔버내에서 플라즈마 공정진행시 발생되는 플라즈마 광을 사용하여 식각종말점을 검출하기 위한 식각종말점 검출장치가 개시된다. 그러한 장치는, 각기 서로 다른 파장을 가지는 복수의 광신호로 분해하는 광학소자와, 각기 고유주소를 갖는 복수의 단위변환소자들로 이루어지며, 상기 복수의 광신호를 수신하여 대응 광신호의 세기에 상응하는 레벨을 갖는 전기적 신호로 각기 변환하기 위한 광전변환소자와, 상기 광전변환소자로부터의 복수의 전기적 신호를 광세기 데이터로 각기 변환하고, 상기 변환된 광세기 데이터와 상기 단위변환소자의 고유주소를 디지털 데이터로서 함께 출력하는 A/D 변환기와, 상기 광세기 데이터와 상기 고유주소를 구별하고 상기 고유주소가 마지막 주소가 될 때까지 상기 고유주소를 미리 설정된 파장에 대응되는 값과 비교하여 일치 되는 경우에만 상기 광세기 데이터를 누적적으로 저장하는 광세기 데이터 합성 동작을 주소별로 순차적으로 수행하여, 상기 플라즈마 식각장비의 식각종료를 제어하는 제어부에 상기 누적 저장된 광세기 데이터를 실시간으로 제공하는 신호연산부를 구비함을 특징으로 한다.An etching endpoint detection apparatus for detecting an etching endpoint using plasma light generated during a plasma process in a chamber of a plasma etching apparatus is disclosed. Such an apparatus comprises an optical element for decomposing into a plurality of optical signals each having a different wavelength, and a plurality of unit conversion elements each having a unique address, and receiving the plurality of optical signals to intensify the corresponding optical signal. A photoelectric conversion element for respectively converting into an electrical signal having a corresponding level, and a plurality of electrical signals from the photoelectric conversion element into optical intensity data, respectively, and the unique optical address of the converted optical intensity data and the unit conversion element A / D converter for outputting together as digital data, distinguishing the light intensity data from the unique address, and comparing the unique address with a value corresponding to a preset wavelength until the unique address becomes the last address. Only when the light intensity data synthesis operation for accumulating the light intensity data is performed sequentially by address Further characterized in that a signal operation unit for providing the cumulative light intensity data stored in the control unit for controlling the etching end of the plasma etching equipment in real time.

Description

반도체 제조장비의 식각 종말점 검출장치 및 그에 따른 검출방법{end point detector in semiconductor fabricating equipment and method therefore}End point detector in semiconductor fabricating equipment and method therefore

본 발명은 반도체 소자를 대량으로 제조하기 위한 반도체 제조장비에 관한 것으로, 특히 플라즈마 처리되는 웨이퍼에 대해 실행될 플라즈마 처리의 종료 시점(termination time point)을 검출하는 반도체 제조장비의 식각 종말점 검출장치 및 그에 따른 종말점 검출방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor manufacturing apparatus for manufacturing a large amount of semiconductor devices, and more particularly, to an etching end point detection apparatus of a semiconductor manufacturing apparatus for detecting a termination time point of a plasma processing to be performed on a plasma processed wafer, and It relates to an endpoint detection method.

근래에 플라즈마를 이용한 에칭 방법은 반도체 제조 공정 및 LCD 기판 제조 공정에 광범위하게 사용되고 있다. 전형적인 에칭 방법으로서, 반도체 웨이퍼와 같은 처리될 대상물을 상부 전극에 평행하게 위치한 하부 전극에 위치시키고, 전극들 사이에 고주파수 전압을 인가하여 플라즈마를 생성한 후, 설정된 패턴에 따라 대상물을 에칭하는 것이 공지되어 있다. 이 에칭 방법에서, 정확한 에칭을 수행하기 위해서는, 에칭이 종료되어야 하는 에칭 종료 시점을 정확하게 검출할 필요가있다. 예를 들어, 방출 스펙트로스코프 분석을 이용한 검출 방법이 에칭 종료 검출 방법으로서 광범위하게 사용되고 있다. 이 종료 검출 방법에서는, 에칭 가스의 분해물 또는 반응 형성물인, 기, 이온 등과 같은 활성화된 종(species)중에서 가장 용이하게 관찰될 수 있는 선정된 활성화 종이 선택되고, 설정된 파장에 따른 방출 세기의 변화에 기초하여 에칭 종료 시점이 검출된다. 예를 들어, CF4와 같은 CF계 에칭 가스를 사용하여 실리콘 산화물 막을 에칭할 때, CO*로부터 반응 형성 제품으로서 방출된 설정된 파장(즉, 483.5㎚)의 광이 검출되고, 검출된 세기의 변경점에 기초하여 에칭 종료 시점이 결정된다. 선택적으로, CF4와 같은 CF계 에칭 가스를 사용하여 실리콘 질화물막을 에칭할 때, N*으로부터 반응 형성 제품으로서 방출된 설정된 파장(즉, 674㎚)의 광이 검출되어 에칭 종료를 검출하는데 사용될 수 있다. 따라서, 종래의 종료 검출 방법에서는, 서로 다른 파장의 광이 서로 다른 에칭 공정에 사용된다.Recently, the etching method using plasma has been widely used in the semiconductor manufacturing process and LCD substrate manufacturing process. As a typical etching method, it is known to place an object to be processed, such as a semiconductor wafer, on a lower electrode located parallel to the upper electrode, generate a plasma by applying a high frequency voltage between the electrodes, and then etch the object according to a set pattern. It is. In this etching method, in order to perform accurate etching, it is necessary to accurately detect the etching end point at which the etching should be completed. For example, a detection method using emission spectroscope analysis is widely used as an etching end detection method. In this termination detection method, a selected activation species that can be most easily observed among activated species such as groups, ions, etc., which are decomposition products or reaction products of the etching gas is selected, and the emission intensity according to the set wavelength is changed. On the basis of this, the etching end time is detected. For example, when etching a silicon oxide film using a CF-based etching gas such as CF4, light of a set wavelength (i.e. 483.5 nm) emitted as a reaction forming product from CO * is detected and at the point of change of the detected intensity. The etching end time is determined based on this. Optionally, when etching a silicon nitride film using a CF-based etching gas such as CF 4, light of a set wavelength (ie, 674 nm) emitted as a reaction forming product from N * may be detected and used to detect the end of etching. . Therefore, in the conventional end detection method, light of different wavelengths is used for different etching steps.

그러나, 방출 스펙트로스코프 분석을 이용한 종래의 종료 검출 방법에서는, 대상물의 에칭이 종료되고 그 하부층이 노출되는 시점(the point in time)이 에칭의 종료로 결정되고, 이에 따라서 설정된 파장의 광의 세기가 변하게 된다. 따라서, 실시간 검출이 어려워 오버 에칭(over-etching)을 피할 수 없으며, 그 결과 하부층도 또한 에칭되어 손상되게 된다. 근래에, 하부층을 오버 에칭하는 문제는, 반도체 제품이 보다 고집적화되고, 따라서 제품을 구성하는 하부층을 포함하는 각각의 층이 보다 얇게 만들어지기 때문에, 반도체 제품에 큰 영향을 끼치며, 결함있는 제품을 초래할 수 있다. 예를 들어, 다결정 실리콘층의 하부층으로서 게이트 산화물 막 상에 게이트 전극을 형성하기 위해 다결정 실리콘층을 처리될 층으로서 선택적으로 에칭할 때, 게이트 산화물 막이 다결정 실리콘층보다 훨씬 얇기 때문에 게이트 산화물 막이 다결정 실리콘층보다 크게 손상된다.However, in the conventional end detection method using emission spectroscopic analysis, the point in time at which the etching of the object is finished and the lower layer is exposed is determined as the end of the etching, so that the intensity of light of the set wavelength is changed accordingly. do. Thus, real-time detection is difficult and over-etching is unavoidable, with the result that the underlying layer is also etched and damaged. In recent years, the problem of overetching the underlayer has a great effect on the semiconductor product and results in a defective product since the semiconductor product becomes more integrated and thus each layer comprising the underlayer constituting the product is made thinner. Can be. For example, when selectively etching a polycrystalline silicon layer as a layer to be processed to form a gate electrode on the gate oxide film as a lower layer of the polycrystalline silicon layer, the gate oxide film is much thinner than the polycrystalline silicon layer, so that the gate oxide film is polycrystalline silicon. Greater damage than the layer.

한편, 상기 방출 스펙트로스코프를 채용한 식각 종말점 검출장치(EPD:End Point Detector)에서 종말점 검출은 모터에 의해 구동가능한 회절격자를 가진다. 프라즈마 챔버로부터 발생되는 광이 광섬유 등을 통해 회절격자에 수신되면, 상기 회절격자는 상기 수신되는 광을 광파장별로 나누는 역할을 한다. 나누어진 광중에서 진행되는 공정과 밀접한 관계가 있는 파장만이 선택되어 광의 세기가 측정된다. 그러므로 다른 파장의 광을 측정하기 위해서는 상기 회절격자를 모터에 의해 구동시켜 회절격자의 광수신 각도를 변경시켜야 한다. 따라서, 일반적인 200-800nm 의 광 스펙트럼의 전영역을 보기 위해서는 상기 회절격자의 구동에 따른 상당한 시간이 소요된다. 그래서 공정에 사용하기 위해서는 공정이 진행함에 따라 빛의 세기가 가장 많이 변하는 파장 하나만을 골라 세기를 시간에 따라 측정하게 된다. 그러나 이러한 단일파장을 이용하는 방식은 에칭하고자 하는 막질의 영역이 작은 경우에는제대로 종말점을 잡지 못하는 경우가 흔한 것으로 알려져 있다. 즉 미소 콘택을 에치하는 경우 웨이퍼의 대부분은 포토 레지스트로 덮여 있고 아주 작은 부분만이 실리콘 산화막질이다. 에칭하는 화학종이 비록 실리콘 산화막에 대한 반응성이 포토레지스트에 비해 크다고 하더라도 일부는 포토레지스트와 반응하여 부생성물을 만들고 여기서 발생되는 빛은 잡음신호로 관측된다. 실리콘 산화막부분의 영역이 0.5% 이하로 감소하게 되면, 대부분의 관측신호는 잡음에 파묻혀 검출하기 어려워 지는 것으로 알려져 있다. 또한, 막질의 변화이외에 플라즈마 자체의 밀도가 변하든가 EPD 측정용 창이 탁해지는 등의 여러 요인에 의해서도 광 세기의 변화가 올 수 있다.On the other hand, in the end point detector (EPD: end point detector) employing the emission spectroscope, the end point detection has a diffraction grating that can be driven by a motor. When light generated from the plasma chamber is received by the diffraction grating through an optical fiber or the like, the diffraction grating serves to divide the received light by light wavelength. Only wavelengths that are closely related to the process running in the split light are selected to measure the light intensity. Therefore, in order to measure light of different wavelengths, the diffraction grating must be driven by a motor to change the light reception angle of the diffraction grating. Therefore, it takes considerable time to drive the diffraction grating to see the entire region of the general light spectrum of 200-800 nm. Thus, in order to use the process, the intensity is measured over time by selecting only one wavelength of which the intensity of light changes the most as the process proceeds. However, it is known that the method using the single wavelength does not hold the end point properly when the area of the film to be etched is small. In other words, when etching micro contacts, most of the wafer is covered with photoresist and only a small portion is silicon oxide. Although the species being etched have a greater reactivity to the silicon oxide than that of the photoresist, some react with the photoresist to produce a byproduct and the light generated is observed as a noise signal. When the area of the silicon oxide film portion is reduced to 0.5% or less, it is known that most observation signals are buried in noise, making it difficult to detect them. In addition to the change in the film quality, the light intensity may also be changed by various factors such as the density of the plasma itself or the turbidity of the EPD measurement window.

이러한 검출감도의 저하문제를 극복하기 위해 공정과 밀접한 파장 이외에 플라즈마 자체의 성질을 대표할 수 있는 파장을 하나 더 골라 두파장의 비로부터 식각 종말점을 검출하는 방식이 개시되어 있으나, 이 방식 역시 기존 단일 회절격자 방식에서는 파장을 바꾸어 가며 측정해야 하므로 실시간 분석이 불가능한 문제점이 있다.In order to overcome the problem of lowering the detection sensitivity, a method of detecting an etch endpoint from a ratio of two wavelengths by selecting one wavelength representing the characteristics of the plasma itself in addition to the wavelength close to the process has been disclosed. The diffraction grating method has a problem in that real-time analysis is impossible because the measurement is required to change the wavelength.

실시간 분석을 위해서는 종래에는 회절격자와 검출기를 두 개씩 가지는 장비가 필요하다. 그러나 두 개의 파장을 이용하는 경우에도 작은 콘택의 에칭시 상기한 바와 같은 이유로 감도 저하에 의한 문제가 제기될 수 있다. 그러므로 관련성 있는 영역의 모든 파장을 측정하는 것이 바람직하나, 회절격자의 각도를 조절하여 순차적으로 검출하는 방식으로는 전체 스펙트럼을 측정하는데 필요한 시간이 길어 실용성이 떨어지므로, 새로운 스펙트럼 측정기법이 선행되어야 할 필요가 있다.For real-time analysis, conventionally, a device having two diffraction gratings and two detectors is required. However, even when two wavelengths are used, a problem due to the deterioration of sensitivity may be raised when etching a small contact. Therefore, it is desirable to measure all wavelengths in the relevant area, but the method of sequentially detecting the diffraction grating by adjusting the angle of the diffraction grating requires a long time to measure the entire spectrum, which impairs practicality. There is a need.

회절격자의 구동없이 광 검출소자로서 CCD를 이용하여 전 파장의 스펙트럼을 동시에 측정하는 방식이 바로 새로운 스펙트럼 측정기법이다. 여기서는 스펙트럼을 컴퓨터에 읽어 들인 후 통계적인 처리를 하여 공정을 가장 잘 나타낼 수 있는 파장을 선택할 수 있는 기법에 관건이다. 통계적인 처리방식은 연산작업에 많은 부담을 주어 장시간을 소요하기 때문에 실제 제조라인에서 적용하기는 어렵다.A new spectrum measurement technique is a method of simultaneously measuring the spectrum of all wavelengths using a CCD as a photodetector without driving a diffraction grating. The key here is to read the spectrum into a computer and then perform statistical processing to select the wavelength that best represents the process. Statistical processing method is difficult to apply in the actual manufacturing line because it takes a long time to put a lot of computational work.

상기한 바와 같이, 플라즈마 챔버에서 에칭하고자 하는 막질의 영역이 작은 콘택 에치등에서 정확한 식각 종말점을 검출할 수 있도록 하기 위해서는 보다 감도가 우수한 기술이 요구되는 실정이다. 또한, 검출감도가 우수하면서도 검출을 위한 연산작업의 부담을 경감시켜 오버에치를 방지할 수 있는 실시간 검출 기술이 요망된다.As described above, in order to be able to detect an accurate etching end point in a small contact etch, etc., of a film-like region to be etched in the plasma chamber, a technique having higher sensitivity is required. In addition, there is a need for a real-time detection technique that is excellent in detection sensitivity and can reduce overload by reducing the burden of computational work for detection.

따라서, 본 발명의 목적은, 플라즈마 처리될 층의 하부층을 오버 에칭이나 손상시키지 않고 처리될 층의 에칭 종료를 실시간으로 검출하기 위한 장치 및 방법을 제공함에 있다.It is therefore an object of the present invention to provide an apparatus and method for detecting in real time the end of etching of a layer to be treated without over etching or damaging the underlying layer of the layer to be plasma treated.

본 발명의 다른 목적은 복수의 광 파장을 이용하여 종말점 검출의 감도를 개선할 수 있는 검출 장치 및 방법을 제공함에 있다.Another object of the present invention is to provide a detection apparatus and method capable of improving the sensitivity of endpoint detection using a plurality of light wavelengths.

본 발명의 또 다른 목적은 여러개의 광 파장을 하드웨어적으로 연산하여 연산처리속도를 빠르게 할 수 있는 종말점 검출장치 및 방법을 제공함에 있다.It is still another object of the present invention to provide an endpoint detection apparatus and method capable of speeding up a computational process by hardware calculation of several optical wavelengths.

상기한 목적을 달성하기 위한 본 발명의 아스팩트(aspect)에 따라, 플라즈마 식각장비의 챔버내에서 플라즈마 공정진행시 발생되는 플라즈마 광을 사용하여 식각종말점을 검출하기 위한 제어부를 가지는 식각종말점 검출장치에 있어서:According to an aspect of the present invention for achieving the above object, in the etching endpoint detection apparatus having a control unit for detecting the etching endpoint using the plasma light generated during the plasma process in the chamber of the plasma etching equipment In:

상기 챔버내에서 발생되는 플라즈마 광을 발광스펙트럼에 따라 회절시켜 각기 서로 다른 파장을 가지는 복수의 광신호로 분해하는 광학소자와,An optical device diffracting plasma light generated in the chamber according to a light emission spectrum and decomposing the light into a plurality of optical signals having different wavelengths;

각기 고유주소를 갖는 복수의 단위변환소자들로 이루어지며, 상기 복수의 광신호를 수신하여 대응 광신호의 세기에 상응하는 레벨을 갖는 전기적 신호로 각기 변환하기 위한 광전변환소자와,A photoelectric conversion element comprising a plurality of unit conversion elements each having a unique address, for converting the plurality of optical signals into electrical signals having a level corresponding to the intensity of a corresponding optical signal;

상기 광전변환소자로부터의 복수의 전기적 신호를 광세기 데이터로 각기 변환하고, 상기 변환된 광세기 데이터와 상기 단위변환소자의 고유주소를 디지털 데이터로서 함께 출력하는 A/D 변환기와,An A / D converter for converting a plurality of electrical signals from the photoelectric conversion element into optical intensity data, and outputting the converted optical intensity data and the unique address of the unit conversion element together as digital data;

상기 광세기 데이터와 상기 고유주소를 구별하고 상기 고유주소가 마지막 주소가 될 때까지 상기 고유주소를 미리 설정된 파장에 대응되는 값과 비교하여 일치 되는 경우에만 상기 광세기 데이터를 누적적으로 저장하는 광세기 데이터 합성 동작을 주소별로 순차적으로 수행하여, 상기 플라즈마 식각장비의 식각종료를 제어하는 상기 제어부에 상기 누적 저장된 광세기 데이터를 실시간으로 제공하는 신호연산부를 구비함을 특징으로 한다.A light that accumulates the light intensity data only when the light intensity data is distinguished from the unique address, and the unique address is compared with a value corresponding to a preset wavelength until the unique address is the last address and is matched. The intensity data synthesizing operation is sequentially performed for each address, and a signal calculation unit is provided to provide the accumulated and stored light intensity data in real time to the controller for controlling the end of etching of the plasma etching apparatus.

또한, 본 발명의 다른 아스팩트에 따라, 플라즈마 식각장비의 챔버내에서 플라즈마 공정진행시 발생되는 플라즈마 광을 사용하여 식각종말점을 검출하기 위한 식각종말점 검출장치에서의 식각종말점 검출방법은:In addition, according to another aspect of the present invention, the etching endpoint detection method in the etching endpoint detection apparatus for detecting the etching endpoint using the plasma light generated during the plasma process in the chamber of the plasma etching equipment:

상기 챔버내에서 발생되는 플라즈마 광을 발광스펙트럼에 따라 회절시켜 각기 서로 다른 파장을 가지는 복수의 광신호로 분해하는 광전소자와, 각기 고유주소를 갖는 복수의 단위변환소자들로 이루어지며, 상기 복수의 광신호를 수신하여 대응 광신호의 세기에 상응하는 레벨을 갖는 전기적 신호로 각기 변환하기 위한 광전변환소자와, 상기 광전변환소자로부터의 복수의 전기적 신호를 광세기 데이터로 각기 변환하고, 상기 변환된 광세기 데이터와 상기 단위변환소자의 고유주소를 디지털 데이터로서 함께 출력하는 A/D 변환기를 준비하는 단계와;A photoelectric device that diffracts plasma light generated in the chamber according to a light emission spectrum and decomposes the light into a plurality of optical signals having different wavelengths, and a plurality of unit conversion devices each having a unique address. A photoelectric conversion element for receiving an optical signal and converting each of the photoelectric conversion element into an electrical signal having a level corresponding to the intensity of the corresponding optical signal; and converting a plurality of electrical signals from the photoelectric conversion element into optical intensity data, respectively, Preparing an A / D converter for outputting the light intensity data and the unique address of the unit conversion element together as digital data;

상기 광세기 데이터와 상기 고유주소를 구별하고 상기 고유주소가 마지막 주소가 될 때까지 상기 고유주소를 미리 설정된 파장에 대응되는 값과 비교하는 단계와;Distinguishing the light intensity data from the unique address and comparing the unique address with a value corresponding to a preset wavelength until the unique address becomes a last address;

상기 고유주소를 미리 설정된 파장에 대응되는 값과 비교하여 일치 되는 경우에 상기 광세기 데이터를 누적적으로 저장하는 광세기 데이터 합성 동작을 주소별로 순차적으로 수행하는 단계를 가짐에 의해,Comprising a step of sequentially performing a light intensity data synthesis operation for accumulating the light intensity data for each address if the unique address is compared with a value corresponding to a preset wavelength, by the address sequentially,

상기 플라즈마 식각장비의 제어부가 상기 누적 저장된 광세기 데이터에 기초하여 식각종료를 제어하도록 하는 것을 특징으로 한다.The control unit of the plasma etching equipment is characterized in that for controlling the end of the etching based on the accumulated stored light intensity data.

상기한 장치적 방법적 구성에 따르면, 복수의 광 파장을 이용하여 종말점 검출의 감도를 개선하는 효과 및 처리될 층의 에칭 종료를 실시간으로 검출하여 처리될 층의 하부층을 오버 에칭시키거나 손상시키지 않는 효과가 얻어진다.According to the above-described apparatus method configuration, the effect of improving the sensitivity of the end point detection using a plurality of light wavelengths and the end of etching of the layer to be treated in real time, so as not to overetch or damage the underlying layer of the layer to be treated. Effect is obtained.

도 1은 본 발명의 실시 예에 따른 식각 종말점 검출장치의 전체 블록도1 is an overall block diagram of an etching endpoint detection apparatus according to an embodiment of the present invention

도 2는 도 1중 신호연산장치의 동작 플로우 챠트FIG. 2 is an operation flowchart of the signal operation device of FIG.

도 3은 본 발명의 실시 예에 따라 복수의 광파장을 이용하여 검출된 반사광의 파형을 도시한 그래프3 is a graph illustrating waveforms of reflected light detected using a plurality of optical wavelengths according to an exemplary embodiment of the present invention.

도 4는 종래의 단일 광파장을 이용하여 검출된 반사광의 파형을 도시한 그래프4 is a graph showing the waveform of the reflected light detected using a conventional single light wavelength.

이하에서는 본 발명의 실시 예에 따른 반도체 제조장비의 식각종말점 검출장치 및 그 검출방법에 대한 바람직한 실시 예가 첨부된 도면들을 참조하여 설명된다. 비록 다른 도면에 표시되어 있더라도 동일내지 유사한 기능을 수행하는 구성요소들은 동일한 참조부호로서 나타나 있다.Hereinafter, an exemplary embodiment of an apparatus for detecting an etch endpoint and a method of detecting the same according to an embodiment of the present invention will be described with reference to the accompanying drawings. Although shown in different drawings, components that perform the same or similar functions are denoted by the same reference numerals.

도 1을 참조하면, 플라즈마 에칭 장치는 알루미늄과 같은 도전성 재료로 만들어진 처리 챔버(100)와, 상기 처리 챔버(100)의 바닥면 상에 놓이며 반도체 웨이퍼를 위에 장착하기 위한 수용기로서의 역할을 하는 하부 전극으로서의 정전척(ESC)과, 상기 정전척과 일정 간격만큼 이격설치된 상부 전극(소스 파워 전극)을 포함한다. 가스 소스(도시되지 않음)에 접속된 가스 공급부는 상기 처리 챔버(100)의 주변 벽의 상부부에 형성되는 한편, 진공 배기부(도시되지 않음)에 접속된 가스 배기부는 처리 챔버(100)의 주변 벽의 하부에 형성된다. 상기 정전척은 매칭 박스를 거쳐 고주파수 전류를 공급하기 위한 고주파수 전원에 접속된다. 종말점 검출을 위해 플라즈마 광을 수신하는 EPD 창은 상기 처리 챔버(100)의 벽에 대체로 중앙부에 설치된다. 상기 EPD 창은 석영과 같은 투과 재료로 만들어진다.Referring to FIG. 1, a plasma etching apparatus includes a processing chamber 100 made of a conductive material such as aluminum, and a lower portion disposed on a bottom surface of the processing chamber 100 and serving as a receiver for mounting a semiconductor wafer thereon. An electrostatic chuck (ESC) as an electrode and an upper electrode (source power electrode) spaced apart from the electrostatic chuck by a predetermined distance are included. A gas supply connected to a gas source (not shown) is formed at an upper portion of the peripheral wall of the processing chamber 100, while a gas exhaust connected to a vacuum exhaust (not shown) is connected to the processing chamber 100. It is formed at the bottom of the peripheral wall. The electrostatic chuck is connected to a high frequency power source for supplying a high frequency current via a matching box. An EPD window that receives plasma light for endpoint detection is generally installed in the center of the wall of the processing chamber 100. The EPD window is made of a transmissive material such as quartz.

종말점 검출장치는 상기 챔버(100)내에서 발생되는 플라즈마 광을 발광스펙트럼에 따라 회절시켜 각기 서로 다른 파장을 가지는 복수의 광신호로 분해하는 광학소자로서의 회절격자(220)와, 각기 고유주소를 갖는 복수의 단위변환소자들로 이루어지며, 상기 복수의 광신호를 수신하여 대응 광신호의 세기에 상응하는 레벨을 갖는 전기적 신호로 각기 변환하기 위한 광전변환소자로서의 CCD(230)와, 상기 광전변환소자로부터의 복수의 전기적 신호를 광세기 데이터로 각기 변환하고, 상기 변환된 광세기 데이터와 상기 단위변환소자의 고유주소를 디지털 데이터로서 함께출력하는 A/D 변환기(240)와, 상기 광세기 데이터와 상기 고유주소를 구별하고 상기 고유주소가 마지막 주소가 될 때까지 상기 고유주소를 미리 설정된 파장에 대응되는 값과 비교하여 일치 되는 경우에만 상기 광세기 데이터를 누적적으로 저장하는 광세기 데이터 합성 동작을 주소별로 순차적으로 수행하여, 상기 플라즈마 식각장비의 식각종료를 제어하는 제어부에 상기 누적 저장된 광세기 데이터를 실시간으로 제공하는 신호연산장치(300)를 구비한다. 상기 식각 종말점 검출장치의 제어부로서 기능하는 콘트롤 장치(400)는 상기 신호연산장치(300)의 연산신호에 응답하여 상기 챔버(100)의 상부 전극 및 펌프나 MFC(500)를 제어하고, 에칭의 진행 및 중단을 결정한다.An end point detection device includes a diffraction grating 220 as an optical element that diffracts plasma light generated in the chamber 100 according to a light emission spectrum and decomposes the light into a plurality of optical signals having different wavelengths, and each has a unique address. A photoelectric conversion element comprising a plurality of unit conversion elements, the CCD 230 as a photoelectric conversion element for receiving the plurality of optical signals and converting them into electrical signals having a level corresponding to the intensity of the corresponding optical signal; An A / D converter 240 for converting a plurality of electrical signals from each into optical intensity data, and outputting the converted optical intensity data and the unique address of the unit conversion element together as digital data; Distinguish the unique address and match the unique address with a value corresponding to a preset wavelength until the unique address becomes the last address. A signal that provides the cumulatively stored light intensity data in real time to a controller for controlling the etch termination of the plasma etching equipment by sequentially performing the light intensity data synthesizing operation for accumulating the light intensity data only by address. The computing device 300 is provided. The control device 400, which functions as a control unit of the etch endpoint detection device, controls the upper electrode of the chamber 100 and the pump or MFC 500 in response to the operation signal of the signal operation device 300. Determine progress and interruption.

상기한 플라즈마 에칭 장치에서 에칭이 수행될 때, 처리 챔버(100)는 상기 진공 배기부를 거쳐 내부 가스를 배기함으로써 진공 레벨로 유지된다. 바람직하게는 상기 처리 챔버(100)의 압력을 약 1 torr 내지 약 5 torr 사이로 유지시킨다. 다음에, 고주파수 전력을 상부 및 하부 전극간에 인가하고, 에칭 가스를 상기 가스 공급부를 통해 처리 챔버(100)에 공급함으로써 상부 전극과 하부전극 간에 에칭 가스의 플라즈마가 생성된다. 상기 플라즈마로부터 나온 빛은 광섬유 등을 통해 종말점 검출장치(200)에 인가되고, 반사 거울(210)을 통해 반사된 빛은 회절격자(220)에 의해 파장별로 반사각도가 달라져 공간적으로 분해된다. 공간적으로 분해된 빛은 CCD(230)에 의해 검출되고 A/D변환기(240)에 의해 각 포토 다이오드의 주소와 검출된 빛의 세기 데이터가 직렬로 신호연산장치(300)에 전달된다.When etching is performed in the above-described plasma etching apparatus, the processing chamber 100 is maintained at the vacuum level by exhausting the internal gas via the vacuum exhaust portion. Preferably the pressure in the processing chamber 100 is maintained between about 1 torr and about 5 torr. Next, a plasma of the etching gas is generated between the upper electrode and the lower electrode by applying high frequency power between the upper and lower electrodes and supplying the etching gas to the processing chamber 100 through the gas supply. The light emitted from the plasma is applied to the endpoint detection apparatus 200 through an optical fiber, etc., and the light reflected through the reflecting mirror 210 is spatially decomposed by the diffraction grating 220 with different reflection angles. The spatially resolved light is detected by the CCD 230, and the address of each photodiode and the detected intensity data of the photodiode are transmitted to the signal processor 300 in series by the A / D converter 240.

도 2는 도 1중 신호연산장치(300)의 동작 플로우 챠트이다.신호연산장치(300)는 A/D 변환기(240)를 통해 인가되는 광세기 데이터와 상기 단위변환소자의 고유주소를 디지털 데이터로서 수신한다. 도 2의 제250 단계 내지 제253단계는 상기 디지털 데이터를 순차적으로 수신하는 단계이다. 제254단계에서 상기 신호연산장치(300)는 주소와 광세기 데이터를 멀티플렉싱하여 분리한다. 제255단계에서는 광세기 데이터가 분리되어 임시로 저장되고, 제256단계에서는 주소가 분리되어 임시로 저장된다. 상기 제256단계에서 분리된 주소는 제257단계를 거쳐 제258단계에서 미리 설정된 값과 비교된다. 주소가 미리 설정된 값(파장의 값)과 일치하면 제259단계 및 제260단계가 수행되어 상기 주소에 대응되는 데이터가 버퍼에 저장된다. 제261단계에서 상기 버퍼에 저장된 광세기 데이터가 인에이블 포인트의 값보다 같거나 클 경우에 제263단계가 수행되어 EPD 인에이블 신호가 출력된다. 이에 따라, 도 1의 제어 장치(400)는 식각공정의 정지여부를 판단하고 정지로 판단시에 소오스 파워 전극에 인가하던 전압을 차단시킨다. 또한, 상기 제어 장치(400)는 오버에칭을 방지하기 위해 상기 인에이블 신호의 수신 전에 미리 에칭모드를 저 선택비 모드에서 고 선택비 모드로 전환할 수 있다. 상기 인에이블 신호가 수신될 때 까지는 미리 설정된 시간주기 동안 에칭이 미세하게 수행된다. 상기 미리 설정된 시간 주기를 극히 짧게 하면, 하부층이 에칭될 때에도 오버 에칭이 거의 없게 된다.2 is a flowchart illustrating an operation of the signal operation apparatus 300 of FIG. 1. The signal operation apparatus 300 may convert light intensity data applied through the A / D converter 240 and a unique address of the unit conversion element into digital data. Receive as. Steps 250 to 253 of FIG. 2 are steps of sequentially receiving the digital data. In operation 254, the signal operation device 300 multiplexes and separates the address and the light intensity data. In step 255, the light intensity data is separated and temporarily stored. In step 256, the address is separated and temporarily stored. The address separated in step 256 is compared with a value preset in step 258 through step 257. If the address matches a preset value (wavelength value), steps 259 and 260 are performed to store data corresponding to the address in the buffer. If the light intensity data stored in the buffer in step 261 is equal to or greater than the value of the enable point, step 263 is performed to output the EPD enable signal. Accordingly, the control device 400 of FIG. 1 determines whether the etching process is stopped and cuts off the voltage applied to the source power electrode when determined to be stopped. In addition, the control device 400 may switch the etching mode from the low selectivity mode to the high selectivity mode in advance before the enable signal is received in order to prevent overetching. The etching is performed finely for a preset time period until the enable signal is received. If the preset time period is made extremely short, there is almost no over etching even when the underlying layer is etched.

이러한 방식으로 복수개의 파장에 대하여 직렬로 비교해 가며, 일치하는 파장에서의 데이터는 버퍼에 저장된 값과 계속하여 더해간다. 제257단계에서 주소의 값이 최대치가 되면 버퍼의 값은 모니터에 표시되거나 설비 콘트롤러에 보내져 이용되게 된다. 이 때 신호연산 장치내의 버퍼의 값은 제264단계의 수행에 의해 0으로 리셋된다. 상기 제어 장치(400)에 의해 플라즈마의 생성이 차단된 이후에는 챔버(100)내의 진공이 방출되고, 가공된 웨이퍼는 챔버의 외부로 꺼내어진다. 상기 꺼내어진 웨이퍼는 임의의 탈이온수로써 세척되어 잔존하는 잔류물이 제거된다.In this way, a plurality of wavelengths are compared in series, and data at the matching wavelengths is continuously added to the values stored in the buffer. When the value of the address reaches the maximum value in step 257, the value of the buffer is displayed on the monitor or sent to the facility controller to be used. At this time, the value of the buffer in the signal computing device is reset to zero by performing step 264. After the generation of plasma is blocked by the control device 400, the vacuum in the chamber 100 is released, and the processed wafer is taken out of the chamber. The removed wafer is washed with any deionized water to remove residual residue.

상기한 도 2의 동작을 EPROM 메모리에 프로그램을 저장하여 실제 공정에 적용한 결과는 다음과 같다. 실시 예에서 사용되는 적용 웨이퍼는 실리콘 막질위에 BPSG를 4000Å 도포한 후 0.13㎛ 의 콘택에 대한 패턴을 떠서 만든 것이다. 전체 면적에서 콘택의 총면적이 차지하는 비율은 0.2%이다. 에치공정에서 사용되는 가스는 CF4를 사용하였다. EPD는 기존의 방식과 본 실시예의 방식에서 각각에 대한 결과는 도 3 및 도 4에 도시된 바와 같다. 도 3은 본 발명의 실시 예에 따라 복수의 광파장을 이용하여 검출된 반사광의 파형을 도시한 그래프이고, 도 4는 종래의 단일 광파장을 이용하여 검출된 반사광의 파형을 도시한 그래프이다.The result of applying the operation of FIG. 2 to the actual process by storing the program in the EPROM memory is as follows. The application wafer used in the example is made by applying a BPSG 4000Å over silicon film and floating a pattern for a contact of 0.13 μm. The total area of contacts in the total area is 0.2%. CF4 was used as the gas used in the etching process. The results for each of the EPD in the conventional scheme and the scheme of the present embodiment are as shown in FIGS. 3 and 4. 3 is a graph showing the waveform of the reflected light detected using a plurality of light wavelengths according to an embodiment of the present invention, Figure 4 is a graph showing the waveform of the reflected light detected using a conventional single light wavelength.

종래의 방식에서는 440.8nm에서 불화실리콘(SiF)의 방출(emission)을 이용하였고, 본 발명의 방식에서는 SiFx(여기서 x는 1-4)와 COy(y는 0-2)에 대한 신호를 더한 값을 이용하였다. 본 발명의 실시 예에서의 EPD에서 사용하는 파장의 선택은 이외에도 PCA(Principal Component Analysis)라는 통계적 방법을 통해 공정조건에 따라 경향성 있게 변하는 파장들을 골라 이용할 수도 있다. 종래의 방식에서는 도 4에서 보여지는 바와 같이 노출되는 산화실리콘막질의 면적이 너무 작아 신호가 잡음에 섞여 종말점 관측에 사용하기 어려운 상태이나, 본 발명의 실시 예에서와 같이 다파장에서의 신호세기 합을 이용한 도 3의 그래프에서는 종말점 관측에 사용하기 용이함을 알 수 있다.In the conventional method, the emission of silicon fluoride (SiF) is used at 440.8 nm, and in the present invention, the signal for SiFx (where x is 1-4) and COy (y is 0-2) is added. Was used. In addition to the selection of the wavelength used in the EPD in the embodiment of the present invention, it is also possible to select and use wavelengths that tend to change according to process conditions through a statistical method called Principal Component Analysis (PCA). In the conventional method, as shown in FIG. 4, the exposed area of the silicon oxide film is too small to be mixed with noise, making it difficult to use for end point observation. It can be seen from the graph of FIG. 3 that it is easy to use for endpoint observation.

상기한 설명에서는 본 발명의 실시 예를 위주로 도면을 따라 예를 들어 설명하였지만, 본 발명의 기술적 사상의 범위 내에서 본 발명을 다양하게 변형 또는 변경할 수 있음은 본 발명이 속하는 분야의 당업자에게는 명백한 것이다.In the above description, the embodiments of the present invention have been described with reference to the drawings, for example. However, it will be apparent to those skilled in the art that the present invention may be variously modified or changed within the scope of the technical idea of the present invention. .

상기한 바와 같이 본 발명에 따르면, 복수의 광 파장을 이용하여 종말점 검출의 감도를 개선하는 효과 및 처리될 층의 에칭 종료를 실시간으로 검출하여 처리될 층의 하부층을 오버 에칭시키거나 손상시키지 않는 효과가 있다. 따라서, 식각 종말점 검출의 안정화를 도모하는 장점과 공정의 신뢰성을 증대시키는 이점이 있다.As described above, according to the present invention, the effect of improving the sensitivity of the end point detection using a plurality of light wavelengths and the effect of detecting the end of etching of the layer to be processed in real time so as not to overetch or damage the lower layer of the layer to be treated There is. Therefore, there are advantages in stabilizing the etching endpoint detection and in increasing the reliability of the process.

Claims (5)

플라즈마 식각장비의 챔버내에서 플라즈마 공정진행시 발생되는 플라즈마 광을 사용하여 식각종말점을 검출하기 위한 제어부를 구비하는 식각종말점 검출장치에 있어서:In the etching endpoint detection device having a control unit for detecting the etching endpoint using the plasma light generated during the plasma process in the chamber of the plasma etching equipment: 상기 챔버내에서 발생되는 플라즈마 광을 발광스펙트럼에 따라 회절시켜 각기 서로 다른 파장을 가지는 복수의 광신호로 분해하는 광학소자와,An optical device diffracting plasma light generated in the chamber according to a light emission spectrum and decomposing the light into a plurality of optical signals having different wavelengths; 각기 고유주소를 갖는 복수의 단위변환소자들로 이루어지며, 상기 복수의 광신호를 수신하여 대응 광신호의 세기에 상응하는 레벨을 갖는 전기적 신호로 각기 변환하기 위한 광전변환소자와,A photoelectric conversion element comprising a plurality of unit conversion elements each having a unique address, for converting the plurality of optical signals into electrical signals having a level corresponding to the intensity of a corresponding optical signal; 상기 광전변환소자로부터의 복수의 전기적 신호를 광세기 데이터로 각기 변환하고, 상기 변환된 광세기 데이터와 상기 단위변환소자의 고유주소를 디지털 데이터로서 함께 출력하는 A/D 변환기와,An A / D converter for converting a plurality of electrical signals from the photoelectric conversion element into optical intensity data, and outputting the converted optical intensity data and the unique address of the unit conversion element together as digital data; 상기 광세기 데이터와 상기 고유주소를 구별하고 상기 고유주소가 마지막 주소가 될 때까지 상기 고유주소를 미리 설정된 파장에 대응되는 값과 비교하여 일치 되는 경우에만 상기 광세기 데이터를 누적적으로 저장하는 광세기 데이터 합성 동작을 주소별로 순차적으로 수행하여, 상기 플라즈마 식각장비의 식각종료를 제어하는 상기 제어부에 상기 누적 저장된 광세기 데이터를 실시간으로 제공하는 신호연산부를 구비함을 특징으로 하는 식각종말점 검출장치.A light that accumulates the light intensity data only when the light intensity data is distinguished from the unique address, and the unique address is compared with a value corresponding to a preset wavelength until the unique address is the last address and is matched. And a signal calculation unit configured to sequentially perform intensity data synthesizing operations for each address and to provide the cumulatively stored light intensity data in real time to the controller for controlling the etching termination of the plasma etching apparatus. 제1항에 있어서, 상기 광학소자는 회절격자로 이루어짐을 특징으로 하는 식각종말점 검출장치.The apparatus of claim 1, wherein the optical device comprises a diffraction grating. 제1항에 있어서, 상기 광전변환소자는 CCD 소자로 이루어짐을 특징으로 하는 식각종말점 검출장치.The apparatus of claim 1, wherein the photoelectric conversion element comprises a CCD element. 제1항에 있어서, 상기 신호연산부는 상기 광세기 데이터를 내부의 버퍼에 누적적으로 저장하는 것을 특징으로 하는 식각종말점 검출장치.The apparatus of claim 1, wherein the signal operation unit accumulates the light intensity data in an internal buffer. 플라즈마 식각장비의 챔버내에서 플라즈마 공정진행시 발생되는 플라즈마 광을 사용하여 식각종말점을 검출하기 위한 식각종말점 검출장치에서의 식각종말점 검출방법에 있어서:In the etching endpoint detection method in the etching endpoint detection apparatus for detecting the etching endpoint using the plasma light generated during the plasma process in the chamber of the plasma etching equipment: 상기 챔버내에서 발생되는 플라즈마 광을 발광스펙트럼에 따라 회절시켜 각기 서로 다른 파장을 가지는 복수의 광신호로 분해하는 광전소자와, 각기 고유주소를 갖는 복수의 단위변환소자들로 이루어지며, 상기 복수의 광신호를 수신하여 대응 광신호의 세기에 상응하는 레벨을 갖는 전기적 신호로 각기 변환하기 위한 광전변환소자와, 상기 광전변환소자로부터의 복수의 전기적 신호를 광세기 데이터로 각기 변환하고, 상기 변환된 광세기 데이터와 상기 단위변환소자의 고유주소를 디지털 데이터로서 함께 출력하는 A/D 변환기를 준비하는 단계와;A photoelectric device that diffracts plasma light generated in the chamber according to a light emission spectrum and decomposes the light into a plurality of optical signals having different wavelengths, and a plurality of unit conversion devices each having a unique address. A photoelectric conversion element for receiving an optical signal and converting each of the photoelectric conversion element into an electrical signal having a level corresponding to the intensity of the corresponding optical signal; and converting a plurality of electrical signals from the photoelectric conversion element into optical intensity data, respectively, Preparing an A / D converter for outputting the light intensity data and the unique address of the unit conversion element together as digital data; 상기 광세기 데이터와 상기 고유주소를 구별하고 상기 고유주소가 마지막 주소가 될 때까지 상기 고유주소를 미리 설정된 파장에 대응되는 값과 비교하는 단계와;Distinguishing the light intensity data from the unique address and comparing the unique address with a value corresponding to a preset wavelength until the unique address becomes a last address; 상기 고유주소를 미리 설정된 파장에 대응되는 값과 비교하여 일치 되는 경우에 상기 광세기 데이터를 누적적으로 저장하는 광세기 데이터 합성 동작을 주소별로 순차적으로 수행하는 단계를 가짐에 의해,Comprising a step of sequentially performing a light intensity data synthesis operation for accumulating the light intensity data for each address if the unique address is compared with a value corresponding to a preset wavelength, by the address sequentially, 상기 플라즈마 식각장비의 제어부가 상기 누적 저장된 광세기 데이터에 기초하여 식각종료를 제어하도록 하는 것을 특징으로 하는 식각종말점 검출방법.And the control unit of the plasma etching apparatus controls the end of the etching based on the accumulated and stored light intensity data.
KR10-2001-0069422A 2001-11-08 2001-11-08 end point detector in semiconductor fabricating equipment and method therefore KR100426988B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR10-2001-0069422A KR100426988B1 (en) 2001-11-08 2001-11-08 end point detector in semiconductor fabricating equipment and method therefore
US10/121,709 US20030085198A1 (en) 2001-11-08 2002-04-15 Method of detecting etching process end point in semiconductor fabricating equipment and detector therefor
DE10230729A DE10230729B4 (en) 2001-11-08 2002-07-08 A method of detecting the endpoint of an etching process in a semiconductor manufacturing apparatus and detector therefor
JP2002289786A JP2003151958A (en) 2001-11-08 2002-10-02 Etching termination detecting apparatus and method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0069422A KR100426988B1 (en) 2001-11-08 2001-11-08 end point detector in semiconductor fabricating equipment and method therefore

Publications (2)

Publication Number Publication Date
KR20030038018A KR20030038018A (en) 2003-05-16
KR100426988B1 true KR100426988B1 (en) 2004-04-14

Family

ID=19715816

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0069422A KR100426988B1 (en) 2001-11-08 2001-11-08 end point detector in semiconductor fabricating equipment and method therefore

Country Status (4)

Country Link
US (1) US20030085198A1 (en)
JP (1) JP2003151958A (en)
KR (1) KR100426988B1 (en)
DE (1) DE10230729B4 (en)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6903826B2 (en) * 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
US6716300B2 (en) * 2001-11-29 2004-04-06 Hitachi, Ltd. Emission spectroscopic processing apparatus
US6841032B2 (en) * 2002-03-12 2005-01-11 Hitachi High-Technologies Corporation Plasma processing apparatus for adjusting plasma processing through detecting plasma processing state within chamber
US20040040658A1 (en) * 2002-08-29 2004-03-04 Tatehito Usui Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
US6972848B2 (en) * 2003-03-04 2005-12-06 Hitach High-Technologies Corporation Semiconductor fabricating apparatus with function of determining etching processing state
CN100401491C (en) * 2003-05-09 2008-07-09 优利讯美国有限公司 Envelope follower end point detection in time division multiplexed processes
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
KR100578135B1 (en) * 2003-12-19 2006-05-10 삼성전자주식회사 Method For End-Point Detection
US20050194095A1 (en) * 2004-03-02 2005-09-08 Tatehito Usui Semiconductor production apparatus
WO2006054871A1 (en) * 2004-11-18 2006-05-26 Semisysco Co., Ltd. Dry etching apparatuses and methods of forming an electric device using the same
JP4852330B2 (en) * 2006-03-15 2012-01-11 ヒタチグローバルストレージテクノロジーズネザーランドビーブイ Perpendicular magnetic recording head and manufacturing method thereof
KR100855496B1 (en) * 2006-06-21 2008-09-01 (주)네오엔시스 Method for detecting end point of plasma etching process
US7873052B2 (en) * 2007-04-16 2011-01-18 Pivotal Systems Corporation System and method for controlling process end-point utilizing legacy end-point system
EP2185909A4 (en) * 2007-08-07 2015-08-05 Pivotal Systems Corp Method and apparatus for identifying the chemical composition of a gas
KR101090474B1 (en) * 2009-12-30 2011-12-06 주식회사 하이닉스반도체 Method of fabricating alternating phase shift mask
JP6132688B2 (en) * 2013-07-18 2017-05-24 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
CN104733336B (en) * 2013-12-19 2017-11-03 中微半导体设备(上海)有限公司 The end-point detecting system and method for removing of photoresist by plasma technique
JP6560909B2 (en) * 2015-01-19 2019-08-14 株式会社日立ハイテクノロジーズ Plasma processing method and plasma processing apparatus
JP6730941B2 (en) * 2017-01-10 2020-07-29 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
US20200340858A1 (en) * 2019-04-24 2020-10-29 Applied Materials, Inc. Plasma emission monitoring system with cross-dispersion grating
CN112213272B (en) * 2019-07-10 2024-01-12 中微半导体设备(上海)股份有限公司 Spectrum detection equipment, end point detection system and method
US20210010859A1 (en) * 2019-07-11 2021-01-14 Mikro Mesa Technology Co., Ltd. Optical measurement system and method of measuring light emitted from micro device

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6393115A (en) * 1986-10-08 1988-04-23 Hitachi Ltd End point criterion
JPH05114586A (en) * 1991-10-22 1993-05-07 Nippon Telegr & Teleph Corp <Ntt> Detecting device for end point of dry etching
JPH08232087A (en) * 1994-12-08 1996-09-10 Sumitomo Metal Ind Ltd Method for detecting end point of etching and etching device
KR20000047790A (en) * 1998-12-01 2000-07-25 가나이 쓰도무 Method and apparatus for deciding end point of eching and method for eching insulation film using the same
JP2000331985A (en) * 1999-05-18 2000-11-30 Tokyo Electron Ltd Detection of end point
KR20010019141A (en) * 1999-08-25 2001-03-15 황인길 Endpoint define method in plasma etch process
KR20030000274A (en) * 2001-06-22 2003-01-06 주식회사 파이맥스 Multichannel spectrum analyzer for real time plasma monitoring and thin film analysis in semiconductor manufacturing process

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6393115A (en) * 1986-10-08 1988-04-23 Hitachi Ltd End point criterion
JPH05114586A (en) * 1991-10-22 1993-05-07 Nippon Telegr & Teleph Corp <Ntt> Detecting device for end point of dry etching
JPH08232087A (en) * 1994-12-08 1996-09-10 Sumitomo Metal Ind Ltd Method for detecting end point of etching and etching device
KR20000047790A (en) * 1998-12-01 2000-07-25 가나이 쓰도무 Method and apparatus for deciding end point of eching and method for eching insulation film using the same
JP2000331985A (en) * 1999-05-18 2000-11-30 Tokyo Electron Ltd Detection of end point
KR20010019141A (en) * 1999-08-25 2001-03-15 황인길 Endpoint define method in plasma etch process
KR20030000274A (en) * 2001-06-22 2003-01-06 주식회사 파이맥스 Multichannel spectrum analyzer for real time plasma monitoring and thin film analysis in semiconductor manufacturing process

Also Published As

Publication number Publication date
US20030085198A1 (en) 2003-05-08
DE10230729B4 (en) 2005-12-22
DE10230729A1 (en) 2003-05-28
KR20030038018A (en) 2003-05-16
JP2003151958A (en) 2003-05-23

Similar Documents

Publication Publication Date Title
KR100426988B1 (en) end point detector in semiconductor fabricating equipment and method therefore
US5372673A (en) Method for processing a layer of material while using insitu monitoring and control
JP3429137B2 (en) Method for real-time in-situ monitoring of trench formation process
US6673200B1 (en) Method of reducing process plasma damage using optical spectroscopy
US5658418A (en) Apparatus for monitoring the dry etching of a dielectric film to a given thickness in an integrated circuit
KR100567481B1 (en) Plasma etching termination detecting method
KR100912748B1 (en) Method and apparatus for endpoint detection using partial least squares
US6368975B1 (en) Method and apparatus for monitoring a process by employing principal component analysis
KR100659163B1 (en) Method and apparatus for improving accuracy of plasma etching process
US5362356A (en) Plasma etching process control
JP4051470B2 (en) End point detection method
US6358362B1 (en) Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US20060040415A1 (en) Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
KR20000071595A (en) Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6635573B2 (en) Method of detecting an endpoint during etching of a material within a recess
JP4387022B2 (en) End point detection method
JP2944802B2 (en) Dry etching method
CN100365788C (en) Method and apparatus for detecting polysilicon gate etching terminal
JP3195695B2 (en) Plasma processing method
JP2002190470A (en) Etching apparatus
KR100562627B1 (en) Method of detecting end point of plasma processing and apparatus for the same
KR100855496B1 (en) Method for detecting end point of plasma etching process
KR20030087804A (en) Equipment and method for eating view port of semiconductor ashing device therefor
KR20030072031A (en) Equipment and method for sensing strip error of semiconductor ashing device therefor
KR20010000528A (en) Method for determining an end point of a semiconductor plasma etch process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment
LAPS Lapse due to unpaid annual fee