KR20070030788A - Improved strained-silicon cmos device and method - Google Patents

Improved strained-silicon cmos device and method Download PDF

Info

Publication number
KR20070030788A
KR20070030788A KR1020067024391A KR20067024391A KR20070030788A KR 20070030788 A KR20070030788 A KR 20070030788A KR 1020067024391 A KR1020067024391 A KR 1020067024391A KR 20067024391 A KR20067024391 A KR 20067024391A KR 20070030788 A KR20070030788 A KR 20070030788A
Authority
KR
South Korea
Prior art keywords
strain
strained
tensile
semiconductor
region
Prior art date
Application number
KR1020067024391A
Other languages
Korean (ko)
Other versions
KR100956575B1 (en
Inventor
안드레스 브라이언트
퀴큉 오양
건 임
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/930,404 external-priority patent/US7227205B2/en
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20070030788A publication Critical patent/KR20070030788A/en
Application granted granted Critical
Publication of KR100956575B1 publication Critical patent/KR100956575B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS

Abstract

본 발명은 반도체 장치의 장치 채널 내에 단일축 스트레인이 생성되는 반도체 장치 및 그 제조 방법을 제공한다. 단일축 스트레인은 인장 상태 또는 압축 상태에 있고 장치 채널에 평행한 방향에 있다. 단일축 스트레인은 스트레인 유도 라이너, 스트레인 유도 웰 또는 이들의 조합에 의해 2축 스트레인드 기판 표면에 생성될 수 있다. 단일축 스트레인은 스트레인 유도 웰과 스트레인 유도 라이너의 조합에 의해 감소된 기판에서 생성될 수 있다. 본 발명은 또한 스트레인 유도 격리 영역에서 2축 스트레인을 증가시키기 위한 수단을 제공한다. 본 발명은, 더 나아가, CMOS 기판의 장치 영역이 독립적으로 처리되어 압축 상태 또는 인장 상태의 2축 스트레인드 반도체 표면을 제공하는 CMOS 장치를 제공한다.The present invention provides a semiconductor device in which a single axis strain is generated in a device channel of the semiconductor device, and a method of manufacturing the same. The uniaxial strain is in tension or compression and in a direction parallel to the device channel. Uniaxial strain may be produced on the biaxial strained substrate surface by strain induced liners, strain induced wells or a combination thereof. Uniaxial strain can be produced in a reduced substrate by a combination of strain induced wells and strain induced liners. The present invention also provides a means for increasing biaxial strain in the strain induced isolation region. The present invention further provides a CMOS device in which the device region of the CMOS substrate is processed independently to provide a biaxial strained semiconductor surface in a compressed or tensile state.

Description

개선된 스트레인드 실리콘 CMOS 장치 및 그 제조 방법{IMPROVED STRAINED-SILICON CMOS DEVICE AND METHOD}IMPROVED STRAINED-SILICON CMOS DEVICE AND METHOD

본 발명은 전자(electron) 및 정공(hole) 이동도가 향상된 반도체 장치에 관한 것으로, 특히 전자 및 정공 이동도가 향상된 실리콘(Si) 함유 층을 포함한 반도체 장치에 관한 것이다. 본 발명은 이러한 반도체 장치 제조 방법을 또한 제공한다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to semiconductor devices with improved electron and hole mobility, and more particularly to semiconductor devices including silicon (Si) containing layers with improved electron and hole mobility. The present invention also provides a method of manufacturing such a semiconductor device.

지난 30년 이상동안, 전세계의 반도체 산업에서 실리콘 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET)의 소형화가 계속적으로 진행되고 있다. 계속된 스케일링에 대하여 각종 쇼스토퍼(showstopper)가 수 십년간 주장되어 왔지만 기술 혁신의 역사는 많은 도전에도 불구하고 무어 법칙을 유지하고 있다. 그러나, 최근에는 금속 산화물 반도체 트랜지스터가 그들의 전통적인 스케일링 한계에 도달하기 시작한다는 신호들이 나타나고 있다. 계속된 상보형 금속 산화물 반도체(CMOS) 스케일링에 대한 단기 및 장기 도전의 간결한 요약은 반도체에 관한 국제 기술 로드맵(International Technology Roadmap for Semiconductors; ITRS)의 2002 업데이트의 "그랜드 챌린지"(Grand Challenges) 섹션에서 찾아볼 수 있다. 장치, 물질, 회로 및 시스템에 대한 구체적인 내용은 반도체 기술의 한계에 관한 특별 발행본인 Proc. IEEE, 제89권 제3호, 2001년 3월호에서 찾아볼 수 있다.Over the past three decades, miniaturization of silicon metal oxide semiconductor field effect transistors (MOSFETs) has continued in the semiconductor industry around the world. Although showstoppers have been insisted on decades for continued scaling, the history of technological innovation maintains Moore's Law despite many challenges. Recently, however, signals have emerged that metal oxide semiconductor transistors begin to reach their traditional scaling limits. A concise summary of the short-term and long-term challenges to continued complementary metal oxide semiconductor (CMOS) scaling can be found in the “Grand Challenges” section of the 2002 Update of the International Technology Roadmap for Semiconductors (ITRS). You can find it. Details on devices, materials, circuits and systems can be found in the Proc. IEEE, Volume 89, Issue 3, March 2001.

계속된 스케일링을 통한 MOSFET 및 그에 따른 CMOS 성능의 개선이 점차 어려워지고 있기 때문에, 스케일링없이 성능을 개선하는 방법이 중요하게 되었다. 이것을 행하기 위한 한가지 방법은 캐리어(전자 및/또는 정공) 이동도를 증가시키는 것이다. 증가된 캐리어 이동도는 예를 들면 Si 격자에 적당한 스트레인(strain)을 도입함으로써 얻어질 수 있다.As the improvement of MOSFET and hence CMOS performance through continuous scaling becomes increasingly difficult, a method of improving performance without scaling becomes important. One way to do this is to increase carrier (electron and / or hole) mobility. Increased carrier mobility can be obtained, for example, by introducing an appropriate strain into the Si lattice.

스트레인을 적용하면 실리콘(Si) 함유 기판의 격자 치수(lattice dimension)를 변경시킨다. 격자 치수를 변경함으로써, 물질의 전자띠 구조가 또한 변화된다. 변화는 진성 반도체에서 약간만 발생하여 작은 저항 변화를 일으키지만, 반도체 물질이 n형으로 도핑되어 부분적으로 이온화될 때, 에너지띠의 매우 작은 변화가 불순물 농도와 대역 엣지 간의 에너지 차에 있어서 매우 큰 변화를 야기할 수 있다. 이것은 캐리어 운반 특성의 변화를 야기하는데 어떤 경우에는 그 변화가 매우 크게 될 수 있다. 물리적 응력[인장(tensile) 또는 압축(compressive)]의 적용은 Si 함유 기판 상에서 제조된 장치의 성능을 향상시키기 위해 추가로 사용될 수 있다.Applying strain alters the lattice dimension of the silicon (Si) containing substrate. By changing the lattice dimensions, the electronic band structure of the material is also changed. The change occurs only slightly in the intrinsic semiconductor, resulting in a small resistance change, but when the semiconductor material is doped n-type and partially ionized, a very small change in energy band produces a very large change in energy difference between impurity concentration and the band edge Can cause. This results in a change in carrier carrying properties which in some cases can be very large. The application of physical stresses (tensile or compressive) can be further used to improve the performance of devices fabricated on Si containing substrates.

장치 채널에 따른 압축 스트레인은 p형 전계 효과 트랜지스터(pFET)의 구동 전류를 증가시키고 n형 전계 효과 트랜지스터(nFET)의 구동 전류를 감소시킨다. 장치 채널을 따른 인장 스트레인은 nFET의 구동 전류를 증가시키고 pFET의 구동 전류를 감소시킨다.Compression strain along the device channel increases the drive current of the p-type field effect transistor (pFET) and reduces the drive current of the n-type field effect transistor (nFET). Tensile strain along the device channel increases the drive current of the nFET and decreases the drive current of the pFET.

완화된(relaxed) SiGe 버퍼층 또는 완화된 절연체상 SiGe(SiGe-on-insulator; SGOI) 위에서의 스트레인드 실리콘은 nFET[K.Rim, p.98, VLSI 2002, B.Lee, IEDM 2002] 및 pFET[K.Rim 등, p.98, VLSI 2002] 장치 모두에서 더 높은 구동 전류를 나타낸다. SGOI 기판 상의 스트레인드 실리콘 또는 절연체 직상 스트레인드 실리콘(strained silicon directly on insulator; SSDOI)을 갖는 것이 단채널 효과 및 SiGe에서의 향상된 As 확산과 같은 일부 처리 관련 문제점들을 감소시킬 수 있다 하더라도[S. Takagi 등, p.03-57, IEDM 2003; K. Rim 등, p.3-49, IEDM 2003], 구동 전류의 증가는 장치들이 극단 채널(very short channel) 치수로 다운 스케일되기 때문에 감소하기 시작한다[Q. Xiang 등, VLSI 2003; J. R. Hwang 등, VLSI 2003]. 용어 "극단 채널"은 길이가 약 50 nm 이하인 장치 채널을 의미한다.Strained silicon on a relaxed SiGe buffer layer or on a relaxed insulator SiGe (SiGe-on-insulator (SGOI)) is an nFET [K.Rim, p.98, VLSI 2002, B.Lee, IEDM 2002] and pFET. [K. Rim et al., P. 98, VLSI 2002] all show higher drive currents. Although having strained silicon or strained silicon directly on insulator (SSDO) on an SGOI substrate may reduce some processing related problems such as short channel effects and improved As diffusion in SiGe [S. Takagi et al., P. 03-57, IEDM 2003; K. Rim et al., P. 3-49, IEDM 2003], the increase in drive current begins to decrease as devices are downscaled to very short channel dimensions [Q. Xiang et al., VLSI 2003; J. R. Hwang et al., VLSI 2003]. The term "extreme channel" means a device channel that is about 50 nm or less in length.

극단 채널 장치에서 구동 전류의 감소는 소스/드레인 직렬 저항 때문에 야기되고 이동도 감퇴는 강한 후광 도핑(halo doping), 속도 포화 및 자기 가열(self-heating)에 의한 더 높은 채널 도핑에 기인하는 것으로 보여진다.In extreme channel devices, the reduction in drive current is caused by the source / drain series resistance and the mobility decay appears to be due to higher channel doping by strong halo doping, velocity saturation, and self-heating. Lose.

또한, 완화 SiGe 상에서 에피택셜 성장된 스트레인드 실리콘과 같은 2축 인장 스트레인의 경우, pFET 장치의 중대한 정공 이동도 증가는 장치 채널이 높은(>1%) 스트레인하에 있을 때에만 발생하고, 이것은 바람직하지 않게 결정 결함을 갖게 하는 경향이 있다. 또한 완화 SiGe 상의 에피택셜 성장된 Si 간의 격자 미스매치(mismatch)에 의해 생성된 스트레인은 얕은 트렌치 격리 영역에 의해 유도된 응력에 의해 감소되고, 여기에서 얕은 트렌치 격리 영역의 효과는 게이트 엣지로부터 소스/드레인 영역의 끝까지 약 500 nm 또는 그 미만의 치수를 가진 장치의 경우에 특히 중요하다[T. Sanuki 등, IEDM 2003].In addition, for biaxial tensile strain such as strained silicon epitaxially grown on relaxed SiGe, significant hole mobility increase of the pFET device only occurs when the device channel is under high (> 1%) strain, which is undesirable. It tends not to have crystal defects. The strain produced by the lattice mismatch between epitaxially grown Si on relaxed SiGe is also reduced by the stress induced by the shallow trench isolation regions, where the effect of the shallow trench isolation regions is reduced from the source / gate edge. This is especially important for devices with dimensions of about 500 nm or less to the end of the drain region [T. Sanuki et al., IEDM 2003].

반도체 장치의 추가적인 스케일링은 기판 내에서 생성되는 스트레인 레벨을 제어하는 것 및 생성될 수 있는 스트레인을 증가시키기 위한 새로운 방법을 개발하는 것을 필요로 한다. 스케일링이 계속되는 스트레인드 실리콘의 향상을 유지하기 위해, 스트레인의 양이 실리콘 함유층 내에서 유지되거나 증가되어야 한다. pFET 장치에서 캐리어 이동도를 증가시키기 위해 추가의 기술 혁신이 필요하다.Further scaling of semiconductor devices requires controlling the level of strain produced in the substrate and developing new methods to increase the amount of strain that can be produced. In order to maintain the improvement of strained silicon with scaling, the amount of strain must be maintained or increased in the silicon containing layer. Further technological innovations are needed to increase carrier mobility in pFET devices.

본 발명은 장치 채널에 평행한 방향으로 인장 단일축 스트레인(tensile uniaxial strain)을 받기 쉬운 장치 채널에 개선된 캐리어 이동도가 제공되는 스트레인드 nFET 장치를 제공한다. 본 발명은 장치 채널에 평행한 방향으로 장치에 도입된 압축 단일축 스트레인에 의해 개선된 캐리어 이동도가 제공되는 스트레인드 pFET 장치를 또한 제공한다. 본 발명은 동일 기판 상에 pFET 및 nFET 장치를 포함하는 CMOS 구조를 더 포함하고, 여기에서 pFET 장치의 장치 채널은 단일축 압축 스트레인 하에 있고 nFET 장치의 장치 채널은 단일축 인장 스트레인 하에 있으며, 상기 압축 스트레인과 인장 스트레인은 모두 장치 채널에 평행한 방향이다.The present invention provides a strained nFET device that provides improved carrier mobility in a device channel susceptible to tensile uniaxial strain in a direction parallel to the device channel. The present invention also provides a strained pFET device provided with improved carrier mobility by compression uniaxial strain introduced into the device in a direction parallel to the device channel. The invention further includes a CMOS structure comprising a pFET and an nFET device on the same substrate, wherein the device channel of the pFET device is under uniaxial compression strain and the device channel of the nFET device is under uniaxial tensile strain, and the compression Both strain and tensile strain are in a direction parallel to the device channel.

전술한 본 발명은 반도체 표면이 SiGe 층상에 에피택셜적으로 성장된 경우 2축 인장 스트레인 또는 반도체 표면이 탄소가 도핑된 실리콘 층상에 에피택셜적으로 성장된 경우 2축 압축 스트레인을 갖는 반도체 표면상에서 트랜지스터를 형성하고, 그 다음에 장치 채널 상에서 단일축 인장 스트레인 또는 압축 스트레인을 유도함으로써 달성된다. 단일축 인장 스트레인 또는 압축 스트레인은 트랜지스터 상에 위치된 스트레인 유도 유전체 라이너(liner) 및/또는 장치 채널에 인접한 스트레인 유도 웰에 의해 생성된다. 넓게 보아서, 본 발명의 반도체 구조물은:The present invention described above is a transistor on a semiconductor surface having a biaxial tensile strain when the semiconductor surface is epitaxially grown on a SiGe layer or a biaxial compressive strain when the semiconductor surface is epitaxially grown on a carbon-doped silicon layer. And then induce a uniaxial tensile strain or compressive strain on the device channel. Uniaxial tensile strain or compression strain is produced by strain induced dielectric liners located on the transistors and / or by strain induced wells adjacent to the device channels. Broadly speaking, the semiconductor structure of the present invention is:

스트레인 유도층(strain inducing layer) 상에 스트레인드 반도체 층을 포함하는 기판-상기 스트레인 유도층은 상기 스트레인드 반도체 층에서 2축 스트레인을 생성하는 것임-과;A substrate comprising a strained semiconductor layer on a strain inducing layer, the strain inducing layer producing biaxial strain in the strained semiconductor layer;

상기 스트레인드 반도체 층의 장치 채널 부분 위에 게이트 전도체를 포함하는 적어도 하나의 게이트 영역-상기 장치 채널 부분은 상기 적어도 하나의 게이트 전도체 부근에서 소스 및 드레인 영역을 분리하는 것임-과;At least one gate region comprising a gate conductor over a device channel portion of the strained semiconductor layer, wherein the device channel portion separates a source and drain region near the at least one gate conductor;

상기 적어도 하나의 게이트 영역에 위치된 스트레인 유도 라이너-상기 스트레인 유도 라이너는 상기 적어도 하나의 게이트 영역 아래에 있는 상기 스트레인드 반도체 층의 상기 장치 채널 부분에 단일축 스트레인을 생성하는 것임-를 포함한다.And a strain induced liner located in the at least one gate region, wherein the strain induced liner is to create a single axial strain in the device channel portion of the strained semiconductor layer below the at least one gate region.

스트레인 유도층은 스트레인드 반도체 표면에서의 2축 스트레인이 인장 상태에 있는 경우 SiGe로 구성되고, 또는 스트레인 유도층은 스트레인드 반도체 표면의 2축 스트레인이 압축 상태에 있는 경우 탄소가 도핑된 실리콘으로 구성될 수 있다.The strain inducing layer consists of SiGe when the biaxial strain on the strained semiconductor surface is in tension, or the strain inducing layer consists of carbon doped silicon when the biaxial strain on the strained semiconductor surface is in compression Can be.

2축 인장 스트레인 내에 장치 채널을 가진 트랜지스터 위에 위치된 인장 스트레인 유도 라이너는 장치 채널 내에 단일축 인장 스트레인을 생성하고, 이 때 단일축 스트레인은 장치 채널과 평행한 방향에 있고 nFET 장치에서 캐리어 이동도 증가를 제공한다. 2축 인장 스트레인 내에 장치 채널을 가진 트랜지스터 위에 위치된 압축 스트레인 유도 라이너는 장치 채널에서 단일축 압축 스트레인을 생성하고, 이 때 단일축 스트레인은 장치 채널에 평행한 방향에 있고 pFET 장치에서 캐리어 이동도 증가를 제공한다. 2축 압축 스트레인 내에 장치 채널을 가진 트랜지스터 위에 위치된 압축 스트레인 유도 라이너는 장치 채널에서 단일축 스트레인을 생성하고, 이 때 단일축 압축 스트레인은 장치 채널에 평행한 방향에 있고 pFET 장치에서 캐리어 이동도 증가를 제공한다.Tensile strain induction liners positioned over transistors with device channels in biaxial tensile strain produce a single axis tensile strain in the device channel, where the single axis strain is in a direction parallel to the device channel and increases carrier mobility in the nFET device. To provide. Compression strain induction liners positioned over transistors with device channels in a biaxial tensile strain produce a single axis compression strain in the device channel, where the single axis strain is in a direction parallel to the device channel and increases carrier mobility in the pFET device. To provide. Compression strain induction liners positioned over transistors with device channels within a biaxial compression strain produce a single axis strain in the device channel, where the single axis compression strain is in a direction parallel to the device channel and increases carrier mobility in the pFET device. To provide.

본 발명의 다른 하나의 태양은 2축으로 스트레인된 장치 채널 부근의 스트레인 유도 웰이 장치 채널에 평행한 단일축 압축 스트레인 또는 단일축 인장 스트레인을 유도하는 반도체 구조이다. 넓게 보아서, 본 발명의 반도체 구조물은:Another aspect of the invention is a semiconductor structure in which a strain induced well near a biaxially strained device channel induces a uniaxial compressive strain or a monoaxial tensile strain parallel to the device channel. Broadly speaking, the semiconductor structure of the present invention is:

스트레인 유도층 상의 스트레인드 반도체 층을 포함하는 기판-상기 스트레인 유도층은 상기 스트레인드 반도체 층에서 2축 스트레인을 생성하는 것임-과;A substrate comprising a strained semiconductor layer on a strain induced layer, the strain induced layer producing biaxial strain in the strained semiconductor layer;

상기 기판의 상기 스트레인드 반도체 층의 장치 채널 부분 위에 게이트 전도체를 포함하는 적어도 하나의 게이트 영역-상기 장치 채널은 소스 및 드레인 영역을 분리하는 것임-과;At least one gate region comprising a gate conductor over a device channel portion of the strained semiconductor layer of the substrate, the device channel separating a source and a drain region;

상기 적어도 하나의 게이트 영역 부근의 스트레인 유도 웰-상기 적어도 하나의 게이트 영역 부근의 상기 스트레인 유도 웰은 상기 스트레인드 반도체 층의 상기 장치 채널 부분에 단일축 스트레인을 생성하는 것임-을 포함한다.And strain induced well near the at least one gate region, wherein the strain induced well near the at least one gate region is to generate a uniaxial strain in the device channel portion of the strained semiconductor layer.

2축 인장 스트레인드 반도체 층 내에서 장치 채널 부근에 위치하고 있는, 탄소가 도핑된 실리콘을 포함한 스트레인 유도 웰은 장치 채널 내에서 인장 단일축 스트레인을 생성하고, 상기 단일축 스트레인은 장치 채널에 평행한 방향에 있다. 인장 단일축 스트레인은 nFET 장치에서 캐리어 이동도 증가를 제공할 수 있다.Strain induction wells comprising carbon doped silicon, located near the device channel in the biaxial tensile strain semiconductor layer, produce a tensile single axis strain in the device channel, the single axis strain being parallel to the device channel. Is in. Tensile uniaxial strain can provide increased carrier mobility in nFET devices.

2축 압축 스트레인드 반도체 층 내에서 장치 채널 부근에 위치하고 있는, SiGe를 포함한 스트레인 유도 웰은 장치 채널 내에서 압축 단일축 스트레인을 생성하고, 상기 단일축 스트레인은 장치 채널에 평행한 방향에 있다. 압축 단일축 스트레인은 pFET 장치에서 캐리어 이동도 증가를 제공할 수 있다.Strain induction wells, including SiGe, located near the device channel in the biaxial compressive strain semiconductor layer create a compressive single axis strain in the device channel, the single axis strain being in a direction parallel to the device channel. Compression uniaxial strain can provide increased carrier mobility in pFET devices.

본 발명의 다른 태양은 nFET 및 pFET 장치를 포함한 상보형 금속 산화물 반도체(CMOS) 구조이다. 넓게 보아서, 본 발명의 구조물은:Another aspect of the invention is a complementary metal oxide semiconductor (CMOS) structure including nFET and pFET devices. Broadly speaking, the structure of the present invention is:

압축 스트레인드 반도체 표면 및 인장 스트레인드 반도체 표면을 포함하는 기판-상기 압축 스트레인드 반도체 표면 및 인장 스트레인드 반도체 표면은 2축으로 스트레인된 것임-과;A substrate comprising a compressive strained semiconductor surface and a tensile strained semiconductor surface, wherein the compressive strained semiconductor surface and the tensile strained semiconductor surface are biaxially strained;

상기 기판의 상기 압축 스트레인드 반도체 층의 장치 채널 부분 위에 게이트 전도체를 포함하는 상기 압축 스트레인드 반도체 층 위의 적어도 하나의 게이트 영역과;At least one gate region over said compressive strained semiconductor layer comprising a gate conductor over a device channel portion of said compressive strained semiconductor layer of said substrate;

상기 기판의 상기 인장 스트레인드 반도체 층의 장치 채널 부분 위에 게이트 전도체를 포함하는 상기 인장 스트레인드 반도체 층 위의 적어도 하나의 게이트 영역과;At least one gate region over said tensile strained semiconductor layer comprising a gate conductor over a device channel portion of said tensile strained semiconductor layer of said substrate;

상기 압축 스트레인드 반도체 표면 상의 상기 적어도 하나의 게이트 영역 위의 압축 스트레인 유도 라이너-상기 압축 스트레인 유도 라이너는 상기 압축 스트레인 반도체 층의 상기 장치 채널 부분에서 압축 단일축 스트레인을 생성하고, 상기 압축 단일축 스트레인은 상기 압축 스트레인드 반도체 표면의 상기 장치 채널 부분에 평행한 방향에 있는 것임-와;Compressive strain induced liner over the at least one gate region on the compressive strain semiconductor surface—the compressive strain induced liner creates a compressive monoaxial strain in the device channel portion of the compressive strain semiconductor layer, the compressive monoaxial strain Is in a direction parallel to the device channel portion of the compressive strained semiconductor surface;

상기 인장 스트레인드 반도체 층 상의 상기 적어도 하나의 게이트 영역 위의 인장 스트레인 유도 라이너-상기 인장 스트레인 유도 라이너는 상기 인장 스트레인드 반도체 층의 상기 장치 채널 부분에서 단일축 스트레인을 생성하고, 상기 인장 단일축 스트레인은 상기 인장 스트레인드 반도체 층의 상기 장치 채널 부분에 평행한 방향에 있는 것임-를 포함한다.Tensile strain induction liner over the at least one gate region on the tensile strain semiconductor layer—The tensile strain induction liner creates a uniaxial strain in the device channel portion of the tensile strain semiconductor layer, and the tensile uniaxial strain Is in a direction parallel to the device channel portion of the tensile strained semiconductor layer.

본 발명의 또다른 태양은 nFET 및 pFET 장치를 포함한 상보형 금속 산화물 반도체(CMOS) 구조이다. 넓게 보아서, 본 발명의 구조물은:Another aspect of the invention is a complementary metal oxide semiconductor (CMOS) structure including nFETs and pFET devices. Broadly speaking, the structure of the present invention is:

pFET 장치 영역 및 nFET 장치 영역을 가진 인장 스트레인드 반도체 층을 포함하는 기판과;a substrate comprising a tensile strained semiconductor layer having a pFET device region and an nFET device region;

상기 인장 스트레인드 반도체 층의 pFET 장치 채널 부분 위에 게이트 전도체를 포함하는 상기 pFET 장치 영역 내의 적어도 하나의 게이트 영역과;At least one gate region in the pFET device region comprising a gate conductor over a pFET device channel portion of the tensile strained semiconductor layer;

상기 기판의 상기 인장 스트레인드 반도체 표면의 nFET 장치 채널 부분 위에 게이트 전도체를 포함하는 상기 nFET 장치 영역 내의 적어도 하나의 게이트 영역과;At least one gate region in the nFET device region comprising a gate conductor over an nFET device channel portion of the tensile strained semiconductor surface of the substrate;

상기 pFET 장치 영역 내의 상기 적어도 하나의 게이트 영역 위의 압축 스트레인 유도 라이너-상기 압축 스트레인 유도 라이너는 상기 pFET 장치 채널에서 압축 단일축 스트레인을 생성하는 것임-와;A compressive strain induced liner over the at least one gate region in the pFET device region, the compressive strain induced liner to produce a compressive uniaxial strain in the pFET device channel;

상기 nFET 장치 영역 내의 상기 적어도 하나의 게이트 영역 위의 인장 스트레인 유도 라이너-상기 인장 스트레인 유도 라이너는 상기 nFET 장치 채널에서 단일축 인장 스트레인을 생성하는 것임-를 포함한다.Tensile strain inducing liner over the at least one gate region in the nFET device region, wherein the tensile strain inducing liner is to create a monoaxial tensile strain in the nFET device channel.

전술한 구조물은 nFET 장치 영역 및 pFET 장치 영역에서 적어도 하나의 게이트 영역 부근에 스트레인 유도 웰을 더 포함할 수 있고, 여기에서 pFET 장치 영역의 스트레인 유도 웰은 압축 단일 축 스트레인을 증가시키고 nFET 장치 영역의 스트레인 유도 웰은 인장 단일축 스트레인을 증가시킨다.The aforementioned structure may further include strain induced wells in the nFET device region and at least one gate region in the pFET device region, where the strain induced wells in the pFET device region increase the compressive single axis strain and reduce Strain induction wells increase tensile monoaxial strain.

본 발명의 또다른 태양은 기판의 장치 채널 부분 내에서 단일축 스트레인을 제공하는 응력 유도 라이너 및/또는 스트레인 유도 웰을 포함한 전술한 반도체 구조물을 형성하는 방법이다. 넓게 보아서, 본 발명의 방법은:Another aspect of the invention is a method of forming a semiconductor structure as described above comprising a stress induced liner and / or strain induced wells that provide uniaxial strain in the device channel portion of the substrate. In broad terms, the method of the present invention is:

적어도 하나의 스트레인드 반도체 표면-이 적어도 하나의 스트레인드 반도체 표면은 제1 방향 및 제2 방향으로 동일 크기를 가진 내부 스트레인을 가지며, 상기 제1 방향은 동일한 결정 평면 내에 있고 상기 제2 방향에 수직한 것임-을 가진 기판을 제공하는 단계와;At least one strained semiconductor surface—the at least one strained semiconductor surface has internal strains of equal magnitude in the first and second directions, the first direction being in the same crystal plane and perpendicular to the second direction Providing a substrate having one;

상기 적어도 하나의 스트레인드 반도체 표면 상에 적어도 하나의 반도체 장치-이 적어도 하나의 반도체 장치는 상기 반도체 표면의 장치 채널 부분 위에 게이트 전도체를 포함하고, 상기 장치 채널은 소스와 드레인 영역을 분리하는 것임-를 생성하는 단계와;At least one semiconductor device on said at least one strained semiconductor surface, said at least one semiconductor device comprising a gate conductor over a device channel portion of said semiconductor surface, said device channel separating source and drain regions; Generating a;

상기 적어도 하나의 게이트 영역 위에 스트레인 유도 라이너-이 스트레인 유도 라이너는 상기 장치 채널에서 단일축 스트레인을 생성하고, 상기 제1 방향의 스트레인의 크기는 상기 적어도 하나의 스트레인드 반도체 표면의 상기 장치 채널 부분 내에서 상기 제2 방향과 다른 것임-를 형성하는 단계를 포함한다.A strain induced liner over the at least one gate region—the strain induced liner creates a uniaxial strain in the device channel, and the magnitude of the strain in the first direction is within the device channel portion of the at least one strained semiconductor surface. Forming different from the second direction.

본 발명의 또다른 태양은 반도체 층 내에서 2축 스트레인을 증가시키는 방법이다. 반도체 층 내에서의 2축 스트레인은 진성 압축 또는 인장 유전체 충전재를 가진 능동 장치 영역 주변에 격리 영역을 형성함으로써 압축 또는 인장이 증가될 수 있다. 본 발명의 방법에 따르면, 단일축 스트레인은 스트레인 유도 라이너 대신에 또는 스트레인 유도 라이너와 함께 적어도 하나의 게이트 영역 부근에 일련의 스트레인 유도 웰을 형성함으로써 유도될 수 있다.Another aspect of the invention is a method of increasing biaxial strain in a semiconductor layer. Biaxial strain in a semiconductor layer can be increased in compression or tension by forming isolation regions around active device regions with intrinsic compression or tensile dielectric filler. According to the method of the present invention, uniaxial strain can be induced by forming a series of strain guide wells in the vicinity of at least one gate region instead of or in conjunction with the strain guide liner.

본 발명은 완화 기판 상에 형성된 반도체 장치의 개선된 캐리어 이동도를 또한 제공하는데, 트랜지스터의 장치 채널에 평행한 단일축 스트레인은 트랜지스터 위에 위치된 스트레인 유도 라이너와 장치 채널 부근에 위치된 스트레인 유도 웰의 조합에 의해 제공된다. 넓게 보아서, 본 발명의 반도체 구조물은:The present invention also provides for improved carrier mobility of semiconductor devices formed on a relaxed substrate, wherein a single-axis strain parallel to the device channel of the transistor is provided with a strain induction liner located above the transistor and a strain induction well located near the device channel. Provided by a combination. Broadly speaking, the semiconductor structure of the present invention is:

완화 기판과;A relaxation substrate;

상기 완화 기판의 장치 채널 부분 위에 게이트 전도체를 포함한 적어도 하나의 게이트 영역-상기 장치 채널 부분은 상기 적어도 하나의 전도체 부근에서 소스와 드레인 영역을 분리하는 것임-과;At least one gate region comprising a gate conductor over a device channel portion of the relaxed substrate, the device channel portion separating a source and drain region in the vicinity of the at least one conductor;

상기 적어도 하나의 게이트 영역 부근의 스트레인 유도 웰과;A strain induction well near said at least one gate region;

상기 적어도 하나의 게이트 영역 위에 위치된 스트레인 유도 라이너-상기 스트레인 유도 라이너 및 상기 스트레인 유도 웰은 상기 적어도 하나의 게이트 영역 아래에 있는 상기 기판의 상기 완화 부분의 상기 장치 채널 부분에 단일축 스트레인을 생성하는 것임-를 포함한다.A strain induced liner positioned above the at least one gate region—the strain induced liner and the strain induced well create a uniaxial strain in the device channel portion of the relief portion of the substrate that is below the at least one gate region. To be included.

본 발명의 또다른 태양은 2축 스트레인드 반도체 표면 및/또는 완화 반도체 표면을 가진 기판 상에 형성될 수 있는 nFET 및 pFET 장치를 포함한 상보형 금속 산화물 반도체(CMOS) 구조이다. 넓게 보아서, 완화된 및 2축으로 스트레인된 반도체 표면을 둘 다 가진 기판 상에서 형성되는 CMOS 구조를 제공하는 하나의 방법은 제1 장치 영역 및 제2 장치 영역을 가진 기판을 제공하는 단계와, 상기 제1 장치 영역 및 상기 제2 장치 영역에서 상기 기판의 장치 채널 부분 위에 적어도 하나의 반도체 장치를 생성하는 단계와; 상기 제1 장치 영역 및 상기 제2 장치 영역에서 단일축 스트레인을 생성하는 단계-상기 단일축 스트레인은 상기 제1 장치 영역 및 상기 제2 장치 영역의 상기 장치 채널에 평행한 방향에 있는 것임-를 포함한다. 제1 장치 영역은 2축 스트레인드 반도체 표면을 포함할 수 있고 제2 장치 영역은 완화 반도체 표면을 포함할 수 있다.Another aspect of the invention is a complementary metal oxide semiconductor (CMOS) structure including nFETs and pFET devices that can be formed on a substrate having a biaxial strained semiconductor surface and / or a relaxed semiconductor surface. Broadly seen, one method of providing a CMOS structure formed on a substrate having both a relaxed and biaxially strained semiconductor surface comprises providing a substrate having a first device region and a second device region; Creating at least one semiconductor device over a device channel portion of the substrate in the first device region and the second device region; Generating a single axis strain in the first device region and the second device region, wherein the single axis strain is in a direction parallel to the device channels of the first device region and the second device region. do. The first device region may comprise a biaxial strained semiconductor surface and the second device region may comprise a relaxed semiconductor surface.

본 발명에 따르면, 제1 장치 영역 및 제2 장치 영역에서 단일축 스트레인을 생성하는 단계는 제1 장치 영역 및 제2 장치 영역을 처리하여 스트레인 유도 구조물의 조합을 제공하는 단계를 더 포함한다. 제1 장치 영역은 적어도 하나의 반도체 장치 위의 2축 스트레인드 반도체 표면과 스트레인 유도 라이너, 적어도 하나의 반도체 장치 부근의 2축 스트레인드 반도체 표면과 스트레인 유도 웰, 또는 이들의 조합을 포함할 수 있다. 제2 장치 영역은 완화 기판, 적어도 하나의 반도체 장치 위의 스트레인 유도 라이너 및 적어도 하나의 반도체 장치 부근의 스트레인 유도 웰을 포함할 수 있다.According to the present invention, the step of generating uniaxial strain in the first device region and the second device region further comprises processing the first device region and the second device region to provide a combination of strain guide structures. The first device region may include a biaxial strained semiconductor surface and strain induced liner over at least one semiconductor device, a biaxial strained semiconductor surface and strain induced wells near the at least one semiconductor device, or a combination thereof. . The second device region may include a relaxation substrate, a strain guide liner over the at least one semiconductor device, and a strain guide well near the at least one semiconductor device.

도 1은 장치 채널에 평행한 방향으로 있는 단일축 인장 스트레인을 가진 nFET 장치 채널을 포함한 본 발명의 반도체 장치의 일 실시예를 단면도로 나타낸 도이다.1 is a cross-sectional view of one embodiment of a semiconductor device of the present invention including an nFET device channel having a uniaxial tensile strain in a direction parallel to the device channel.

도 2는 장치 채널에 평행한 방향으로 있는 단일축 압축 스트레인을 SiGe 층 위에 가진 pFET 장치 채널을 포함한 본 발명의 반도체 장치의 다른 실시예를 단면도로 나타낸 도이다.FIG. 2 is a cross-sectional view of another embodiment of a semiconductor device of the present invention including a pFET device channel having a single axial compression strain over a SiGe layer in a direction parallel to the device channel.

도 3은 장치 채널에 평행한 방향으로 있는 단일축 압축 스트레인을 Si:C 층 위에 가진 pFET 장치 채널을 포함한 본 발명의 반도체 장치의 다른 실시예를 단면도로 나타낸 도이다.3 is a cross-sectional view of another embodiment of a semiconductor device of the present invention including a pFET device channel having a single axial compression strain over a Si: C layer in a direction parallel to the device channel.

도 4는 도 1의 nFET 장치 및 도 2의 pFET 장치를 포함한 본 발명의 CMOS 구조의 일 실시예를 단면도로 나타낸 도이다.4 is a cross-sectional view of one embodiment of a CMOS structure of the present invention including the nFET device of FIG. 1 and the pFET device of FIG.

도 5는 도 1의 nFET 장치 및 도 3의 pFET 장치를 포함한 본 발명의 CMOS 구조의 일 실시예를 단면도로 나타낸 도이다.5 is a cross-sectional view of one embodiment of a CMOS structure of the present invention including the nFET device of FIG. 1 and the pFET device of FIG.

도 6은 완화 반도체 기판 위에 형성된 단일축 압축 스트레인을 가진 nFET 장치 채널을 포함한 본 발명의 반도체 장치의 다른 실시예를 단면도로 나타낸 도이다.6 is a cross-sectional view of another embodiment of a semiconductor device of the present invention including an nFET device channel having a single-axis compression strain formed over a relaxed semiconductor substrate.

도 7은 완화 반도체 기판 위에 형성된 단일축 인장 스트레인을 가진 pFET 장치 채널을 포함한 본 발명의 반도체 장치의 다른 실시예를 단면도로 나타낸 도이다.FIG. 7 is a cross-sectional view of another embodiment of a semiconductor device of the present invention including a pFET device channel having a uniaxial tensile strain formed over a relaxed semiconductor substrate. FIG.

도 8은 완화 기판 영역 및 2축 스트레인드 반도체 영역을 포함한 본 발명의 CMOS 구조의 일 실시예를 단면도로 나타낸 도이다.8 is a cross-sectional view of one embodiment of a CMOS structure of the present invention including a relaxed substrate region and a biaxial strained semiconductor region.

도 9a 내지 도 9c는 압축 및 인장 상태로 장치 채널에 평행한 단일축 스트레인과 격자 치수 간의 관계를 보인 도이다.9A-9C show the relationship between lattice dimensions and uniaxial strain parallel to the device channels in compression and tension.

도 10은 인장 스트레인 유도 및 압축 스트레인 유도 유전체 층(인장 스트레 인 유도 및 압축 스트레인 유도 라이너)을 가진 nFET 장치의 Ioff 대 Ion의 관계를 보인 도이다.FIG. 10 shows the relationship of I off to I on of an nFET device having tensile strain induced and compressive strain induced dielectric layers (tensile strain induced and compressive strain induced liners).

도 11은 인장 스트레인 유도 및 압축 스트레인 유도 유전체 층(인장 스트레인 유도 및 압축 스트레인 유도 라이너)을 가진 pFET 장치의 Ioff 대 Ion의 관계를 보인 도이다.FIG. 11 shows the relationship of I off to I on of a pFET device with tensile strain induced and compressive strain induced dielectric layers (tensile strain induced and compressive strain induced liners).

본 발명은 각 장치 유형의 장치 채널에서 유닛 격자의 대칭이 3개의 방향으로 분리될 수 있는 pFET 및 nFET 장치를 포함한 CMOS 구조를 제공하고, 여기에서 각 방향의 격자 치수(상수)는 적어도 0.05% 만큼 다르다. 장치 채널의 격자 방향은 채널 평면에 평행한 방향(x 방향), 채널 평면에 수직한 방향(y 방향) 및 채널 평면 외측 방향(z 방향)을 포함한다.The present invention provides a CMOS structure comprising pFET and nFET devices in which the symmetry of the unit grating in the device channel of each device type can be separated in three directions, where the grating dimension (constant) in each direction is at least 0.05%. different. The lattice direction of the device channel includes a direction parallel to the channel plane (x direction), a direction perpendicular to the channel plane (y direction), and a direction outside the channel plane (z direction).

본 발명은 nFET 장치 채널에 평행한 격자 상수가 nFET 장치 채널에 수직한 격자 상수보다 더 크고 격자 상수 차이가 장치 채널에 평행한 인장 단일축 스트레인에 의해 유도되는 스트레인드 실리콘 nFET를 또한 제공한다. 본 발명은 pFET 장치 채널에 수직한 격자 상수가 pFET 장치 채널에 평행한 격자 상수보다 더 크고 격자 상수 차이가 장치 채널에 평행한 압축 단일축 스트레인에 의해 유도되는 스트레인드 실리콘 pFET를 또한 제공한다. 본 발명은 스트레인 유도 라이너 및 스트레인 유도 웰의 조합이 pFET 및/또는 nFET 장치의 장치 채널 부분에 평행한 단일축 스트레인을 생성하는 완화 기판(relaxed substrate) 표면 상의 pFET 및/또는 nFET 장치 를 또한 제공한다.The present invention also provides a strained silicon nFET in which the lattice constant parallel to the nFET device channel is greater than the lattice constant perpendicular to the nFET device channel and the lattice constant difference is induced by tensile single-axis strain parallel to the device channel. The present invention also provides a strained silicon pFET in which the lattice constant perpendicular to the pFET device channel is greater than the lattice constant parallel to the pFET device channel and the lattice constant difference is induced by compressive uniaxial strain parallel to the device channel. The present invention also provides a pFET and / or nFET device on a relaxed substrate surface where the combination of strain induced liner and strain induced well produces a uniaxial strain parallel to the device channel portion of the pFET and / or nFET device. .

이제, 본 발명을 이 명세서에 부수되는 첨부 도면을 참조하여 상세히 설명한다. 첨부 도면에 있어서, 동일하거나 대응하는 요소들은 동일한 참조 부호로 표시된다. 도면에는 단일 게이트 영역이 도시되어 있고 이에 대해서 설명하겠다. 이 설명에도 불구하고, 본 발명은 단일 게이트 영역을 가진 구조로 제한되는 것이 아니다. 그 보다도 이러한 게이트 영역이 복수 개 있는 구조가 예상된다.The invention will now be described in detail with reference to the accompanying drawings, which accompany this specification. In the accompanying drawings, the same or corresponding elements are denoted by the same reference numerals. A single gate region is shown in the figure and will be described. Notwithstanding this description, the present invention is not limited to the structure having a single gate region. Rather, a structure having a plurality of such gate regions is expected.

도 1을 참조하면, 본 발명의 일 실시예에서, 적층 구조물(10)의 장치 채널(12)에 단일축 인장 스트레인을 가지며 이 단일축 인장 스트레인이 장치 채널(12)의 길이에 평행한 방향에 있는 n형 전계 효과 트랜지스터(nFET)(20)가 제공된다. 장치 채널(12)의 길이는 장치의 소스 및 드레인 영역(13, 14)의 확장부(17)를 분리한다. nFET(20)의 장치 채널(12) 내의 단일축 인장 스트레인은 2축 인장 스트레인드 반도체 층(15)과 인장 스트레인 유도 라이너(25)의 조합에 의해 생성된다. 게이트 영역(5)은 게이트 유전체(2) 위에 게이트 전도체(3)를 포함한다.Referring to FIG. 1, in one embodiment of the present invention, there is a uniaxial tensile strain in the device channel 12 of the laminate structure 10 and the uniaxial tensile strain is in a direction parallel to the length of the device channel 12. An n-type field effect transistor (nFET) 20 is provided. The length of the device channel 12 separates the extension 17 of the source and drain regions 13, 14 of the device. Uniaxial tensile strain in device channel 12 of nFET 20 is created by the combination of biaxial tensile strain semiconductor layer 15 and tensile strain inducing liner 25. Gate region 5 includes gate conductor 3 over gate dielectric 2.

2축 인장 스트레인드 반도체 층(15)은 SiGe 스트레인 유도층(17) 위에서 실리콘을 에피택셜적으로 성장시킴으로써 형성된다. 2축 인장 스트레인은 격자 상수가 실리콘의 격자 상수보다 더 큰 물질로 형성된 표면 위에 성장된 에피택셜 실리콘에서 유도된다. 게르마늄의 격자 상수는 실리콘의 격자 상수보다 약 4.2% 더 크고, SiGe 합금의 격자 상수는 그 게르마늄 농도와 관련하여 선형이다. 그 결과, 50 원자%의 게르마늄을 함유한 SiGe 합금의 격자 상수는 실리콘의 격자 상수보다 약 2.1배 더 크다. 이러한 SiGe 스트레인 유도층(17) 상에서 Si를 에피택셜 성장시키 면 2축 인장 스트레인 하의 Si 층을 생성하고, 하부의 SiGe 스트레인 유도층(17)은 본질적으로 완전히 또는 부분적으로 언스트레인(unstrain) 즉 완화(relax)된다.Biaxial tensile strained semiconductor layer 15 is formed by epitaxially growing silicon on SiGe strain inducing layer 17. Biaxial tensile strain is derived from epitaxial silicon grown on a surface formed of a material whose lattice constant is greater than the lattice constant of silicon. The lattice constant of germanium is about 4.2% larger than the lattice constant of silicon, and the lattice constant of the SiGe alloy is linear with respect to its germanium concentration. As a result, the lattice constant of SiGe alloy containing 50 atomic% germanium is about 2.1 times larger than the lattice constant of silicon. Epitaxial growth of Si on this SiGe strain inducing layer 17 creates a Si layer under biaxial tensile strain, and the underlying SiGe strain inducing layer 17 is essentially completely or partially unstrained or relaxed (relax)

용어 "2축 인장"은 인장 스트레인이 nFET 장치 채널(12)에 평행한 제1 방향 및 nFET 장치 채널(12)에 수직한 제2 방향으로 생성되는 것을 의미하며, 여기에서 제1 방향의 스트레인의 크기는 제2 방향의 스트레인의 크기와 동일하다.The term "biaxial tension" means that the tensile strain is produced in a first direction parallel to the nFET device channel 12 and in a second direction perpendicular to the nFET device channel 12, wherein the strain in the first direction The magnitude is equal to the magnitude of the strain in the second direction.

인장 스트레인 유도 라이너(25)는 바람직하게 Si3N4를 포함하고 게이트 영역(5) 위 및 이 게이트 영역(5) 부근의 2축 인장 스트레인드 반도체 층(15)의 노출 표면 위에 위치된다. 인장 스트레인 유도 라이너(25)는 2축 인장 스트레인드 반도체 층(15)과 함께 장치 채널(12) 상에서 약 100 MPa 내지 약 3000 MPa의 범위를 갖는 단일축 인장 스트레인을 생성하고, 여기에서 장치 채널(12) 상의 단일축 스트레인의 방향은 장치 채널(12)의 길이에 평행하다.The tensile strain inducing liner 25 preferably comprises Si 3 N 4 and is located above the gate region 5 and above the exposed surface of the biaxial tensile strain semiconductor layer 15 near the gate region 5. Tensile strain induction liner 25, together with biaxial tensile strained semiconductor layer 15, produces a single axial tensile strain on device channel 12 in the range of about 100 MPa to about 3000 MPa, where the device channel ( The direction of the uniaxial strain on 12 is parallel to the length of the device channel 12.

인장 스트레인 유도 라이너(25)가 형성되기 전에 장치 채널(12)은 2축 인장 스트레인 상태에 있고, 장치 채널(12)에 수직한 방향으로 생성된 스트레인의 크기는 장치 채널(12)에 평행한 방향으로 생성된 스트레인의 크기와 같다. 인장 스트레인 유도 라이너(25)의 적용은 장치 채널(12)에 평행한 방향(x 방향)으로 단일축 스트레인을 생성하고, 이 때 장치 채널(12)에 평행한 인장 스트레인의 크기는 장치 채널(12)에 수직한 인장 스트레인의 크기보다 더 크다. 또한, 장치 채널(12)을 따르는 nFET 장치(20) 내의 격자 상수는 장치 채널(12)을 가로지르는 격자 상수보다 더 크다.The device channel 12 is in a biaxial tensile strain state before the tensile strain induction liner 25 is formed, and the magnitude of the strain produced in the direction perpendicular to the device channel 12 is in a direction parallel to the device channel 12. It is equal to the size of strain generated by. Application of the tensile strain induction liner 25 produces a uniaxial strain in a direction parallel to the device channel 12 (x direction), wherein the magnitude of the tensile strain parallel to the device channel 12 is determined by the device channel 12. Larger than the tensile strain perpendicular to). Also, the lattice constant in nFET device 20 along device channel 12 is greater than the lattice constant across device channel 12.

다시 도 1을 참조하면, 본 발명의 다른 실시예에서, 인장 스트레인 유도 웰(30)은 각각의 소스 및 드레인 영역(13, 14)에서 장치 채널(12)에 인접하게 위치된다. 인장 스트레인 유도 웰(30)은 탄소가 도핑된 실리콘(Si:C) 또는 탄소가 도핑된 실리콘 게르마늄(SiGe:C)을 포함한다. 진성 인장 Si:C를 포함한 인장 스트레인 유도 웰(30)은 2축 인장 스트레인드 반도체 층(15)의 오목부 위에서 에피택셜적으로 성장될 수 있다. 용어 "진성 인장 Si:C 층"은 Si:C 층이 내부 인장 스트레인 하에 있는 것을 의미하며, 여기에서 인장 스트레인은 Si:C의 작은 격자 치수와 Si:C가 에피택셜적으로 성장되는 층의 큰 격자 치수 간의 격자 미스매치(mismatch)에 의해 발생한다. 인장 스트레인 유도 웰(30)은 장치 채널(12) 내에서 nFET 장치 채널(12)에 평행한 방향으로 단일축 인장 스트레인을 생성한다.Referring again to FIG. 1, in another embodiment of the present invention, tensile strain induction well 30 is positioned adjacent device channel 12 in each source and drain region 13, 14. Tensile strain induction well 30 includes carbon doped silicon (Si: C) or carbon doped silicon germanium (SiGe: C). Tensile strain inducing wells 30 including intrinsic tensile Si: C may be grown epitaxially over the recesses of the biaxial tensile strained semiconductor layer 15. The term "intrinsic tensile Si: C layer" means that the Si: C layer is under an internal tensile strain, where the tensile strain is a small lattice dimension of Si: C and a large layer of epitaxially grown Si: C layer. Caused by lattice mismatch between lattice dimensions. Tensile strain induction well 30 creates a monoaxial tensile strain in a direction parallel to nFET device channel 12 within device channel 12.

일 실시예에서, 인장 스트레인 유도 웰(30)은 인장 스트레인 유도 라이너(25)가 제공될 경우 생략할 수 있다. 본 발명의 다른 실시예에서, 인장 스트레인 유도 라이너(25)는 인장 스트레인 유도 웰(30)이 제공될 경우 생략할 수 있다. 또다른 실시예에서, 인장 스트레인 유도 웰(30)과 인장 스트레인 유도 라이너(25)를 둘 다 사용할 수 있다. 본 발명의 nFET(20)를 형성하는 방법에 대하여 이하 상세히 설명한다.In one embodiment, tensile strain induction well 30 may be omitted if tensile strain induction liner 25 is provided. In another embodiment of the present invention, tensile strain induction liner 25 may be omitted if tensile strain induction well 30 is provided. In another embodiment, both tensile strain guide well 30 and tensile strain guide liner 25 can be used. The method of forming the nFET 20 of the present invention will be described in detail below.

제1 처리 단계에서, 2축 인장 스트레인드 반도체 층(15)을 포함한 적층 구조물(10)이 제공된다. 적층 구조물(10)은 SiGe 상의 인장 스트레인드 Si, 절연체 상 SiGe(SiGe-on-insulator) 위의 스트레인드 Si(SSGOI) 또는 절연체 직상의 인장 스트레인드 Si(SSDOI)를 포함할 수 있다. 양호한 실시예에서, 적층 구조물(10)은 SiGe 스트레인 유도층(17) 위에 실리콘 함유 2축 인장 스트레인드 반도체 층(15)을 가진 인장 SSGOI를 포함한다.In a first processing step, a laminate structure 10 is provided that includes a biaxial tensile strained semiconductor layer 15. The laminate structure 10 may include tensile strained Si on SiGe, strained Si (SSGOI) on SiGe-on-insulator (SiGe) on insulator, or tensile strained Si (SSDOI) directly on insulator. In a preferred embodiment, the laminate structure 10 includes a tensile SSGOI with a silicon containing biaxial tensile strained semiconductor layer 15 over the SiGe strain inducing layer 17.

제1 처리 단계에서, SiGe 스트레인 유도층(17)은 Si 함유 기판(9) 위에 형성된다. 용어 "Si 함유 층"은 여기에서 실리콘을 포함한 물질을 나타내기 위해 사용된다. Si 함유 물질의 예로는, 비제한적인 예로서, Si, SiGe, SiGeC, SiC, 폴리실리콘(polySi), 에피택셜 실리콘(epi-Si), 비정질 실리콘(a:Si), SOI 및 이들의 다중층 등이 있다. 선택사양인 절연층은 SiGe 스트레인 유도층(17)과 Si 함유 기판(9) 사이에 위치될 수 있다.In a first processing step, a SiGe strain inducing layer 17 is formed over the Si containing substrate 9. The term "Si containing layer" is used herein to refer to a material comprising silicon. Examples of Si-containing materials include, but are not limited to, Si, SiGe, SiGeC, SiC, polysilicon (polySi), epitaxial silicon (epi-Si), amorphous silicon (a: Si), SOI and multilayers thereof Etc. An optional insulating layer may be located between the SiGe strain inducing layer 17 and the Si containing substrate 9.

SiGe 스트레인 유도층(17)은 에피택셜 성장 처리를 이용해서 또는 화학 기상 증착(CVD)과 같은 증착 처리에 의해 Si 함유 기판(10) 전체 위에 형성된다. SiGe 스트레인 유도층(17)의 Ge 성분은 전형적으로 원자 중량%로 5% 내지 50%의 범위에 있고, 더욱 전형적으로는 10% 내지 20%의 범위에 있다. 전형적으로, SiGe 스트레인 유도층(17)은 약 10 nm 내지 약 100 nm 범위의 두께로 성장될 수 있다.SiGe strain induced layer 17 is formed over the entire Si-containing substrate 10 using an epitaxial growth process or by a deposition process such as chemical vapor deposition (CVD). The Ge component of the SiGe strain inducing layer 17 is typically in the range of 5% to 50% by weight of atoms, more typically in the range of 10% to 20%. Typically, the SiGe strain inducing layer 17 may be grown to a thickness in the range of about 10 nm to about 100 nm.

2축 인장 스트레인드 반도체 층(15)이 그 다음에 SiGe 층(17) 위에 형성된다. 2축 인장 스트레인드 반도체 층(15)은 격자 치수가 하부 SiGe 층(17)의 격자 치수보다 더 작은 에피택셜 성장된 Si 함유 물질을 포함한다. 2축 인장 스트레인드 반도체 층(15)은 그 임계 두께 미만의 두께로 성장될 수 있다. 전형적으로, 2축 인장 스트레인드 반도체 층(15)은 약 10 nm 내지 약 100 nm 범위의 두께로 성장될 수 있다.A biaxial tensile strained semiconductor layer 15 is then formed over the SiGe layer 17. Biaxial tensile strained semiconductor layer 15 comprises an epitaxially grown Si containing material having a lattice dimension smaller than the lattice dimension of lower SiGe layer 17. The biaxial tensile strained semiconductor layer 15 can be grown to a thickness below its critical thickness. Typically, biaxial tensile strained semiconductor layer 15 may be grown to a thickness in the range of about 10 nm to about 100 nm.

대안적으로, 2축 인장 스트레인드 반도체 층(15)은 절연층 직상에 형성되어 절연체 직상 스트레인드 실리콘(SSDOI) 기판을 제공할 수 있다. 이 실시예에서, 에피택셜 Si를 포함한 2축 인장 스트레인드 반도체 층(15)은 SiGe 표면을 가진 웨이퍼 상에서 성장된다. 그 다음에, 2축 인장 스트레인드 반도체 층(15)은 열 접합과 같은 접합 방법을 이용하여 지지 기판의 유전체 층에 접합된다. 접합 후에, 스트레인드 Si 층 위에서 SiGe 표면 및 SiGe 층을 가진 웨이퍼는 스마트 컷 및 에칭을 포함한 처리를 이용하여 제거되어 유전체 층에 직접 접합된 2축 인장 스트레인드 반도체 층(26)을 제공한다. 적어도 2축 인장 스트레인드 반도체 층(15)을 가진 절연체 기판(105) 직상에 스트레인드 Si를 형성하는 것에 관한 더 상세한 설명은 '절연체 구조물 상의 스트레인드 Si'(Strained Si on Insulator Structures)라는 명칭의 미국 특허 제6,603,156호에 개시되어 있다.Alternatively, the biaxial tensile strained semiconductor layer 15 can be formed directly on the insulating layer to provide an insulator directly strained silicon (SSDOI) substrate. In this embodiment, biaxial tensile strained semiconductor layer 15 including epitaxial Si is grown on a wafer with a SiGe surface. The biaxial tensile strained semiconductor layer 15 is then bonded to the dielectric layer of the support substrate using a bonding method such as thermal bonding. After bonding, the wafer with the SiGe surface and the SiGe layer over the strained Si layer is removed using a process including smart cut and etching to provide a biaxial tensile strained semiconductor layer 26 bonded directly to the dielectric layer. A more detailed description of the formation of strained Si directly on insulator substrate 105 with at least biaxial tensile strained semiconductor layer 15 is entitled 'Strained Si on Insulator Structures'. US Pat. No. 6,603,156.

2축 인장 스트레인드 반도체 층(15)을 가진 적층 구조물(10)의 형성 후에, 비제한적인 예로서 종래의 게이트 산화 프리클린(pre-clean) 및 게이트 유전체(2) 형성; 게이트 전극(3) 형성 및 패터닝; 게이트 재산화; 소스 및 드레인 확장부(7) 형성; 증착 및 에칭에 의한 측벽 스페이서(4) 형성; 및 소스와 드레인(13, 14) 형성을 포함하는 종래의 MOSFET 처리 단계를 이용하여 형성된다.After the formation of the stacked structure 10 with the biaxial tensile strained semiconductor layer 15, non-limiting examples include the formation of conventional gate pre-clean oxide and gate dielectrics 2; Gate electrode 3 formation and patterning; Gate reification; Source and drain extensions 7 formed; Formation of sidewall spacers 4 by deposition and etching; And conventional MOSFET processing steps, including source and drain (13, 14) formation.

다음 처리 단계에서, 인장 스트레인 유도 라이너(25)가 적어도 게이트 영역(5) 위 및 이 게이트 영역(5) 부근의 2축 인장 스트레인드 반도체 층(15)의 노출 표면 위에 증착된다. 인장 스트레인 유도 라이너(25)는 2축 인장 스트레인드 반도체 층(15)과 함께 장치 채널(12)과 평행한 방향을 가진 nFET 장치의 장치 채널(12) 내에서 단일축 인장 스트레인을 생성한다. 인장 스트레인 유도 라이너(25)는 질화 물, 산화물, 붕소 인산염 실리케이트 유리와 같은 도핑된 산화물, Al2O3, HfO2, ZrO2, HfSiO, 반도체 처리에서 일반적인 기타의 유전체 물질 또는 이들의 임의의 조합을 포함할 수 있다. 인장 스트레인 유도 라이너(25)는 약 10 nm 내지 약 500 nm의 범위, 바람직하게는 약 50 nm의 두께를 가질 수 있다. 인장 스트레인 유도 라이너(25)는 플라즈마 엔헌스드 화학 기상 증착(PECVD) 또는 급속 열화학 기상 증착(RTCVD)에 의해 증착될 수 있다.In the next processing step, a tensile strain induction liner 25 is deposited over at least the gate region 5 and the exposed surface of the biaxial tensile strain semiconductor layer 15 near the gate region 5. Tensile strain induction liner 25 creates a uniaxial tensile strain in device channel 12 of an nFET device having a direction parallel to device channel 12 with biaxial tensile strained semiconductor layer 15. Tensile strain induced liner 25 may be nitride, oxide, doped oxide, such as boron phosphate silicate glass, Al 2 O 3 , HfO 2 , ZrO 2 , HfSiO, other dielectric materials common in semiconductor processing, or any combination thereof. It may include. Tensile strain induction liner 25 may have a thickness in the range of about 10 nm to about 500 nm, preferably about 50 nm. Tensile strain induced liner 25 may be deposited by plasma enhanced chemical vapor deposition (PECVD) or rapid thermal chemical vapor deposition (RTCVD).

바람직하게, 인장 스트레인 유도 라이너(25)는 Si3N4와 같은 질화물을 포함하고, 증착 처리의 처리 조건은 증착된 층 내에서 진성 인장 스트레인을 제공하도록 선택된다. 예를 들어서, 플라즈마 엔헌스드 화학 기상 증착(PECVD)은 진성 인장 스트레인을 가진 질화물 응력 유도 라이너를 제공할 수 있다. PECVD에 의해 증착된 질화물 응력 유도 라이너의 응력 상태는 증착실에서 반응 속도를 변경하도록 증착 조건을 변경함으로써 조절될 수 있다. 더 구체적으로, 증착된 질화물 스트레인 유도 라이너의 응력 상태는 SiH4/N2/He 가스 유량, 압력, RF 전력 및 전극 간극과 같은 증착 조건을 변경함으로써 설정될 수 있다.Preferably, the tensile strain induction liner 25 comprises a nitride such as Si 3 N 4, and the processing conditions of the deposition process are selected to provide intrinsic tensile strain in the deposited layer. For example, plasma enhanced chemical vapor deposition (PECVD) can provide nitride stress inducing liners with intrinsic tensile strain. The stress state of the nitride stress inducing liner deposited by PECVD can be controlled by changing the deposition conditions to change the reaction rate in the deposition chamber. More specifically, the stress state of the deposited nitride strain induction liner can be set by changing deposition conditions such as SiH 4 / N 2 / He gas flow rate, pressure, RF power, and electrode gap.

다른 예에서, 급속 열화학 기상 증착(RTCVD)은 내부 인장 스트레인을 가진 질화물 인장 스트레인 유도 라이너(25)를 제공할 수 있다. RTCVD에 의해 증착된 질화물 인장 스트레인 유도 라이너(25) 내에서 생성된 내부 인장 스트레인의 크기는 증착 조건을 변경함으로써 조절될 수 있다. 더 구체적으로, 질화물 스트레인 유도 라이너(25) 내에서 인장 스트레인의 크기는 전구체(precursor) 조성물, 전구체 유 량 및 온도와 같은 증착 조건을 변경함으로써 설정될 수 있다.In another example, rapid thermal chemical vapor deposition (RTCVD) can provide a nitride tensile strain inducing liner 25 with an internal tensile strain. The size of the internal tensile strain produced in the nitride tensile strain induced liner 25 deposited by RTCVD can be adjusted by changing the deposition conditions. More specifically, the size of the tensile strain in the nitride strain induction liner 25 may be set by changing deposition conditions such as precursor composition, precursor flow rate and temperature.

본 발명의 다른 실시예에서, 인장 스트레인 유도 웰(30)은 nFET 장치(20)를 형성한 후 인장 스트레인 유도 라이너(25)의 증착 전에 형성될 수 있다. 제1 처리 단계에서, 소스와 드레인 영역(13, 14)이 위치되는 2축 인장 스트레인드 반도체 층(15)의 부분 내에 오목부(recess)가 형성된다. 오목부는 사진석판술 및 에칭 기술로 형성될 수 있다. 구체적으로 말하면, 패터닝된 포토레지스트를 포함하는 것이 바람직한 에칭 마스크가 게이트 영역 부근의 2축 인장 스트레인드 반도체 층(15) 부분을 제외하고 전체 구조물의 표면 위에 형성된다. 그 다음에 방향성(이방성) 에칭에 의해 소스 및 드레인 영역(13, 14) 위에 있는 2축 인장 스트레인드 반도체 층(15)의 표면을 게이트 영역(15)이 위치되는 표면으로부터 약 10 nm 내지 약 300 nm의 깊이로 오목하게 만든다.In another embodiment of the present invention, the tensile strain induction well 30 may be formed after the nFET device 20 is formed and before the deposition of the tensile strain induction liner 25. In the first processing step, recesses are formed in the portion of the biaxial tensile strained semiconductor layer 15 where the source and drain regions 13, 14 are located. The recess can be formed by photolithography and etching techniques. Specifically, an etching mask, which preferably includes a patterned photoresist, is formed over the surface of the entire structure except for the portion of the biaxial tensile strained semiconductor layer 15 near the gate region. The surface of the biaxial tensile strained semiconductor layer 15 over the source and drain regions 13, 14 is then oriented by an directional (isotropic) etching from about 10 nm to about 300 from the surface where the gate region 15 is located. Make it concave to the depth of nm.

양호한 실시예에서, 인장 스트레인 유도 웰(30)은 게이트 영역(5) 내의 게이트 전극(3)에 인접한 측벽 스페이서(4)의 하부로 침식한다. 인장 스트레인 유도 웰(30)을 장치 채널(12)에 더 가깝게 위치시킴으로써, 장치 채널(12)을 따라 생성된 스트레인이 증가한다. 인장 스트레인 유도 웰(30)은 제1 방향성(이방성) 에칭 다음에 무지향성(등방성) 에칭을 행하는 에칭 처리에 의해 장치 채널(12)에 더 가깝게 위치될 수 있으며, 무지향성 에칭은 측벽 스페이서(4)를 언더커트하여 장치 채널(12)을 침식하는 오목부를 제공한다.In the preferred embodiment, the tensile strain inducing well 30 erodes below the sidewall spacer 4 adjacent the gate electrode 3 in the gate region 5. By placing the tensile strain induction well 30 closer to the device channel 12, the strain generated along the device channel 12 increases. Tensile strain induced wells 30 may be located closer to the device channel 12 by an etching process that performs a first directional (isotropic) etch followed by a non-directional (isotropic) etch, wherein the non-directional etch is a sidewall spacer 4. ) Undercuts to provide recesses that erode the device channel 12.

다음 처리 단계에서, 탄소가 도핑된 실리콘(Si:C)이 소스 및 드레인 영역(13, 14) 위에 있는 2축 인장 스트레인드 반도체 층(15)의 오목한 표면 상에서 에피택셜적으로 성장되어 인장 스트레인 유도 웰(30)을 형성한다. 에피택셜적으로 성장된 Si:C는 내부 인장 스트레인(진성 인장 스트레인이라고도 함) 하에 있고, 여기에서 인장 스트레인은 에피택셜적으로 성장된 Si:C의 작은 격자 치수와 Si:C가 에피택셜적으로 성장되는 2축 인장 스트레인드 반도체 층(15)의 오목한 표면의 큰 격자 치수 간의 격자 미스매치에 의해 생성된다. 인장 스트레인 유도 웰(30)은 장치 채널(12)과 평행한 방향을 가진 nFET 장치(20)의 장치 채널(12) 내에서 단일축 인장 스트레인을 생성한다. 비록 Si:C가 양호하기는 하지만, 장치 채널(12) 내에서 단일축 인장 스트레인을 생성하는 한, 예컨대 Si, 진성 인장 질화물 및 산화물과 같은 임의의 다른 진성 인장 물질을 사용하여도 좋다.In the next processing step, carbon doped silicon (Si: C) is epitaxially grown on the concave surface of the biaxial tensile strained semiconductor layer 15 over the source and drain regions 13, 14 to induce tensile strain. The well 30 is formed. Epitaxially grown Si: C is under internal tensile strain (also called intrinsic tensile strain), where the tensile strain is a small lattice dimension of epitaxially grown Si: C and Si: C is epitaxially It is created by lattice mismatch between the large lattice dimensions of the concave surface of the biaxial tensile strained semiconductor layer 15 that is grown. Tensile strain induction wells 30 create a uniaxial tensile strain in device channel 12 of nFET device 20 with a direction parallel to device channel 12. Although Si: C is good, any other intrinsic tensile material, such as Si, intrinsic tensile nitride, and oxide, may be used as long as it produces uniaxial tensile strain in device channel 12.

본 발명의 다른 실시예에서, 진성 인장 유전체 충전재를 포함한 인장 스트레인 유도 격리 영역(50)이 형성되며, 진성 인장 유전체 충전재는 2축 인장 스트레인드 반도체 층(15) 내에서 스트레인의 크기를 약 0.05 내지 약 1% 증가시킨다. 격리 영역(50)은 반응성 이온 에칭과 같은 방향성 에칭 처리에 의해 트렌치를 최초 에칭함으로써 형성된다. 트렌치를 형성한 후에, 트렌치는 화학적 기상 증착에 의해 증착된 질화물 또는 산화물과 같은 진성 인장 스트레인을 가진 유전체로 채워진다. 진성 인장 유전체 충전재를 생성하기 위한 증착 조건은 인장 스트레인드 유전체 라이너(25)를 형성하기 위한 전술한 증착 조건과 유사하다. 선택 사양으로서 평면 구조를 제공하기 위해 화학 기계 연마(CMP)와 같은 종래의 평탄화 처리가 사용될 수 있다.In another embodiment of the present invention, a tensile strain induced isolation region 50 including an intrinsic tensile dielectric filler is formed, wherein the intrinsic tensile dielectric filler has a strain size in the biaxial tensile strained semiconductor layer 15 from about 0.05 to Increase by about 1%. Isolation region 50 is formed by first etching the trench by a directional etching process such as reactive ion etching. After forming the trench, the trench is filled with a dielectric having an intrinsic tensile strain such as nitride or oxide deposited by chemical vapor deposition. The deposition conditions for producing the intrinsic tensile dielectric filler are similar to those described above for forming the tensile strained dielectric liner 25. As an option, conventional planarization treatments such as chemical mechanical polishing (CMP) can be used to provide planar structures.

도 2를 참조하면, 본 발명의 다른 실시예에서, 기판(10)의 장치 채널(12)에 단일축 압축 스트레인을 가진 p형 전계 효과 트랜지스터(pFET)가 제공되는데, 단일축 압축 스트레인은 장치 채널(12)의 길이에 평행한 방향을 갖는다. 이 실시예에서, 단일축 압축 스트레인은 2축 인장 스트레인드 반도체 층(15) 및 압축 스트레인 유도 라이너(55)의 조합에 의해 생성된다.2, in another embodiment of the present invention, a p-type field effect transistor (pFET) having a single-axis compression strain is provided in the device channel 12 of the substrate 10, wherein the single-axis compression strain is a device channel. It has a direction parallel to the length of (12). In this embodiment, the uniaxial compressive strain is produced by the combination of biaxial tensile strained semiconductor layer 15 and compressive strain induced liner 55.

2축 인장 스트레인드 반도체 층(15)은 도 1을 참조하여 위에서 설명한 2축 인장 스트레인드 반도체 층(15)과 유사하게 SiGe 스트레인 유도층(17) 위에 에피택셜적으로 성장된 Si이다. 2축 인장 스트레인드 반도체 층(15)은 SiGe 스트레인 유도층(17) 위에 성장된 에피택셜 실리콘을 포함할 수 있고, 여기에서, SiGe 스트레인드 유도층(17)의 Ge 농도는 5% 이상이다.Biaxial tensile strained semiconductor layer 15 is Si epitaxially grown on SiGe strain inducing layer 17 similar to the biaxial tensile strained semiconductor layer 15 described above with reference to FIG. 1. The biaxial tensile strain semiconductor layer 15 may comprise epitaxial silicon grown on the SiGe strain inducing layer 17, wherein the Ge concentration of the SiGe strain inducing layer 17 is at least 5%.

도 2를 다시 참조하면, 압축 스트레인 유도 라이너(55)는 바람직하게 Si3N4를 포함하고, 게이트 영역(5) 위 및 이 게이트 영역(5)에 인접한 2축 인장 스트레인드 반도체 층(15)의 노출 표면 위에 위치된다. 압축 스트레인 유도 라이너(55)는 2축 인장 스트레인드 반도체 층(15)과 함께 장치 채널(12) 상에서 약 100 MPa 내지 약 2000 MPa의 범위의 단일축 압축 스트레인을 생성하고, 이 때 단일축 스트레인의 방향은 장치 채널(12)의 길이에 평행하다.Referring again to FIG. 2, the compressive strain induction liner 55 preferably comprises Si 3 N 4 , a biaxial tensile strained semiconductor layer 15 over and adjacent to the gate region 5. Is positioned above the exposed surface of the. Compression strain induction liner 55, together with biaxial tensile strained semiconductor layer 15, produces a single axial compression strain in the range of about 100 MPa to about 2000 MPa on device channel 12, wherein The direction is parallel to the length of the device channel 12.

압축 스트레인 유도 라이너(55)가 형성되기 전에, 장치 채널(12)은 2축 인장 스트레인 상태에 있고, 여기에서, 장치 채널(12)에 수직한 방향으로 생성된 인장 스트레인의 크기는 장치 채널(12)에 평행한 방향으로 생성된 인장 스트레인의 크기와 같다. 압축 스트레인 유도 라이너(55)의 적용에 의해 단일축 압축 스트레인이 장치 채널(12)에 평행한 방향으로 생성된다. 그러므로, 장치 채널(12)을 가로지르는 pFET 장치(45) 내에서의 격자 상수는 장치 채널(12)을 따르는 격자 상수보다 더 크다.Before the compression strain induction liner 55 is formed, the device channel 12 is in a biaxial tensile strain, where the magnitude of the tensile strain produced in the direction perpendicular to the device channel 12 is determined by the device channel 12. Is the magnitude of the tensile strain produced in a direction parallel to Application of the compression strain induction liner 55 produces a single axial compression strain in a direction parallel to the device channel 12. Therefore, the lattice constant in pFET device 45 across device channel 12 is greater than the lattice constant along device channel 12.

다시 도 2를 참조하면, 본 발명의 다른 실시예에서, 압축 스트레인 유도 웰(60)은 각각의 소스 및 드레인 영역(13, 14)에서 장치 채널(12)에 인접하여 위치된다. 진성 압축 SiGe를 포함한 압축 스트레인 유도 웰(60)은 2축 인장 스트레인드 반도체 층(15)의 오목부 위에 에피택셜적으로 성장될 수 있다. 용어 "진성 압축 SiGe 층"은 SiGe 층이 진성 압축 스트레인(진성 압축 스트레인이라고도 함) 하에 있는 것을 의미하고, 여기에서, 압축 스트레인은 SiGe의 큰 격자 치수와 SiGe가 에피택셜 성장되는 층의 작은 격자 치수 간의 격자 미스매치에 의해 생성된다. 압축 스트레인 유도 웰(60)은 장치 채널(12) 내에서 단일축 압축 스트레인을 생성한다. 장치 채널(12) 내의 단일축 압축 스트레인은 압축 스트레인 유도 웰(60)을 장치 채널 부근에 위치시킴으로써 증가할 수 있다. 양호한 일 실시예에서, 압축 스트레인 유도 웰(60)은 게이트 영역(5)의 게이트 전극(3)에 인접한 측벽 스페이스(4) 아래로 침식한다.Referring again to FIG. 2, in another embodiment of the present invention, the compressive strain induction well 60 is located adjacent to the device channel 12 in each source and drain region 13, 14. Compression strain induction well 60 including intrinsic compression SiGe may be grown epitaxially over the recess of biaxial tensile strain semiconductor layer 15. The term “intrinsic compressive SiGe layer” means that the SiGe layer is under intrinsic compressive strain (also called intrinsic compressive strain), where the compressive strain is the large lattice dimension of SiGe and the small lattice dimension of the layer where SiGe is epitaxially grown. It is generated by the lattice mismatch of the liver. Compression strain induction well 60 produces uniaxial compression strain in device channel 12. Uniaxial compression strain in the device channel 12 can be increased by placing the compression strain induction well 60 near the device channel. In one preferred embodiment, the compressive strain induction well 60 erodes below the sidewall space 4 adjacent the gate electrode 3 of the gate region 5.

이제, 본 발명의 pFET(45)를 형성하는 방법에 대하여 설명한다. 제1 처리 단계에서, 2축 인장 스트레인드 반도체 층(15)을 가진 적층 구조물(10)이 제공된다. 일 실시예에서, 적층 구조물(10)은 SiGe 스트레인 유도층(17) 위에 2축 인장 스트레인드 반도체 층(15)을 포함하고, SiGe 스트레인 유도층(17)은 Si 함유 기판(9) 상에 형성된다. Si 함유 기판(9)과 SiGe 층(17)은 도 1을 참조하여 위에서 설명한 Si 함유 기판(9) 및 SiGe 층(17)과 유사하다.Now, a method of forming the pFET 45 of the present invention will be described. In a first processing step, a laminate structure 10 having a biaxial tensile strained semiconductor layer 15 is provided. In one embodiment, the laminate structure 10 includes a biaxial tensile strained semiconductor layer 15 over the SiGe strain inducing layer 17, and the SiGe strain inducing layer 17 is formed on the Si containing substrate 9. do. Si-containing substrate 9 and SiGe layer 17 are similar to Si-containing substrate 9 and SiGe layer 17 described above with reference to FIG. 1.

적층 구조물(10)을 형성한 후에, pFET 장치(45)는 종래의 처리 방법을 이용하여 형성된다. pFET 장치(45)는 소스 및 드레인 영역(13, 14)이 p형으로 도핑된 것을 제외하고, 도 1을 참조하여 설명한 바와 같이 nFET 장치(20) 생성 방법과 유사한 MOSFET 처리를 이용하여 형성된다.After forming the stacked structure 10, the pFET device 45 is formed using conventional processing methods. The pFET device 45 is formed using a MOSFET process similar to the method for generating the nFET device 20 as described with reference to FIG. 1 except that the source and drain regions 13 and 14 are doped to p type.

다시 도 2를 참조하면, 다음 처리 단계에서, 압축 스트레인 유도 라이너(55)가 적어도 게이트 영역(5) 위 및 이 게이트 영역(5)에 인접한 2축 인장 스트레인드 반도체 층(15)의 노출 표면 상에 증착된다. 압축 스트레인 유도 라이너(55)는 질화물, 산화물, 붕소 인산염 실리케이트 유리와 같은 도핑된 산화물, Al2O3, HfO2, ZrO2, HfSiO, 반도체 처리에서 일반적인 기타의 유전체 물질 또는 이들의 임의의 조합을 포함할 수 있다. 압축 스트레인 유도 라이너(55)는 약 10 nm 내지 약 100 nm의 범위, 바람직하게는 약 50 nm의 두께를 갖는다. 압축 스트레인 유도 라이너(55)는 플라즈마 엔헌스드 화학 기상 증착(PECVD)에 의해 증착될 수 있다.Referring again to FIG. 2, in the next processing step, a compressive strain induction liner 55 is at least over the gate region 5 and on the exposed surface of the biaxial tensile strained semiconductor layer 15 adjacent to the gate region 5. Is deposited on. Compression strain induction liner 55 may be formed of nitride, oxide, doped oxide, such as boron phosphate silicate glass, Al 2 O 3 , HfO 2 , ZrO 2 , HfSiO, other dielectric materials common in semiconductor processing, or any combination thereof. It may include. Compression strain induction liner 55 has a thickness in the range of about 10 nm to about 100 nm, preferably about 50 nm. Compression strain induction liner 55 may be deposited by plasma enhanced chemical vapor deposition (PECVD).

바람직하게, 압축 스트레인 유도 라이너(55)는 Si3N4와 같은 질화물을 포함하고, 증착 처리의 처리 조건은 증착된 층 내에서 진상 압축 스트레인을 제공하도록 선택된다. 예를 들어서, 플라즈마 엔헌스드 화학 기상 증착(PECVD)은 압축 내부 스트레인을 가진 질화물 스트레인 유도 라이너를 제공할 수 있다. 증착된 질화물 스트레인 유도 라이너의 응력 상태는 증착실에서 반응 속도를 변경하도록 증착 조건을 변경함으로써 설정될 수 있고, 여기에서, 증착 조건은 SiH4/N2/He 가스 유량, 압력, RF 전력 및 전극 간극을 포함한다.Preferably, the compressive strain induction liner 55 comprises a nitride such as Si 3 N 4, and the processing conditions of the deposition process are selected to provide true compressive strain in the deposited layer. For example, plasma-enhanced chemical vapor deposition (PECVD) can provide nitride strain inducing liners with compressive internal strain. The stress state of the deposited nitride strain induction liner can be set by changing the deposition conditions to change the reaction rate in the deposition chamber, where the deposition conditions are SiH 4 / N 2 / He gas flow rate, pressure, RF power and electrodes. Includes gaps.

본 발명의 다른 실시예에서, SiGe 압축 스트레인 유도 웰(60)은 pFET 장치(45)를 형성한 후 압축 스트레인 유도 라이너(55)의 증착 전에 형성될 수 있다. 제1 처리 단계에서, 게이트 영역(5) 부근에서 소스 및 드레인 영역(13, 14)이 위치되는 2축 인장 스트레인드 반도체 층(15)의 부분 내에 오목부가 형성된다. 오목부는 사진석판술 및 에칭 기술로 형성될 수 있다. 구체적으로 말하면, 바람직하게 패터닝된 포토레지스트를 포함한 에칭 마스크가 게이트 영역 부근의 2축 인장 스트레인드 반도체 층(15)의 부분을 제외하고 구조물 전체의 표면 위에 형성된다. 그 다음에 방향성 에칭 처리에 의해 소스 및 드레인 영역(13, 14) 위에 있는 2축 인장 스트레인드 반도체 층(15)의 표면을 게이트 영역(15)이 위치되는 표면으로부터 약 10 nm 내지 약 300 nm의 깊이로 오목하게 만든다. 양호한 실시예에서, 압축 스트레인 유도 웰(60)은 제1 방향성(이방성) 에칭 다음에 무지향성(등방성) 에칭을 하는 에칭 처리에 의해 장치 채널에 더 가깝게 위치시킬 수 있으며, 무지향성 에칭은 측벽 스페이서(4)를 언더커트하여 장치 채널(12)을 침식하는 오목부를 제공한다. 압축 스트레인 유도 웰(60)을 장치 채널(12)에 더 가까이 위치시킴으로써 장치 채널(12)을 따라 생성되는 스트레인이 증가된다.In another embodiment of the present invention, the SiGe compression strain induction well 60 may be formed after the pFET device 45 is formed and before the deposition of the compression strain induction liner 55. In the first processing step, recesses are formed in the portion of the biaxial tensile strained semiconductor layer 15 where the source and drain regions 13, 14 are located near the gate region 5. The recess can be formed by photolithography and etching techniques. Specifically speaking, an etch mask, preferably including a patterned photoresist, is formed over the surface of the entire structure except for the portion of the biaxial tensile strained semiconductor layer 15 near the gate region. The surface of the biaxial tensile strained semiconductor layer 15 over the source and drain regions 13, 14 is then subjected to a directional etching process from about 10 nm to about 300 nm from the surface where the gate region 15 is located. Concave to depth. In a preferred embodiment, the compressive strain induction well 60 may be positioned closer to the device channel by an etching process where the first directional (isotropic) etch followed by an omnidirectional (isotropic) etch, wherein the nondirectional etch is a sidewall spacer. Undercut (4) to provide a recess for eroding the device channel (12). By placing the compression strain induction well 60 closer to the device channel 12, the strain produced along the device channel 12 is increased.

다음 처리 단계에서, SiGe가 소스 및 드레인 영역(13, 14) 위에 있는 2축 인장 스트레인드 반도체 층(15)의 오목한 표면 상에서 에피택셜적으로 성장되어 압축 스트레인 유도 웰(60)을 형성한다. 에피택셜 성장된 SiGe는 내부 압축 스트레인(진성 압축 스트레인이라고도 함) 하에 있고, 여기에서 압축 스트레인은 에피택셜적으 로 성장된 SiGe의 큰 격자 치수와 SiGe가 에피택셜적으로 성장되는 2축 인장 스트레인드 반도체 층(15)의 오목한 표면의 작은 격자 치수 간의 격자 미스매치에 의해 생성된다. 압축 스트레인 유도 웰(60)은 장치 채널(12)에 평행한 방향을 가진 pFET 장치(45)의 장치 채널(12) 내에서 단일축 압축 스트레인을 생성한다. In the next processing step, SiGe is grown epitaxially on the concave surface of the biaxial tensile strained semiconductor layer 15 over the source and drain regions 13, 14 to form a compressive strain induction well 60. Epitaxially grown SiGe is under internal compressive strain (also called intrinsic compressive strain), where the compressive strain is a large lattice dimension of epitaxially grown SiGe and a biaxial tensile strained semiconductor in which SiGe is epitaxially grown It is created by lattice mismatch between small lattice dimensions of the concave surface of layer 15. Compression strain induction well 60 produces a single axial compression strain in device channel 12 of pFET device 45 with a direction parallel to device channel 12.

일 실시예에서, 압축 스트레인 유도 웰(60)은 압축 스트레인 유도 라이너(55)가 제공되어 있는 경우 생략될 수 있다. 본 발명의 다른 실시예에서, 압축 스트레인 유도 라이너(55)는 압축 스트레인 유도 웰(60)이 제공되어 있는 경우 생략될 수 있다.In one embodiment, compression strain induction well 60 may be omitted if compression strain induction liner 55 is provided. In another embodiment of the present invention, compression strain induction liner 55 may be omitted if compression strain induction well 60 is provided.

본 발명의 다른 실시예에서, 진성 압축 유전체 충전재를 포함한 압축 스트레인 유도 격리 영역(65)이 형성되는데, 여기서, 진성 압축 유전체 충전재는 2축 인장 스트레인드 반도체 층(15)의 스트레인의 크기를 약 0.05 내지 약 1% 증가시킨다. 압축 스트레인 유도 격리 영역(65)은 반응성 이온 에칭과 같은 방향성 에칭 처리에 의해 트렌치를 최초 에칭함으로써 형성된다. 트렌치를 형성한 후에, 트렌치는 화학적 기상 증착에 의해 증착된 질화물 또는 산화물과 같은 진성 압축 스트레인을 가진 유전체로 채워진다. 압축 스트레인 유도 유전체 충전재를 생성하기 위한 증착 조건은 압축 스트레인드 유전체 라이너(55)를 형성하기 위한 전술한 증착 조건과 유사하다.In another embodiment of the present invention, a compressive strain induced isolation region 65 is formed that includes an intrinsic compressed dielectric filler, wherein the intrinsic compressed dielectric filler has a size of about 0.05 of the strain of the biaxial tensile strained semiconductor layer 15. To about 1% increase. The compressive strain induced isolation region 65 is formed by first etching the trench by a directional etching process such as reactive ion etching. After forming the trench, the trench is filled with a dielectric with intrinsic compressive strain, such as nitride or oxide, deposited by chemical vapor deposition. The deposition conditions for producing the compressive strain induced dielectric filler are similar to those described above for forming the compression strained dielectric liner 55.

도 3을 참조하면, 본 발명의 다른 실시예에서, 기판(10a)의 장치 채널(12)에 단일축 압축 스트레인을 가진 pFET(75)가 제공되는데, 여기에서, 압축 단일축 스트레인은 장치 채널(12)의 길이에 평행한 방향을 갖는다. 이 실시예에서, 단일축 압 축 스트레인은 2축 압축 스트레인드 반도체 층(26) 및 압축 스트레인 유도 라이너(55)의 조합에 의해 생성된다.Referring to FIG. 3, in another embodiment of the present invention, a pFET 75 having a single-axis compression strain in the device channel 12 of the substrate 10a is provided, where the compressed single-axis strain is a device channel ( 12) have a direction parallel to the length. In this embodiment, the single axial compression strain is produced by the combination of the biaxial compression strain semiconductor layer 26 and the compression strain induction liner 55.

2축 압축 스트레인드 반도체 층(26)은 탄소 도핑 실리콘(Si:C) 스트레인 유도층(18) 위에 성장된 에피택셜 실리콘이다. 2축 압축 스트레인은 격자 상수가 실리콘의 격자 상수보다 더 작은 물질로 구성된 표면 상에 성장된 에피택셜 실리콘에서 유도된다. 탄소의 격자 상수는 실리콘의 격자 상수보다 더 작다. 이러한 Si:C 스트레인 유도층(18) 상에서 Si의 에피택셜 성장은 2축 압축 스트레인 하에 Si 층을 생성하고, 하부의 Si:C 스트레인 유도층(18)은 본질적으로 언스트레인, 즉 완화된다. 용어 "2축 압축"은 압축 스트레인이 장치 채널(12)에 평행한 제1 방향 및 장치 채널(12)에 수직한 제2 방향으로 생성되는 것을 의미하고, 이 때, 제1 방향의 스트레인의 크기는 제2 방향의 스트레인의 크기와 동일하다.Biaxial compressive strained semiconductor layer 26 is epitaxial silicon grown over carbon doped silicon (Si: C) strain inducing layer 18. Biaxial compression strain is derived from epitaxial silicon grown on a surface composed of a material whose lattice constant is smaller than the lattice constant of silicon. The lattice constant of carbon is smaller than the lattice constant of silicon. The epitaxial growth of Si on this Si: C strain inducing layer 18 creates a Si layer under biaxial compression strain, and the underlying Si: C strain inducing layer 18 is essentially unstrained, i.e. relaxed. The term "biaxial compression" means that compression strain is produced in a first direction parallel to the device channel 12 and in a second direction perpendicular to the device channel 12, wherein the magnitude of the strain in the first direction Is equal to the magnitude of the strain in the second direction.

압축 스트레인 유도 라이너(55)는 도 2를 참조하여 위에서 설명한 압축 스트레인 유도 라이너와 유사하고, 바람직하게는 Si3N4를 포함한다. 다시 도 3을 참조하면, 압축 스트레인 유도 라이너(55)는 게이트 영역(5) 위 및 이 게이트 영역(5) 부근의 2축 압축 스트레인드 반도체 층(26)의 노출 표면 위에 위치된다.The compression strain induction liner 55 is similar to the compression strain induction liner described above with reference to FIG. 2 and preferably comprises Si 3 N 4 . Referring again to FIG. 3, a compressive strain induction liner 55 is located over the gate region 5 and over the exposed surface of the biaxial compressive strain semiconductor layer 26 near the gate region 5.

압축 스트레인 유도 라이너(55)는 장치 채널(12) 상에서 약 100 MPa 내지 약 2000 MPa의 범위로 단일축 압축 스트레인을 생성하고, 이 때, 단일축 스트레인의 방향은 장치 채널(12)의 길이에 평행하다.Compression strain induction liner 55 creates a single axial compression strain on the device channel 12 in the range of about 100 MPa to about 2000 MPa, wherein the direction of the single axis strain is parallel to the length of the device channel 12. Do.

압축 스트레인 유도 라이너(55)가 형성되기 전에, 장치 채널(12)은 2축 압축 스트레인 상태에 있는데, 그 이유는 장치 채널(12)에 수직한 방향으로 생성된 스트레인의 크기가 장치 채널(12)에 평행한 방향으로 생성된 스트레인의 크기와 같기 때문이다. 압축 스트레인 유도 라이너(55)의 적용에 의해 단일축 스트레인은 장치 채널(12)에 평행한 방향으로 생성되고, 여기에서, 장치 채널(12)에 수직한 압축 스트레인의 크기는 장치 채널(12)에 평행한 압축 스트레인의 크기보다 더 작다. 더 나아가, 장치 채널(12)에 수직한 pFET 장치(45) 내에서의 격자 상수는 장치 채널(12)을 따르는 격자 상수보다 더 크다.Before the compression strain induction liner 55 is formed, the device channel 12 is in a biaxial compression strain state because the magnitude of the strain produced in the direction perpendicular to the device channel 12 is such that the device channel 12 This is because it is equal to the magnitude of the strain created in a direction parallel to. By application of the compression strain induction liner 55 a single-axis strain is produced in a direction parallel to the device channel 12, where the magnitude of the compression strain perpendicular to the device channel 12 is applied to the device channel 12. Smaller than the size of parallel compression strain. Furthermore, the lattice constant in the pFET device 45 perpendicular to the device channel 12 is greater than the lattice constant along the device channel 12.

다시 도 3을 참조하면, 본 발명의 다른 실시예에서, SiGe 압축 스트레인 유도 웰(60)은 장치 채널(12)에 인접하여 위치된다. 진성 압축 SiGe를 포함한 압축 스트레인 유도 웰(60)은 2축 압축 스트레인드 반도체 층(26)의 오목부 위에 에피택셜적으로 성장될 수 있고, 도 2를 참조하여 설명한 SiGe 압축 스트레인 유도 웰(60)과 유사하다. 바람직하게, SiGe 압축 스트레인 유도 웰(60)은 게이트 영역(5)의 게이트 전극(3)에 인접한 측벽 스페이스(4) 아래로 침식한다.Referring again to FIG. 3, in another embodiment of the present invention, SiGe compression strain induction well 60 is located adjacent to device channel 12. Compression strain induction well 60 including intrinsic compression SiGe may be grown epitaxially over the recess of biaxial compression strain semiconductor layer 26, and SiGe compression strain induction well 60 described with reference to FIG. 2. Similar to Preferably, the SiGe compression strain induction well 60 erodes below the sidewall space 4 adjacent to the gate electrode 3 of the gate region 5.

이제, 본 발명의 pFET(45)를 형성하는 방법에 대하여 더 구체적으로 설명한다. 제1 처리 단계에서, Si:C 스트레인 유도층(18) 위에 2축 압축 스트레인드 반도체 층(26)을 가진 적층 구조물(10a)이 제공되며, 여기서, Si:C 스트레인 유도층(18)은 Si 함유 기판(9) 상에 형성된다. 도 3에 도시된 Si 함유 기판(9)은 도 1을 참조하여 위에서 설명한 Si 함유 기판(9)과 유사하다.Now, the method of forming the pFET 45 of the present invention will be described in more detail. In a first processing step, a laminate structure 10a is provided having a biaxial compressive strain semiconductor layer 26 over a Si: C strain inducing layer 18, wherein the Si: C strain inducing layer 18 is Si It is formed on the containing substrate 9. The Si-containing substrate 9 shown in FIG. 3 is similar to the Si-containing substrate 9 described above with reference to FIG. 1.

Si:C 스트레인 유도층(18)은 에피택셜 성장 처리를 이용하여 전체 Si 함유 기판(9) 상에 형성되고, 이 때, Si:C 스트레인 유도층(18)의 C 성분은 원자%로 약 6% 미만, 바람직하게는 0.5% 내지 4%의 범위에 있다. 전형적으로, Si:C 스트레인 유도층(18)은 약 10 nm 내지 약 100 nm 범위의 두께로 성장될 수 있다.The Si: C strain inducing layer 18 is formed on the entire Si-containing substrate 9 using epitaxial growth treatment, wherein the C component of the Si: C strain inducing layer 18 is about 6 atomic percent. Less than%, preferably in the range of 0.5% to 4%. Typically, Si: C strain inducing layer 18 may be grown to a thickness ranging from about 10 nm to about 100 nm.

그 다음에, 2축 압축 스트레인드 반도체 층(26)이 Si:C 스트레인 유도층(18) 위에 형성된다. 2축 압축 스트레인드 반도체 층(26)은 하부의 Si:C 스트레인 유도층(18)의 격자 치수보다 더 큰 격자 치수를 가진 에피택셜 성장된 Si 함유 물질을 포함한다. 2축 압축 스트레인드 반도체 층(26)은 그 임계 두께 미만의 두께로 성장될 수 있다. 전형적으로, 2축 압축 스트레인드 반도체 층(26)은 약 10 nm 내지 약 100 nm 범위의 두께로 성장될 수 있다.A biaxial compressive strain semiconductor layer 26 is then formed over the Si: C strain inducing layer 18. The biaxial compressive strained semiconductor layer 26 comprises an epitaxially grown Si containing material having a lattice dimension that is larger than the lattice dimension of the underlying Si: C strain inducing layer 18. Biaxial strained semiconductor layer 26 may be grown to a thickness below its critical thickness. Typically, biaxial compressive strained semiconductor layer 26 may be grown to a thickness in the range of about 10 nm to about 100 nm.

대안적으로, 2축 압축 스트레인드 반도체 층(26)은 절연층 직상에 형성되어 절연체 직상 스트레인드 실리콘(SSDOI) 기판을 제공할 수 있다. 이 실시예에서, 에피택셜 Si를 포함한 압축 스트레인드 반도체 층(26)은 Si:C 표면을 가진 핸들링 웨이퍼 위에서 성장된다. 그 다음에, 압축 스트레인드 반도체 층(26)이 열접합과 같은 접합 방법을 이용하여 지지 기판의 유전체 층에 접합된다. 접합 후에, Si:C 표면을 가진 핸들링 웨이퍼는 스마트 컷 및 에칭 기술을 이용하여 제거되고, 이로써 유전체 층에 직접 접합된 2축 압축 스트레인드 반도체 층(26)을 제공한다.Alternatively, biaxial compressive strained semiconductor layer 26 may be formed directly on the insulating layer to provide an insulator directly strained silicon (SSDOI) substrate. In this embodiment, the compressive strained semiconductor layer 26 comprising epitaxial Si is grown on a handling wafer with a Si: C surface. The compressive strained semiconductor layer 26 is then bonded to the dielectric layer of the support substrate using a bonding method such as thermal bonding. After bonding, the handling wafer with Si: C surface is removed using smart cut and etching techniques, thereby providing a biaxial compressive strained semiconductor layer 26 bonded directly to the dielectric layer.

적층 구조물(10a)이 형성된 후에, pFET 장치(75)가 도 2를 참조하여 설명한 바와 같이 2축 압축 스트레인드 반도체 층(26) 위에 형성된다.After the stacked structure 10a is formed, a pFET device 75 is formed over the biaxial compressive strained semiconductor layer 26 as described with reference to FIG.

다시 도 3을 참조하면, 다음 처리 단계에서, 압축 스트레인 유도 라이너(55)가 적어도 게이트 영역(5)의 위 및 이 게이트 영역(5) 부근의 2축 압축 스트레인드 반도체 층(26)의 노출 표면 위에 증착된다. 압축 스트레인 유도 라이너(55)는 도 2 를 참조하여 위에서 설명한 압축 스트레인 유도 라이너와 유사하다.Referring again to FIG. 3, in the next processing step, the compressive strain induction liner 55 is exposed surface of the biaxial compressive strain semiconductor layer 26 at least above and near the gate region 5. Deposited on top. The compression strain induction liner 55 is similar to the compression strain induction liner described above with reference to FIG. 2.

바람직하게, 압축 스트레인 유도 라이너(55)는 Si3N4와 같은 질화물을 포함하고, 증착 처리의 처리 조건은 증착된 층 내에서 진상 압축 스트레인을 제공하도록 선택된다. 예를 들어서, 플라즈마 엔헌스드 화학 기상 증착(PECVD)은 압축 내부 응력을 가진 질화물 응력 유도 라이너를 제공할 수 있다. 증착된 질화물 응력 유도 라이너의 응력 상태는 증착실에서 반응 속도를 변경하도록 증착 조건을 변경함으로써 설정될 수 있고, 여기에서, 증착 조건은 SiH4/N2/He 가스 유량, 압력, RF 전력 및 전극 간극을 포함한다.Preferably, the compressive strain induction liner 55 comprises a nitride such as Si 3 N 4, and the processing conditions of the deposition process are selected to provide true compressive strain in the deposited layer. For example, plasma enhanced chemical vapor deposition (PECVD) can provide nitride stress inducing liners with compressive internal stress. The stress state of the deposited nitride stress inducing liner can be set by changing the deposition conditions to change the reaction rate in the deposition chamber, where the deposition conditions are SiH 4 / N 2 / He gas flow rate, pressure, RF power, and electrodes. Includes gaps.

도 2에 도시된 실시예와 유사하게, 바람직하게 진성 압축 SiGe를 포함한 압축 스트레인 유도 웰(60) 및 바람직하게 진성 압축 유전체 충전재를 포함한 압축 스트레인 유도 격리 영역(65)이 도 3에 도시된 바와 같이 형성될 수 있다. 바람직하게, 압축 스트레인 유도 웰(60)은 게이트 영역(5)에서 게이트 전극(3)에 인접한 측벽 스페이서(4) 아래로 침식한다.Similar to the embodiment shown in FIG. 2, a compression strain induction well 60, preferably comprising intrinsic compression SiGe, and a compression strain induction isolation region 65, preferably comprising intrinsic compression dielectric filler, are shown in FIG. Can be formed. Preferably, the compressive strain induction well 60 erodes below the sidewall spacer 4 adjacent the gate electrode 3 in the gate region 5.

도 4를 참조하면, 본 발명의 다른 실시예에서, 동일 기판(100) 상에 도 1에 도시된 본 발명의 nFET 장치(20) 및 도 2에 도시된 본 발명의 pFET 장치(45)를 포함한 CMOS 구조물이 제공된다. 각 nFET 장치(20)는 nFET 장치 채널(12)에 평행한 방향의 격자 상수가 nFET 장치 채널(12)에 수직한 방향의 격자 상수보다 더 큰 장치 채널(12)을 가지며, 여기에서 격자 상수 차이는 인장 단일축 스트레인에 의해 유도된다. 각 pFET 장치(45)는 pFET 장치 채널(12)에 수직한 방향의 격자 상수가 pFET 장치 채널(12)에 평행한 방향의 격자 상수보다 더 큰 장치 채널(12)을 가지며, 여기에서 격자 상수 차이는 압축 단일축 스트레인에 의해 유도된다. 도 4에 도시된 CMOS 구조물은 nFET 장치(20) 및 pFET 장치(45)를 생성하기 위한 전술한 방법을 이용하여 형성된다.Referring to FIG. 4, in another embodiment of the present invention, on the same substrate 100, including the nFET device 20 of the present invention shown in FIG. 1 and the pFET device 45 of the present invention shown in FIG. CMOS structures are provided. Each nFET device 20 has a device channel 12 in which the lattice constant in a direction parallel to the nFET device channel 12 is greater than the lattice constant in a direction perpendicular to the nFET device channel 12, where the lattice constant difference Is induced by tensile uniaxial strain. Each pFET device 45 has a device channel 12 in which the lattice constant in the direction perpendicular to the pFET device channel 12 is greater than the lattice constant in the direction parallel to the pFET device channel 12, where the lattice constant difference Is induced by compression uniaxial strain. The CMOS structure shown in FIG. 4 is formed using the method described above for creating the nFET device 20 and the pFET device 45.

더 구체적으로 말하면, 도 1을 참조하여 위에서 설명한 바와 같이, SiGe 스트레인 유도층(17) 위에 형성된 2축 인장 스트레인드 반도체 층(15)을 포함한 적층 구조물(100)이 먼저 제공된다. 그 다음에, nFET 장치(20)가 기판(100)의 nFET 장치 영역(120) 내에 형성되고 pFET 장치(45)가 기판(100)의 pFET 장치 영역(140) 내에 형성되며, 이 때, nFET 장치 영역(120)과 pFET 장치 영역(140)은 격리 영역(70)에 의해 분리된다. 앞의 실시예와 유사하게, pFET 장치 영역(140)과 nFET 장치 영역(120) 내에서 생성된 2축 스트레인은 격리 영역(70)을 진성 압축 또는 진성 인장 유전체 충전재로 채움으로써 증가될 수 있다.More specifically, as described above with reference to FIG. 1, a stacked structure 100 is first provided that includes a biaxial tensile strained semiconductor layer 15 formed over a SiGe strain inducing layer 17. An nFET device 20 is then formed in the nFET device region 120 of the substrate 100 and the pFET device 45 is formed in the pFET device region 140 of the substrate 100, at which time the nFET device Region 120 and pFET device region 140 are separated by isolation region 70. Similar to the previous embodiment, biaxial strain generated within pFET device region 140 and nFET device region 120 may be increased by filling isolation region 70 with intrinsic compression or intrinsic tensile dielectric filler.

그 다음에, pFET 장치 영역(140)과 nFET 장치 영역(120)이 종래의 블록 마스크를 이용하여 선택적으로 처리된다. 예를 들면, 제1 블록 마스크는 pFET 장치 영역(140) 위에 형성되고 nFET 장치 영역(120)은 노출된 채로 남겨둔다. 그 다음에, 도 1을 참조하여 위에서 설명한 바와 같이 nFET 장치(20), 인장 스트레인 유도 라이너(25) 및 인장 스트레인 유도 웰(30)을 생성하도록 nFET 장치 영역(120)이 처리된다. nFET 장치 영역(120)과 pFET 장치 영역(140)은 격리 영역(70)에 의해 분리되고, 여기에서, 진성 인장 또는 진성 압축 유전체 충전재는 nFET 또는 pFET 장치 영역(120, 140) 내의 2축 스트레인을 증가시킬 수 있다.The pFET device region 140 and nFET device region 120 are then selectively processed using a conventional block mask. For example, a first block mask is formed over the pFET device region 140 and the nFET device region 120 remains exposed. Next, nFET device region 120 is processed to produce nFET device 20, tensile strain inducing liner 25 and tensile strain inducing well 30 as described above with reference to FIG. 1. The nFET device region 120 and the pFET device region 140 are separated by an isolation region 70, where the intrinsic tensile or intrinsic compressed dielectric filler is responsible for biaxial strain in the nFET or pFET device regions 120, 140. Can be increased.

그 다음에, 제1 블록 마스크가 제거되고 제2 블록 마스크가 nFET 장치 영역(120) 위에 형성되며 pFET 장치 영역(140)은 노출된 채로 남겨둔다. 그 다음에, 도 2를 참조하여 위에서 설명한 바와 같이 pFET 장치(45), 압축 스트레인 유도 라이너(55) 및 인장 스트레인 유도 웰(60)을 생성하도록 pFET 장치 영역(140)이 처리된다. 그 다음에, 제2 블록 마스크가 제거된다.Next, the first block mask is removed and a second block mask is formed over the nFET device region 120 and the pFET device region 140 is left exposed. The pFET device region 140 is then processed to produce the pFET device 45, the compressive strain induction liner 55 and the tensile strain induction well 60 as described above with reference to FIG. 2. Then, the second block mask is removed.

도 5를 참조하면, 본 발명의 다른 실시예에서, 동일 기판 상에 도 1에 도시된 nFET 장치(20) 및 도 3에 도시된 pFET 장치(75)를 포함한 CMOS 구조물이 제공된다. 도 5에 도시된 CMOS 구조물은 동일 기판(105)에서 nFET 구동 전류의 추가적인 증가뿐만 아니라 pFET 구동 전류의 개선을 제공한다.Referring to FIG. 5, in another embodiment of the present invention, a CMOS structure is provided that includes an nFET device 20 shown in FIG. 1 and a pFET device 75 shown in FIG. 3 on the same substrate. The CMOS structure shown in FIG. 5 provides an improvement in the pFET drive current as well as an additional increase in nFET drive current in the same substrate 105.

도 5에 도시된 CMOS 구조물은 도 1에 도시된 것과 같은 nFET 장치(20) 및 도 3에 도시된 것과 같은 pFET 장치(75)를 생성하기 위한 전술한 방법을 이용하여 형성되고, 여기에서, nFET 장치(20) 및 pFET 장치(75)가 형성되는 CMOS 구조물의 부분을 선택적으로 처리하기 위해 블록 마스크가 사용된다.The CMOS structure shown in FIG. 5 is formed using the above-described method for creating an nFET device 20 as shown in FIG. 1 and a pFET device 75 as shown in FIG. 3, where nFET A block mask is used to selectively process the portion of the CMOS structure in which device 20 and pFET device 75 are formed.

먼저, pFET 장치 영역(140)에서 Si:C 스트레인 유도층(18) 위에 적어도 2축 압축 스트레인드 반도체 층(26)을 갖고 nFET 장치 영역(120)에서 SiGe 스트레인 유도층(17) 위에 2축 인장 스트레인드 반도체 층(15)을 갖는 스트레인드 Si 기판(105)이 제공된다. 스트레인드 Si 기판(105)은 증착, 에피택셜 성장, 사진석판술 및 에칭에 의해 형성될 수 있다. 압축 스트레인드 반도체 층(26) 및 인장 스트레인드 반도체 층(15)을 포함한 2축 스트레인드 Si 기판(105)의 형성과 관련한 더 구체적인 설명은 '벌크 또는 SOI 기판용 다중 물질 상의 스트레인드 Si'(Strained Si on Multiple Materials for Bulk or SOI Substrate)라는 명칭으로 2004년 6월 3일에 출원한 미국 특허 출원 제10/859,736호에 개시되어 있다.First, at least a biaxial compressive strained semiconductor layer 26 over the Si: C strain inducing layer 18 in the pFET device region 140 and biaxial tension over the SiGe strain inducing layer 17 in the nFET device region 120. A strained Si substrate 105 with a strained semiconductor layer 15 is provided. Strained Si substrate 105 may be formed by deposition, epitaxial growth, photolithography and etching. A more detailed description relating to the formation of biaxially strained Si substrates 105 comprising a compressive strained semiconductor layer 26 and a tensile strained semiconductor layer 15 is referred to as 'Strained Si on Multiple Materials for Bulk or SOI Substrates' ( Strained Si on Multiple Materials for Bulk or SOI Substrate) is disclosed in US Patent Application No. 10 / 859,736, filed June 3, 2004.

다음 처리 단계에서, 제1 블록 마스크가 pFET 장치 영역(140) 위에 형성되고 nFET 장치 영역(120)의 2축 인장 스트레인드 반도체 층(15)은 노출된 채로 남겨둔다. 그 다음에, 인장 스트레인 유도 라이너(25) 및 인장 스트레인 유도 웰(30)을 포함한 nFET 장치(20)를 제공하도록 2축 인장 스트레인드 반도체 층(15)이 처리되는데, 여기에서, 인장 단일축 스트레인은 nFET 장치 채널(12) 내에서 생성된다. nFET 장치(20)는 도 1을 참조하여 위에서 설명한 방법에 따라 처리된다.In a next processing step, a first block mask is formed over the pFET device region 140 and the biaxial tensile strained semiconductor layer 15 of the nFET device region 120 is left exposed. The biaxial tensile strained semiconductor layer 15 is then processed to provide an nFET device 20 that includes a tensile strain inducing liner 25 and a tensile strain inducing well 30, wherein the tensile uniaxial strain Is generated in nFET device channel 12. The nFET device 20 is processed according to the method described above with reference to FIG.

nFET 장치(20)가 형성된 다음에, 제1 블록 마스크를 벗겨내어 2축 압축 스트레인드 반도체 층(26)을 노출시키고 제2 블록 마스크가 2축 인장 스트레인드 반도체 층(15)에 위치된 nFET 장치(20) 위에 형성된다. 그 다음에, 압축 스트레인 유도 라이너(55) 및 압축 스트레인 유도 웰(60)을 포함한 pFET 장치(75)를 제공하도록 2축 압축 스트레인드 반도체 층(26)이 처리되는데, 여기에서, 단일축 압축 스트레인은 pFET 장치(75)의 장치 채널(12) 내에서 생성된다. pFET 장치(75)는 도 3을 참조하여 위에서 설명한 방법에 따라 처리된다.After the nFET device 20 is formed, the first block mask is peeled off to expose the biaxial compressive strained semiconductor layer 26 and the second block mask is located in the biaxial tensile strained semiconductor layer 15. 20 is formed above. The biaxial compressive strain semiconductor layer 26 is then processed to provide a pFET device 75 including a compressive strain inducing liner 55 and a compressive strain inducing well 60, where a single axis compressive strain Is generated in device channel 12 of pFET device 75. The pFET device 75 is processed according to the method described above with reference to FIG.

도 6을 참조하면, 본 발명의 다른 실시예에서, 완화 기판(85)의 장치 채널(12) 부분에 단일축 인장 스트레인을 가진 n형 전계 효과 트랜지스터(nFET)(20)가 제공되는데, 여기에서, 단일축 인장 스트레인은 장치 채널(12)의 길이 방향에 평행한 방향에 있다. nFET 장치(20)의 장치 채널(12)에 따르는 단일축 인장 스트레인은 인장 스트레인 유도 라이너(25) 및 인장 스트레인 유도 웰(30)의 조합에 의해 생성된다.Referring to FIG. 6, in another embodiment of the present invention, an n-type field effect transistor (nFET) 20 having a single-axis tensile strain in a portion of the device channel 12 of the relaxed substrate 85 is provided, where The monoaxial tensile strain is in a direction parallel to the longitudinal direction of the device channel 12. Uniaxial tensile strain along device channel 12 of nFET device 20 is created by a combination of tensile strain inducing liner 25 and tensile strain inducing well 30.

용어 "완화 기판"은 내부 스트레인을 갖지 않는 기판을 의미하여, 여기에서 채널 평면에 평행한 방향(x 방향), 채널 평면에 수직한 방향(y 방향) 및 채널 평면 외측 방향(z 방향)의 격자 치수는 동일하다. 완화 기판(85)은 비제한적인 예로서 Si, 스트레인드 Si, Si1 - yCy, Si1 -x- yGexCy, Si1 - xGex, Si 합금, Ge, Ge 합금, GaAs, InAs, InP 뿐만 아니라 다른 III-V족 및 II-VI 족 반도체를 비롯한 임의의 반도체 물질을 포함할 수 있다. 완화 기판(85)은 또한 절연체상 실리콘(SOI) 기판 또는 절연체상 SiGe(SGOI) 기판일 수도 있다. 완화 기판(85)의 두께는 본 발명에서 중요하지 않다. 바람직하게, 완화 기판(85)은 Si 함유 물질을 포함한다.The term "relaxing substrate" means a substrate having no internal strain, where a grid in a direction parallel to the channel plane (x direction), a direction perpendicular to the channel plane (y direction) and a channel plane outward direction (z direction) The dimensions are the same. Relaxed substrate 85 is a non-limiting example as Si, strained Si, Si 1 - y C y, Si 1 -x- y Ge x C y, Si 1 - x Ge x, Si alloys, Ge, Ge alloys, And any semiconductor material, including GaAs, InAs, InP, as well as other Group III-V and II-VI semiconductors. Mitigating substrate 85 may also be an insulator silicon (SOI) substrate or an insulator SiGe (SGOI) substrate. The thickness of the relaxed substrate 85 is not critical to the present invention. Preferably, the relaxed substrate 85 comprises a Si containing material.

인장 스트레인 유도 라이너(25)는 바람직하게 Si3N4를 포함하고, 게이트 영역(5)의 위 및 이 게이트 영역(5) 부근의 완화 기판(85)의 노출 표면 위에 위치된다. 인장 스트레인 유도 라이너(25)는 질화물, 산화물, 붕소 인산염 실리케이트 유리와 같은 도핑된 산화물, Al2O3, HfO2, ZrO2, HfSiO, 반도체 처리에서 일반적인 기타의 유전체 물질 또는 이들의 임의의 조합을 포함할 수 있다. 인장 스트레인 유도 라이너(25)는 약 10 nm 내지 약 500 nm의 범위, 바람직하게는 약 50 nm의 두께를 가질 수 있다. 인장 스트레인 유도 라이너(25)는 플라즈마 엔헌스드 화학 기상 증착(PECVD) 또는 급속 열화학 기상 증착(RTCVD)에 의해 증착될 수 있다.Tensile strain induction liner 25 preferably comprises Si 3 N 4 and is located above the gate region 5 and above the exposed surface of the relaxed substrate 85 in the vicinity of the gate region 5. Tensile strain induced liners 25 may be formed of nitrides, oxides, doped oxides such as boron phosphate silicate glass, Al 2 O 3 , HfO 2 , ZrO 2 , HfSiO, other dielectric materials common in semiconductor processing, or any combination thereof. It may include. Tensile strain induction liner 25 may have a thickness in the range of about 10 nm to about 500 nm, preferably about 50 nm. Tensile strain induced liner 25 may be deposited by plasma enhanced chemical vapor deposition (PECVD) or rapid thermal chemical vapor deposition (RTCVD).

바람직하게, 인장 스트레인 유도 라이너(25)는 Si3N4와 같은 질화물을 포함하고, 증착 처리의 처리 조건은 증착된 층 내에서 진상 인장 스트레인을 제공하도 록 선택된다. 예를 들어서, 플라즈마 엔헌스드 화학 기상 증착(PECVD)은 진성 인장 스트레인을 가진 질화물 응력 유도 라이너를 제공할 수 있다. PECVD에 의해 증착된 질화물 응력 유도 라이너의 응력 상태는 증착실에서 반응 속도를 변경하도록 증착 조건을 변경함으로써 조절될 수 있다. 더 구체적으로, 증착 질화물 스트레인 유도 라이너의 응력 상태는 SiH4/N2/He 가스 유량, 압력, RF 전력 및 전극 간극과 같은 증착 조건을 변경함으로써 설정될 수 있다. 다른 예에서, 급속 열화학 기상 증착(RTCVD)은 내부 인장 스트레인을 가진 질화물 인장 스트레인 유도 라이너(25)를 제공할 수 있다. RTCVD에 의해 증착된 질화물 인장 스트레인 유도 라이너(25) 내에서 생성된 내부 인장 스트레인의 크기는 증착 조건을 변경함으로써 조절될 수 있다. 더 구체적으로, 질화물 인장 스트레인 유도 라이너(25) 내에서 인장 스트레인의 크기는 전구체 조성, 전구체 유량 및 온도와 같은 증착 조건을 변경함으로써 설정될 수 있다.Preferably, the tensile strain induction liner 25 comprises a nitride, such as Si 3 N 4, and the processing conditions of the deposition process are selected to provide true tensile strain in the deposited layer. For example, plasma enhanced chemical vapor deposition (PECVD) can provide nitride stress inducing liners with intrinsic tensile strain. The stress state of the nitride stress inducing liner deposited by PECVD can be controlled by changing the deposition conditions to change the reaction rate in the deposition chamber. More specifically, the stress state of the deposited nitride strain induction liner can be set by changing deposition conditions such as SiH 4 / N 2 / He gas flow rate, pressure, RF power, and electrode gap. In another example, rapid thermal chemical vapor deposition (RTCVD) can provide a nitride tensile strain inducing liner 25 with an internal tensile strain. The size of the internal tensile strain produced in the nitride tensile strain induced liner 25 deposited by RTCVD can be adjusted by changing the deposition conditions. More specifically, the size of the tensile strain in the nitride tensile strain induction liner 25 may be set by changing deposition conditions such as precursor composition, precursor flow rate and temperature.

인장 스트레인 유도 웰(30)은 각각의 소스 및 드레인 영역(13, 14)의 장치 채널(12) 부근에 위치된다. 인장 스트레인 유도 웰(30)은 탄소가 도핑된 실리콘(Si:C) 또는 탄소가 도핑된 실리콘 게르마늄(SiGe:C)을 포함할 수 있다. 진성 인장 Si:C를 포함한 인장 스트레인 유도 웰(30)은 완화 기판(85)의 오목부 위에 에피택셜적으로 성장될 수 있다.Tensile strain induction wells 30 are located near the device channel 12 of the respective source and drain regions 13, 14. The tensile strain induction well 30 may include carbon doped silicon (Si: C) or carbon doped silicon germanium (SiGe: C). Tensile strain induction wells 30 including intrinsic tensile Si: C may be grown epitaxially over the recesses of the relaxed substrate 85.

인장 스트레인 유도 웰(30)은 인장 스트레인 유도 라이너(25)와 함께 장치 채널(12) 내에서 nFET 장치 채널(12)에 평행한 방향으로 단일축 인장 스트레인을 생성한다. 인장 스트레인 유도 라이너(25)와 스트레인 유도 웰(30)의 조합은 장치 채널(12)에서 약 100 MPa 내지 약 2000 MPa 범위의 단일축 압축 스트레인을 생성하고, 여기에서, 단일축 스트레인의 방향은 장치 채널(12)의 길이에 평행하다. 도 1에 도시된 구조물 형성 방법은, 도 6에 도시된 구조물 형성 방법이 앞의 실시예의 스트레인드 기판이 아닌 완화 기판(85)을 포함한다는 점을 제외하고, 도 6에 도시된 구조를 제공하기 위해 적용될 수 있다.Tensile strain induction well 30, along with tensile strain induction liner 25, creates a monoaxial tensile strain in a direction parallel to nFET device channel 12 within device channel 12. The combination of tensile strain induced liner 25 and strain induced well 30 produces a single axial compressive strain in the device channel 12 in the range of about 100 MPa to about 2000 MPa, where the direction of the single axial strain is It is parallel to the length of the channel 12. The structure forming method shown in FIG. 1 provides the structure shown in FIG. 6 except that the structure forming method shown in FIG. 6 includes a relaxed substrate 85 rather than the strained substrate of the previous embodiment. Can be applied for

도 7을 참조하면, 본 발명의 다른 실시예에서, 완화 기판(85)의 장치 채널(12) 부분에 단일축 압축 스트레인을 가진 p형 전계 효과 트랜지스터(pFET)(45)가 제공되는데, 여기에서, 단일축 압축 스트레인은 장치 채널(12)의 길이에 평행한 방향에 있다. 압축 스트레인 유도 라이너(55)는 압축 스트레인 유도 웰(60)과 함께 완화 기판(85)의 장치 채널(12) 부분을 따르는 압축 단일축 스트레인을 생성하고, 여기에서 장치 채널에 평행한 단일축 압축 스트레인은 pFET 장치(45)에서 캐리어 이동도 증가를 제공한다.Referring to FIG. 7, in another embodiment of the present invention, a p-type field effect transistor (pFET) 45 having a single-axis compression strain in the portion of the device channel 12 of the relaxation substrate 85 is provided, where The single axial compression strain is in a direction parallel to the length of the device channel 12. Compression strain induction liner 55, along with compression strain induction well 60, produces a compressive uniaxial strain along the device channel 12 portion of the relaxed substrate 85, where the uniaxial compression strain is parallel to the device channel. Provides increased carrier mobility in the pFET device 45.

완화 기판(85)은 도 6에 도시된 완화 기판과 유사하다. 압축 스트레인 유도 웰(60)과 함께 압축 스트레인 유도 라이너(55)를 적용하면 장치 채널(12)에 평행한 방향으로 단일축 압축 스트레인을 생성한다. 그러므로, 장치 채널(12)을 가로지르는 pFET 장치(45) 내에서의 격자 상수는 장치 채널(12)을 따르는 격자 상수보다 더 크다.The relaxed substrate 85 is similar to the relaxed substrate shown in FIG. 6. Applying the compression strain induction liner 55 together with the compression strain induction well 60 produces a single axial compression strain in a direction parallel to the device channel 12. Therefore, the lattice constant in pFET device 45 across device channel 12 is greater than the lattice constant along device channel 12.

압축 스트레인 유도 라이너(55)는 질화물, 산화물, 붕소 인산염 실리케이트 유리와 같은 도핑된 산화물, Al2O3, HfO2, ZrO2, HfSiO, 반도체 처리에서 일반적인 기타의 유전체 물질 또는 이들의 임의의 조합을 포함할 수 있다. 압축 스트레인 유도 라이너(55)는 약 10 nm 내지 약 100 nm의 범위, 바람직하게는 약 50 nm의 두께를 가질 수 있다. 압축 스트레인 유도 라이너(55)는 플라즈마 엔헌스드 화학 기상 증착(PECVD)에 의해 증착될 수 있다.Compression strain induction liner 55 may be formed of nitride, oxide, doped oxide, such as boron phosphate silicate glass, Al 2 O 3 , HfO 2 , ZrO 2 , HfSiO, other dielectric materials common in semiconductor processing, or any combination thereof. It may include. Compression strain induction liner 55 may have a thickness in the range of about 10 nm to about 100 nm, preferably about 50 nm. Compression strain induction liner 55 may be deposited by plasma enhanced chemical vapor deposition (PECVD).

바람직하게, 압축 스트레인 유도 라이너(55)는 Si3N4와 같은 질화물을 포함하고, 증착 처리의 처리 조건은 증착된 층 내에서 진성 인장 스트레인을 제공하도록 선택된다. 예를 들어서, 플라즈마 엔헌스드 화학 기상 증착(PECVD)은 압축 내부 응력을 가진 질화물 응력 유도 라이너를 제공할 수 있다. 증착된 질화물 응력 유도 라이너의 응력 상태는 증착실에서 반응 속도를 변경하도록 증착 조건을 변경함으로써 설정될 수 있고, 여기에서,증착 조건은 SiH4/N2/He 가스 유량, 압력, RF 전력 및 전극 간극을 포함한다.Preferably, the compressive strain induction liner 55 comprises a nitride such as Si 3 N 4, and the processing conditions of the deposition process are selected to provide intrinsic tensile strain in the deposited layer. For example, plasma enhanced chemical vapor deposition (PECVD) can provide nitride stress inducing liners with compressive internal stress. The stress state of the deposited nitride stress inducing liner can be set by changing the deposition conditions to change the reaction rate in the deposition chamber, where the deposition conditions are SiH 4 / N 2 / He gas flow rate, pressure, RF power and electrodes. Includes gaps.

압축 스트레인 유도 웰(60)은 각각의 소스 및 드레인 영역(13, 14)에서 장치 채널(12)에 인접하게 위치된다. 압축 스트레인 유도 웰(60)은 SiGe를 포함할 수 있다. 진성 압축 SiGe를 포함한 압축 스트레인 유도 웰(60)은 완화 기판(85)의 오목부 위에 에피택셜적으로 성장될 수 있다.Compression strain induction well 60 is located adjacent to device channel 12 in respective source and drain regions 13, 14. Compression strain induction well 60 may comprise SiGe. Compression strain induction wells 60, including intrinsic compression SiGe, may be epitaxially grown over recesses in the relaxed substrate 85.

압축 스트레인 유도 라이너(55)와 압축 스트레인 유도 웰(60)의 조합은 장치 채널(12) 상에서 약 100 MPa 내지 약 2000 MPa 범위의 단일축 압축 스트레인을 생성하고, 여기에서, 단일축 압축 스트레인의 방향은 장치 채널(12)의 길이에 평행하 다. 도 2에 도시된 구조물 형성 방법은, 도 7에 도시된 구조물 형성 방법이 완화 기판(85)을 포함한다는 점을 제외하고, 도 7에 도시된 구조물을 제공하기 위해 적용될 수 있다.The combination of compression strain induction liner 55 and compression strain induction well 60 produces a single axial compression strain in the range of about 100 MPa to about 2000 MPa on device channel 12, where the direction of the uniaxial compression strain Is parallel to the length of the device channel 12. The structure forming method shown in FIG. 2 may be applied to provide the structure shown in FIG. 7 except that the structure forming method shown in FIG. 7 includes a relaxation substrate 85.

도 8을 참조하면, 본 발명의 다른 실시예에서, 완화 기판 영역(150)의 장치 채널(12)을 따라 단일축 스트레인을 가진 적어도 하나의 전계 효과 트랜지스터(FET)(151)와 2축 스트레인드 기판 영역(160)의 장치 채널(12)을 따라 단일축 스트레인을 가진 적어도 하나의 FET(149)를 포함한 CMOS 구조물이 제공된다.Referring to FIG. 8, in another embodiment of the present invention, two-axis strain with at least one field effect transistor (FET) 151 having a single-axis strain along the device channel 12 of the relaxed substrate region 150. A CMOS structure is provided that includes at least one FET 149 with a single axis strain along the device channel 12 of the substrate region 160.

완화 기판 영역(150)에서의 단일축 스트레인은 FET(151) 위의 스트레인 유도 라이너(152)와 FET(151) 부근의 스트레인 유도 웰(153)의 조합에 의해 제공된다. 스트레인 유도 라이너(152)와 스트레인 유도 웰(153)은 도 6을 참조하여 위에서 설명한 바와 같이 완화 반도체 표면(85)의 장치 채널(12) 상에 인장 스트레인을 유도하도록, 또는 도 7을 참조하여 위에서 설명한 바와 같이 완화 반도체 표면(85)의 장치 채널(12) 상에 압축 스트레인을 유도하도록 처리될 수 있다.Uniaxial strain in the relaxed substrate region 150 is provided by a combination of strain induced liner 152 over FET 151 and strain induced well 153 near FET 151. Strain induction liner 152 and strain induction well 153 may be used to induce tensile strain on device channel 12 of relaxed semiconductor surface 85 as described above with reference to FIG. 6, or from above with reference to FIG. 7. As described, it may be treated to induce compressive strain on device channel 12 of relaxed semiconductor surface 85.

2축 스트레인드 기판 영역(160)의 단일축 스트레인은 스트레인 유도 라이너(161) 및/또는 스트레인 유도 웰(154)과 장치 채널(12) 하부의 스트레인 유도층(155)의 조합에 의해 제공된다. 2축 스트레인드 기판 영역(160) 내의 스트레인 유도층(155)은 탄소 도핑 실리콘(Si:C) 또는 탄소 도핑 실리콘 게르마늄(SiGe:C)을 포함하여 도 3을 참조하여 위에서 설명한 바와 같이 2축 압축 스트레인드 반도체 표면을 제공할 수 있고, 또는 실리콘 게르마늄(SiGe)을 포함하여 도 1 및 도 2를 참조하여 위에서 설명한 바와 같이 2축 인장 스트레인드 반도체 표면을 제공할 수 있다. 진성 인장 스트레인드 또는 진성 압축 스트레인드 유전체 충전재를 포함한 격리 영역(170)은 2축 스트레인드 기판 영역(160) 내에서 생성되는 2축 스트레인을 증가시킬 수 있다.Uniaxial strain in biaxial strain substrate region 160 is provided by a combination of strain guide liner 161 and / or strain guide well 154 and strain guide layer 155 under device channel 12. Strain induction layer 155 in biaxial strained substrate region 160 includes carbon doped silicon (Si: C) or carbon doped silicon germanium (SiGe: C) as described above with reference to FIG. A strained semiconductor surface can be provided or a biaxial tensile strained semiconductor surface can be provided as described above with reference to FIGS. 1 and 2, including silicon germanium (SiGe). Isolation regions 170, including intrinsic tensile strains or intrinsic compressive strained dielectric filler, can increase the biaxial strain generated within biaxial strained substrate region 160.

2축 스트레인드 기판 영역(160) 내의 스트레인 유도 웰(154)은 실리콘 게르마늄(SiGe)을 포함하여, 도 2 및 도 3을 참조하여 위에서 설명한 바와 같이, 2축 스트레인드 기판 영역(160)의 장치 채널(12)에 압축 단일축 스트레인을 제공할 수 있다. 스트레인 유도 웰(154)은 또한 탄소 도핑 실리콘(Si:C) 또는 탄소 도핑 실리콘 게르마늄(SiGe:C)을 포함하여, 도 1을 참조하여 위에서 설명한 바와 같이, 2축 스트레인드 기판 영역(160)의 장치 채널(12)에 인장 단일축 스트레인을 제공할 수 있다. 스트레인 유도 라이너(161)는 도 1 내지 도 3을 참조하여 위에서 설명한 바와 같이 2축 스트레인드 기판 영역(160)의 장치 채널(12)에 인장 또는 압축 단일축 스트레인을 제공하도록 2축 스트레인드 기판 영역(160)의 FET(149) 위에 형성될 수 있다.Strain induction well 154 in biaxial strained substrate region 160 includes silicon germanium (SiGe), and as described above with reference to FIGS. 2 and 3, the device of biaxial strained substrate region 160. Compressed uniaxial strain can be provided in the channel 12. Strain induction well 154 also includes carbon doped silicon (Si: C) or carbon doped silicon germanium (SiGe: C), as described above with reference to FIG. 1, of biaxial strained substrate region 160. Tensile monoaxial strains can be provided in the device channel 12. Strain induction liner 161 is a biaxial strained substrate region to provide tensile or compressive uniaxial strain to device channel 12 of biaxial strained substrate region 160 as described above with reference to FIGS. Over FET 149 of 160.

도 8에 도시된 CMOS 구조는 스트레인 유도층이 완화 기판 영역(150)에 존재하지 않는다는 점을 제외하고 도 7에 도시된 CMOS 구조를 제공하기 위해 사용된 방법과 유사한 방법을 이용하여 형성될 수 있다. 대안적으로, 스트레인 유도층은 스트레인 유도층 위의 반도체 표면이 그 임계 두께 이상의 두께로 성장되는 한 완화 기판 영역(150)에 존재할 수 있다.The CMOS structure shown in FIG. 8 may be formed using a method similar to the method used to provide the CMOS structure shown in FIG. 7 except that no strain inducing layer is present in the relaxed substrate region 150. . Alternatively, the strain inducing layer may be present in the relaxed substrate region 150 as long as the semiconductor surface over the strain inducing layer is grown to a thickness above its critical thickness.

하기의 예들은 본 발명을 추가로 설명하고 그로부터 발생할 수 있는 장점들을 설명하기 위한 것이다. 이 예들은 단지 설명을 위한 것이며, 따라서 본 발명은 이하에서 설명하는 예들에 제한되지 않는다.The following examples are intended to further illustrate the invention and illustrate the advantages that may arise therefrom. These examples are for illustrative purposes only, and thus the invention is not limited to the examples described below.

예 1Example 1

2축 스트레인드 SGOI 기판상에서 압축 또는 인장 유전체 캐핑층의 형성Formation of Compressed or Tensile Dielectric Capping Layer on Biaxial Strained SGOI Substrates

이 예에서, 유전체 캐핑층(capping layer)(압축 또는 인장 스트레인 유도층)은 FET 채널을 따라 단일축 스트레인을 도입함으로써 구동 전류를 향상시키기 위해 사용되었다. 상기 유전체 캐핑층이 SGOI FET 상에 증착될 때, 격자 구조는 2축 인장 스트레인과 더 작은 단일축 인장 또는 압축 응력의 조합에 응답하여 왜곡되었다. 도 9a는 2축 인장 스트레인드 실리콘을 개략적으로 도시한 것이고, 여기에서, 세로 방향의 격자 치수(x 방향, 채널에 평행함)는 가로 방향 격자 치수(y 방향, 동일 평면 내이고 장치 채널에 수직함) 및 직각 격자 치수(z 방향, 채널 평면 외측)와 동일하였다. 도 9b는 도 9a에 도시된 2축 인장 스트레인드 실리콘 기판의 격자 대칭을 도시한 것이고, 채널을 따르는 중첩 단일축 인장 스트레인은 가로 방향 격자 치수 및 직각 격자 치수보다 더 큰 세로 방향 격자 치수를 발생한다. 도 9c는 도 9a에 도시된 2축 인장 스트레인드 실리콘 기판의 격자 대칭을 도시한 것이고, 채널을 따르는 중첩 단일축 압축 스트레인은 세로 방향 격자 치수 및 직각 격자 치수보다 더 큰 가로 방향 격자 치수를 발생한다.In this example, a dielectric capping layer (compression or tensile strain inducing layer) was used to improve the drive current by introducing single axial strain along the FET channel. When the dielectric capping layer was deposited on the SGOI FET, the grating structure was distorted in response to the combination of biaxial tensile strain and smaller uniaxial tensile or compressive stress. 9A schematically illustrates biaxial tensile strained silicon, wherein the longitudinal lattice dimension (x direction, parallel to the channel) is the transverse lattice dimension (y direction, in the same plane and in the device channel). Upright) and rectangular grid dimensions (z direction, outside the channel plane). FIG. 9B illustrates the lattice symmetry of the biaxial tensile strained silicon substrate shown in FIG. 9A, wherein the overlapping uniaxial tensile strain along the channel results in a longitudinal lattice dimension greater than the transverse lattice dimension and the rectangular lattice dimension . FIG. 9C illustrates the lattice symmetry of the biaxial tensile strained silicon substrate shown in FIG. 9A, wherein the overlapping uniaxial compressive strain along the channel results in a larger transverse lattice dimension than the longitudinal lattice dimension and the rectangular lattice dimension .

장치들은 300 mm 직경의 열적으로 혼합된 초박형 SGOI 기판 상에서 응력 유도 유전체 캐핑층(스트레인 유도 라이너)으로 제조되었다. 기판들은 Ge 몰분율 [Ge] 및 웨이퍼의 횡단 두께에 있어서 훌륭한 균일성을 나타내었다([Ge]의 Std. Dev는 300 mm 직경의 기판을 가로질러서 0.18%이었고, 기판 두께의 Std. Dev는 300 mm 직경의 기판을 가로질러서 0.85 nm이었다). FET(n형 및 p형)는 55 nm 채널 길이를 가진 기판 상에 제공되었다. 그 다음에 인장 또는 압축 유전체 캐핑층(스트레인 유도 라이너)가 FET 위에 형성되었다.The devices were fabricated with a stress induced dielectric capping layer (strain induced liner) on a 300 mm diameter thermally mixed ultra thin SGOI substrate. The substrates showed excellent uniformity in Ge mole fraction [Ge] and the transverse thickness of the wafer (Std. Dev of [Ge] was 0.18% across a 300 mm diameter substrate and Std. Dev of substrate thickness was 300 mm). 0.85 nm across the diameter substrate). FETs (n-type and p-type) were provided on a substrate having a 55 nm channel length. A tensile or compressive dielectric capping layer (strain induced liner) was then formed over the FET.

도 10은 인장 스트레인 유도 유전체 캐핑층이 중첩된 인장 세로 방향 스트레인(장치 채널에 평행함)을 가진 nFET 장치(200) 및 압축 스트레인 유도 유전체 캐핑층이 중첩된 압축 세로 방향 스트레인(장치 채널에 평행함)을 가진 nFET 장치(250)에 대한 Ion 대 Ioff 측정 결과를 도시한 것이다. 1.0 V의 전원 전압이 nFET 장치에 인가되었고, 그 결과 도 10에 도시된 Ion 대 Ioff 데이터를 얻었다. 단일축 인장은 스트레인드 Si nFET 장치의 구동 전류를 더욱 향상시켰다. 도 10은 유전체 캐핑층을 압축 스트레인 유도 유전체 캐핑층으로부터 인장 스트레인 유도 유전체 캐핑층까지 변화시킴으로써 SGOI nFET가 약 10%의 구동 전류 향상을 얻을 수 있음을 보여준다.FIG. 10 shows an nFET device 200 having a tensile longitudinal strain (parallel to the device channel) overlaid with a tensile strain induced dielectric capping layer and a compressed longitudinal strain (parallel to the device channel) with a compressive strain induced dielectric capping layer overlaid. Shows the I on versus I off measurement results for nFET device 250 with < RTI ID = 0.0 > A 1.0 V supply voltage was applied to the nFET device, resulting in I on versus I off data shown in FIG. 10. Uniaxial tension further improved the drive current of the strained Si nFET device. FIG. 10 shows that by changing the dielectric capping layer from the compressive strain induced dielectric capping layer to the tensile strain induced dielectric capping layer, the SGOI nFET can achieve a drive current improvement of about 10%.

도 11을 참조하면, 인장 스트레인 유도 유전체 캐핑층이 중첩된 인장 세로 방향 스트레인(장치 채널에 평행함)을 가진 pFET 장치(300) 및 압축 스트레인 유도 유전체 캐핑층이 중첩된 압축 세로 방향 스트레인(장치 채널에 평행함)을 가진 pFET 장치(350)에 대한 Ion 대 Ioff를 측정하였다. 0.9 V의 전원 전압이 pFET 장치에 인가되었고, 그 결과 도 11에 도시된 Ion 대 Ioff 데이터를 얻었다. 단일축 압축은 스트레인드 Si pFET 장치의 구동 전류를 더욱 향상시켰다. 도 11은 유전체 캐핑층을 인장 스트레인 유도 유전체 캐핑층으로부터 압축 스트레인 유도 유전체 캐핑층 까지 변화시킴으로써 SGOI pFET가 약 5%의 구동 전류 향상을 얻을 수 있음을 보여준다.Referring to FIG. 11, a pFET device 300 having a tensile longitudinal strain (parallel to the device channel) overlaid with a tensile strain induced dielectric capping layer and a compressed longitudinal strain (device channel with overlapped compressive strain induced dielectric capping layer) I on versus I off for the pFET device 350 with the A supply voltage of 0.9 V was applied to the pFET device, resulting in I on to I off data shown in FIG. 11. Single-axis compression further improves the drive current of strained Si pFET devices. FIG. 11 shows that the SGOI pFET can achieve about 5% drive current improvement by changing the dielectric capping layer from the tensile strain induced dielectric capping layer to the compressive strain induced dielectric capping layer.

지금까지 본 발명을 양호한 실시예에 대하여 특별히 도시하고 설명하였지만, 당업자라면 본 발명의 정신 및 범위에서 벗어나지 않고 본 발명의 형태 및 세부 사항을 여러 가지로 변화시킬 수 있다는 것을 이해할 것이다. 그러므로, 본 발명은 여기에서 도시하고 설명한 형태 및 세부 사항으로 한정되는 것이 아니고 첨부된 특허 청구 범위에 의해서만 한정된다.While the present invention has been particularly shown and described with respect to preferred embodiments, it will be understood by those skilled in the art that various changes in form and details of the invention can be made without departing from the spirit and scope of the invention. Therefore, the invention is not limited to the forms and details shown and described herein, but only by the appended claims.

Claims (40)

반도체 장치에 있어서,In a semiconductor device, 스트레인 유도층 위에 스트레인드 반도체 층을 포함하는 기판-상기 스트레인 유도층은 상기 스트레인드 반도체 층에서 2축 스트레인을 생성하는 것임-과;A substrate comprising a strained semiconductor layer over a strain induced layer, wherein the strain induced layer produces biaxial strain in the strained semiconductor layer; 상기 스트레인드 반도체 층의 장치 채널 부분 위에 게이트 전도체를 포함하는 적어도 하나의 게이트 영역-상기 장치 채널 부분은 상기 적어도 하나의 게이트 전도체 부근에서 소스 및 드레인 영역을 분리하는 것임-과;At least one gate region comprising a gate conductor over a device channel portion of the strained semiconductor layer, wherein the device channel portion separates a source and drain region near the at least one gate conductor; 상기 적어도 하나의 게이트 영역 위에 위치된 스트레인 유도 라이너-상기 스트레인 유도 라이너는 상기 적어도 하나의 게이트 영역 아래에 있는 상기 스트레인드 반도체 층의 장치 채널 부분에 단일축 스트레인을 생성하는 것임-를 포함하는 반도체 장치.A strain induced liner positioned over the at least one gate region, wherein the strain induced liner is to create a uniaxial strain in a device channel portion of the strained semiconductor layer below the at least one gate region. . 제1항에 있어서, 상기 스트레인 유도 라이너는 산화물, 도핑 산화물, 질화물, Al2O3, HfO2, ZrO2, HfSiO, 또는 이들의 조합을 포함하는 것인 반도체 장치.The semiconductor device of claim 1, wherein the strain inducing liner comprises an oxide, a doped oxide, a nitride, Al 2 O 3 , HfO 2 , ZrO 2 , HfSiO, or a combination thereof. 제2항에 있어서, 상기 스트레인 유도층은 원자 중량%로 약 5% 내지 약 50% 범위의 농도로 존재하는 Ge를 가진 SiGe를 포함하고, 상기 스트레인드 반도체 층에서 상기 2축 스트레인을 인장 상태로 생성하며 상기 스트레인 유도 라이너는 인장 상태에 있고, 상기 스트레인 유도 라이너는 상기 스트레인 유도층과 함께 상기 장치 채널에 평행한 방향으로 인장 상태의 상기 단일축 스트레인을 제공하는 것인 반도체 장치.The strain-inducing layer of claim 2, wherein the strain-inducing layer comprises SiGe having Ge present at a concentration in the range of about 5% to about 50% by weight of atomic weight, wherein the biaxial strain in the strained semiconductor layer is tensioned. And wherein said strain inducing liner is in tension and said strain inducing liner provides said uniaxial strain in tension in a direction parallel to said device channel with said strain induction layer. 제2항에 있어서, 상기 스트레인 유도층은 탄소가 도핑된 실리콘을 포함하고, 상기 탄소는 원자 중량%로 약 1% 내지 약 6% 범위의 농도로 존재하고 상기 스트레인드 반도체 층에서 상기 2축 스트레인을 압축 상태로 생성하며 상기 스트레인 유도 라이너는 압축 상태에 있고, 상기 스트레인 유도 라이너는 상기 스트레인 유도층과 함께 상기 장치 채널에 평행한 방향으로 압축 상태의 상기 단일축 스트레인을 제공하는 것인 반도체 장치.The strain-inducing layer of claim 2, wherein the strain inducing layer comprises silicon doped with carbon, wherein the carbon is present at an atomic weight percent in a concentration ranging from about 1% to about 6% and in the strained semiconductor layer. Wherein the strain-inducing liner is in a compressed state, and the strain-inducing liner, together with the strain-inducing layer, provides the single-axis strain in a compressed state in a direction parallel to the device channel. 제3항에 있어서, 진성 인장 스트레인드 유전체 물질을 포함한 격리 영역을 더 포함하고, 상기 진성 인장 스트레인드 유전체 물질은 인장 상태에 있는 상기 스트레인드 반도체 층의 상기 2축 스트레인을 증가시키는 것인 반도체 장치.4. The semiconductor device of claim 3, further comprising an isolation region comprising an intrinsic tensile strained dielectric material, wherein the intrinsic tensile strained dielectric material increases the biaxial strain of the strained semiconductor layer in tension. . 제4항에 있어서, 진성 압축 스트레인드 유전체 물질을 포함한 격리 영역을 더 포함하고, 상기 진성 압축 스트레인드 유전체 물질은 압축 상태에 있는 상기 스트레인드 반도체 층의 상기 2축 스트레인을 증가시키는 것인 반도체 장치.5. The semiconductor device of claim 4, further comprising an isolation region comprising an intrinsic compressive strained dielectric material, wherein the intrinsic compressive strained dielectric material increases the biaxial strain of the strained semiconductor layer in a compressed state. . 반도체 장치에 있어서,In a semiconductor device, 스트레인 유도층 위에 스트레인드 반도체 층을 포함하는 기판-상기 스트레인 유도층은 상기 스트레인드 반도체 층에서 2축 스트레인을 생성하는 것임-과;A substrate comprising a strained semiconductor layer over a strain induced layer, wherein the strain induced layer produces biaxial strain in the strained semiconductor layer; 상기 기판의 상기 스트레인드 반도체 층의 장치 채널 부분 위에 게이트 전도체를 포함하는 적어도 하나의 게이트 영역-상기 장치 채널은 소스 및 드레인 영역을 분리하는 것임-과;At least one gate region comprising a gate conductor over a device channel portion of the strained semiconductor layer of the substrate, the device channel separating a source and a drain region; 상기 적어도 하나의 게이트 영역 부근의 스트레인 유도 웰-상기 적어도 하나의 게이트 영역 부근의 상기 스트레인 유도 웰은 상기 스트레인드 반도체 층의 장치 채널 부분에 단일축 스트레인을 생성하는 것임-을 포함하는 반도체 장치.And a strain induced well near the at least one gate region, wherein the strain induced well near the at least one gate region creates a single axial strain in a device channel portion of the strained semiconductor layer. 제7항에 있어서, 상기 스트레인 유도층은 약 5% 내지 약 50% 범위의 농도로 존재하는 Ge를 가진 SiGe를 포함하고, 상기 스트레인드 반도체 층에서 상기 2축 스트레인을 인장 상태로 생성하며, 탄소가 도핑된 실리콘 또는 탄소가 도핑된 실리콘 게르마늄을 포함한 상기 스트레인 유도 웰은 압축 상태에 있고, 상기 스트레인 유도 웰은 상기 스트레인 유도층과 함께 상기 장치 채널에 평행한 방향으로 인장 상태의 상기 단일축 스트레인을 제공하는 것인 반도체 장치.8. The strain inducing structure of claim 7, wherein the strain inducing layer comprises SiGe having Ge present in a concentration ranging from about 5% to about 50%, producing the biaxial strain in a tensile state in the strained semiconductor layer, and The strain inducing well comprising the doped silicon or carbon doped silicon germanium is in a compressed state, and the strain inducing well, together with the strain inducing layer, removes the uniaxial strain in tension in a direction parallel to the device channel. It provides a semiconductor device. 제7항에 있어서, 상기 스트레인 유도층은 탄소가 도핑된 실리콘을 포함하고, 상기 탄소는 약 1% 내지 약 6% 범위의 농도로 존재하고 상기 스트레인드 반도체 층에서 상기 2축 스트레인을 압축 상태로 생성하며, 상기 스트레인 유도 웰은 SiGe를 포함하고, 상기 스트레인 유도 웰은 상기 스트레인 유도층과 함께 상기 장치 채널 에 평행한 방향으로 압축 상태의 상기 단일축 스트레인을 제공하는 것인 반도체 장치.8. The strain-inducing layer of claim 7, wherein the strain inducing layer comprises silicon doped with carbon, the carbon being present at a concentration ranging from about 1% to about 6% and compressing the biaxial strain in the strained semiconductor layer. And the strain inducing well comprises SiGe, the strain inducing well together with the strain inducing layer to provide the single axial strain in a compressed state in a direction parallel to the device channel. 제8항에 있어서, 진성 인장 스트레인드 유전체 물질을 포함한 격리 영역을 더 포함하고, 상기 진성 인장 스트레인드 유전체 물질은 인장 상태에 있는 상기 스트레인드 반도체 층의 상기 2축 스트레인을 증가시키는 것인 반도체 장치.The semiconductor device of claim 8, further comprising an isolation region comprising an intrinsic tensile strained dielectric material, wherein the intrinsic tensile strained dielectric material increases the biaxial strain of the strained semiconductor layer in tension. . 제9항에 있어서, 진성 압축 스트레인드 유전체 물질을 포함한 격리 영역을 더 포함하고, 상기 진성 압축 스트레인드 유전체 물질은 압축 상태에 있는 상기 스트레인드 반도체 층의 상기 2축 스트레인을 증가시키는 것인 반도체 장치.10. The semiconductor device of claim 9, further comprising an isolation region comprising an intrinsic compressive strained dielectric material, wherein the intrinsic compressive strained dielectric material increases the biaxial strain of the strained semiconductor layer in a compressed state. . 반도체 장치에 있어서,In a semiconductor device, 압축 스트레인드 반도체 표면 및 인장 스트레인드 반도체 표면을 포함하는 기판-상기 압축 스트레인드 반도체 표면 및 인장 스트레인드 반도체 표면은 2축으로 스트레인된 것임-과;A substrate comprising a compressive strained semiconductor surface and a tensile strained semiconductor surface, wherein the compressive strained semiconductor surface and the tensile strained semiconductor surface are biaxially strained; 상기 기판의 상기 압축 스트레인드 반도체 층의 장치 채널 부분 위에 게이트 전도체를 포함하는 상기 2축 압축 스트레인드 반도체 층 위의 적어도 하나의 게이트 영역과;At least one gate region over said biaxial compressive strained semiconductor layer comprising a gate conductor over a device channel portion of said compressive strained semiconductor layer of said substrate; 상기 기판의 상기 인장 스트레인드 반도체 층의 장치 채널 부분 위에 게이트 전도체를 포함하는 상기 인장 스트레인드 반도체 층 위의 적어도 하나의 게이트 영 역과;At least one gate region over said tensile strained semiconductor layer comprising a gate conductor over a device channel portion of said tensile strained semiconductor layer of said substrate; 상기 압축 스트레인드 반도체 표면 상의 상기 적어도 하나의 게이트 영역 위의 압축 스트레인 유도 라이너-상기 압축 스트레인 유도 라이너는 상기 압축 스트레인 반도체 표면의 상기 장치 채널 부분에 평행한 방향으로 상기 압축 스트레인드 반도체 층에서 압축 단일축 스트레인을 생성하는 것임-와;A compressive strain inducing liner over the at least one gate region on the compressive strain semiconductor surface—the compressive strain inducing liner is compressed in the compressive strain semiconductor layer in a direction parallel to the device channel portion of the compressive strain semiconductor surface To produce an axial strain; 상기 인장 스트레인드 반도체 층 상의 상기 적어도 하나의 게이트 영역 위의 인장 스트레인 유도 라이너-상기 인장 스트레인 유도 라이너는 상기 인장 스트레인드 반도체 층의 상기 장치 채널 부분에 평행한 방향으로 상기 인장 스트레인드 반도체 층에서 인장 단일축 스트레인을 생성하는 것임-를 포함하는 반도체 장치.Tensile strain inducing liner over the at least one gate region on the tensile strain semiconductor layer—the tensile strain inducing liner is tensile in the tensile strain semiconductor layer in a direction parallel to the device channel portion of the tensile strain semiconductor layer To produce a uniaxial strain. 제12항에 있어서, 상기 압축 스트레인 유도 라이너 및 상기 인장 스트레인 유도 라이너는 산화물, 도핑 산화물, 질화물, Al2O3, HfO2, ZrO2, HfSiO, 또는 이들의 조합을 포함하는 것인 반도체 장치.The semiconductor device of claim 12, wherein the compressive strain inducing liner and the tensile strain inducing liner comprise oxide, doped oxide, nitride, Al 2 O 3 , HfO 2 , ZrO 2 , HfSiO, or a combination thereof. 제13항에 있어서, 상기 기판의 상기 인장 스트레인드 반도체 층은 원자 중량%로 약 5% 내지 약 30% 범위의 농도로 존재하는 Ge를 가진 SiGe를 포함하는 압축 스트레인 유도층 위에 있고, 상기 기판의 상기 압축 스트레인드 반도체 층은 약 0.5% 내지 약 6% 범위의 농도로 존재하는 탄소가 도핑된 실리콘을 포함하는 인장 스트레인 유도층 위에 있는 것인 반도체 장치.15. The method of claim 13, wherein the tensile strained semiconductor layer of the substrate is over a compressive strain inducing layer comprising SiGe with Ge present at a concentration in the range of about 5% to about 30% by weight of atomic weight of the substrate. Wherein the compressive strained semiconductor layer is over a tensile strain inducing layer comprising carbon doped silicon present in a concentration ranging from about 0.5% to about 6%. 제12항에 있어서, 진성 압축 스트레인드 유전체 물질을 포함한 상기 압축 스트레인드 반도체 표면 부근의 격리 영역-상기 압축 스트레인드 반도체 표면 부근의 격리 영역은 상기 압축 스트레인드 반도체 표면의 압축 스트레인을 2축으로 증가시키는 것임-과;13. The method of claim 12, wherein the isolation region near the compressive strained semiconductor surface including intrinsic compressive strained dielectric material—an isolation region near the compressive strained semiconductor surface increases biaxially the compressive strain of the compressive strained semiconductor surface. To; 진성 압축 스트레인드 유전체 물질을 포함한 상기 2축 인장 스트레인드 반도체 표면 부근의 격리 영역-상기 2축 인장 스트레인드 반도체 표면 부근의 상기 격리 영역은 압축 상태에 있는 2축 스트레인을 증가시키는 것임-을 더 포함하는 반도체 장치.An isolation region near the biaxial tensile strained semiconductor surface including an intrinsic compressive strained dielectric material, wherein the isolation region near the biaxial tensile strained semiconductor surface is to increase the biaxial strain in a compressed state. Semiconductor device. 반도체 장치에 있어서,In a semiconductor device, 압축 스트레인드 반도체 표면 및 인장 스트레인드 반도체 표면을 포함하는 기판-상기 압축 스트레인드 반도체 표면 및 인장 스트레인드 반도체 표면은 2축으로 스트레인된 것임-과;A substrate comprising a compressive strained semiconductor surface and a tensile strained semiconductor surface, wherein the compressive strained semiconductor surface and the tensile strained semiconductor surface are biaxially strained; 상기 기판의 상기 압축 스트레인드 반도체 층의 상기 장치 채널 부분 위에 게이트 전도체를 포함하는 상기 압축 스트레인드 반도체 층 위의 적어도 하나의 게이트 영역과;At least one gate region over said compressive strained semiconductor layer comprising a gate conductor over said device channel portion of said compressive strained semiconductor layer of said substrate; 상기 기판의 상기 2축 인장 스트레인드 반도체 층의 장치 채널 부분 위에 게이트 전도체를 포함하는 상기 2축 인장 스트레인드 반도체 층 위의 적어도 하나의 게이트 영역과;At least one gate region over said biaxial tensile strained semiconductor layer comprising a gate conductor over a device channel portion of said biaxial tensile strained semiconductor layer of said substrate; 상기 2축 압축 스트레인드 반도체 표면 상의 상기 적어도 하나의 게이트 영역 부근의 압축 스트레인 유도 웰-상기 압축 스트레인 유도 웰은 상기 압축 스트레인 반도체 층에서 압축 단일축 스트레인을 생성하고, 상기 압축 단일축 스트레인은 상기 압축 스트레인드 반도체 표면의 상기 장치 채널 부분에 평행한 방향에 있는 것임-과;Compressive strain induced wells near the at least one gate region on the biaxial compressive strain semiconductor surface—the compressive strain induced wells produce a compressive monoaxial strain in the compressive strain semiconductor layer, the compressive monoaxial strain being compressed In a direction parallel to the device channel portion of the strained semiconductor surface; 상기 인장 스트레인드 반도체 층 상의 상기 적어도 하나의 게이트 영역 부근의 인장 스트레인 유도 웰-상기 인장 스트레인 유도 웰은 상기 인장 스트레인드 반도체 층의 인장 단일축 스트레인을 생성하고, 상기 인장 단일축 스트레인은 상기 인장 스트레인드 반도체 층의 상기 장치 채널 부분에 평행한 방향에 있는 것임-을 포함하는 반도체 장치.Tensile strain induced wells near the at least one gate region on the tensile strained semiconductor layer—The tensile strain induced wells produce a tensile uniaxial strain of the tensile strained semiconductor layer, and the tensile monoaxial strain is the tensile strain And in a direction parallel to the device channel portion of the semiconductor layer. 제16항에 있어서, 상기 기판의 상기 인장 스트레인드 반도체 층은 원자 중량%로 약 5% 내지 약 30% 범위의 농도로 존재하는 Ge를 가진 SiGe를 포함하는 압축 스트레인 유도층 위에 있고, 상기 기판의 상기 압축 스트레인드 반도체 층은 약 0.5% 내지 약 6% 범위의 농도로 존재하는 탄소가 도핑된 실리콘을 포함하는 인장 스트레인 유도층 위에 있는 것인 반도체 장치.17. The method of claim 16, wherein the tensile strained semiconductor layer of the substrate is over a compressive strain inducing layer comprising SiGe with Ge present at a concentration in the range of about 5% to about 30% in atomic weight percent, Wherein the compressive strained semiconductor layer is over a tensile strain inducing layer comprising carbon doped silicon present in a concentration ranging from about 0.5% to about 6%. 제17항에 있어서, 상기 압축 스트레인 유도 웰은 SiGe를 포함하고, 상기 인장 스트레인 유도 웰은 탄소가 도핑된 실리콘 또는 탄소가 도핑된 실리콘 게르마늄을 포함하는 것인 반도체 장치.18. The semiconductor device of claim 17, wherein the compressive strain inducing well comprises SiGe, and the tensile strain inducing well comprises carbon doped silicon or carbon doped silicon germanium. 제18항에 있어서, 진성 인장 스트레인드 유전체 물질을 포함한 상기 압축 스트레인드 반도체 표면 부근의 격리 영역-상기 압축 스트레인드 반도체 표면 부근의 상기 격리 영역은 인장 상태의 인장 2축 스트레인을 증가시키는 것임-과;19. The isolation region of claim 18, wherein said isolation region near said compressive strained semiconductor surface comprises an intrinsic tensile strained dielectric material, said isolation region near said compression strained semiconductor surface increasing tensile biaxial strain in tension. ; 진성 압축 스트레인드 유전체 물질을 포함한 상기 인장 스트레인드 반도체 표면 부근의 격리 영역-상기 인장 스트레인드 반도체 표면 부근의 상기 격리 영역은 인장 상태의 2축 스트레인을 증가시키는 것임-을 더 포함하는 반도체 장치.And an isolation region near the tensile strained semiconductor surface including an intrinsic compressive strained dielectric material, wherein the isolation region near the tensile strained semiconductor surface is to increase the biaxial strain in a tensile state. 반도체 장치에 있어서,In a semiconductor device, 반도체 기판과;A semiconductor substrate; 상기 반도체 기판의 장치 채널 부분 위의 게이트 전도체를 포함한 적어도 하나의 게이트 영역-상기 장치 채널 부분은 소스와 드레인 영역을 분리하는 것임-과;At least one gate region comprising a gate conductor over a device channel portion of the semiconductor substrate, the device channel portion separating a source and a drain region; 상기 적어도 하나의 게이트 영역 부근의 스트레인 유도 웰과;A strain induction well near said at least one gate region; 상기 적어도 하나의 게이트 영역에 위치된 스트레인 유도 라이너-상기 스트레인 유도 라이너 및 상기 스트레인 유도 웰은 상기 장치 채널에 평행한 방향으로 상기 반도체 기판 내에 있는 것임-를 포함하는 반도체 장치.And a strain induced liner positioned in the at least one gate region, wherein the strain induced liner and the strain induced well are in the semiconductor substrate in a direction parallel to the device channel. 제20항에 있어서, 상기 스트레인 유도 라이너는 산화물, 도핑 산화물, 질화물, Al2O3, HfO2, ZrO2, HfSiO를 포함하는 것인 반도체 장치.The semiconductor device of claim 20, wherein the strain inducing liner comprises an oxide, a doped oxide, a nitride, Al 2 O 3 , HfO 2 , ZrO 2 , HfSiO. 제21항에 있어서, 상기 반도체 기판은 약 5% 내지 약 50% 범위의 농도로 존재하는 Ge를 가진 SiGe를 포함하는 하부 스트레인 유도층에 의해 유도된 2축 인장 스트레인을 포함하고, 상기 스트레인 유도 웰은 탄소가 도핑된 실리콘 또는 탄소가 도핑된 실리콘 게르마늄을 포함하며, 상기 단일축 스트레인은 인장 상태에 있는 것인 반도체 장치.22. The strain inducing well of claim 21 wherein the semiconductor substrate comprises a biaxial tensile strain induced by a lower strain inducing layer comprising SiGe with Ge present at a concentration ranging from about 5% to about 50%, And silver-doped silicon or carbon-doped silicon germanium, wherein the uniaxial strain is in tension. 제21항에 있어서, 상기 반도체 기판은 탄소가 도핑된 실리콘을 포함하는 하부 스트레인 유도 라이너에 의해 유도된 2축 압축 스트레인을 포함하고, 상기 탄소는 약 1% 내지 약 6% 범위의 농도로 존재하며, 상기 스트레인 유도 웰은 SiGe를 포함하고, 상기 단일축 스트레인은 압축 상태에 있는 것인 반도체 장치.The semiconductor substrate of claim 21, wherein the semiconductor substrate comprises a biaxial compressive strain induced by a lower strain induced liner comprising silicon doped with carbon, the carbon being present at a concentration ranging from about 1% to about 6%. Wherein the strain inducing well comprises SiGe and the uniaxial strain is in a compressed state. 제20항에 있어서, 상기 반도체 기판은 Si, 스트레인드 Si, Si1-yCy, Si1-x-yGexCy, Si1-xGex, Si 합금, Ge, Ge 합금, GaAs, InAs, InP, 절연체상 실리콘(SOI) 기판 또는 절연체상 SiGe(SGOI) 기판을 포함하는 완화 결정 구조를 갖는 것인 반도체 장치.The method of claim 20, wherein the semiconductor substrate is Si, strained Si, Si 1-y C y , Si 1-xy Ge x C y , Si 1-x Ge x , Si alloy, Ge, Ge alloy, GaAs, InAs And a relaxed crystal structure comprising an InP, an insulator silicon (SOI) substrate, or an insulator SiGe (SGOI) substrate. 제24항에 있어서, 상기 스트레인 유도 라이너와 상기 스트레인 유도 웰은 내부 인장 스트레인을 갖고, 상기 스트레인 유도 웰은 탄소가 도핑된 실리콘 또는 탄 소가 도핑된 실리콘 게르마늄을 포함하며, 상기 스트레인 유도 라이너는 상기 스트레인 유도 웰과 함께 상기 반도체 기판의 상기 장치 채널 부분에 평행한 인장 단일축 스트레인을 생성하는 것인 반도체 장치.25. The strain inducing liner of claim 24 wherein the strain inducing liner and the strain inducing well have an internal tensile strain, the strain inducing well comprises carbon doped silicon or carbon doped silicon germanium, and the strain induction liner comprises And a tensile uniaxial strain parallel to the device channel portion of the semiconductor substrate with strain induced wells. 제24항에 있어서, 상기 스트레인 유도 라이너와 상기 스트레인 유도 웰은 내부 압축 스트레인을 포함하고, 상기 스트레인 유도 웰은 SiGe를 포함하며, 상기 단일축 스트레인은 압축 상태에 있는 것인 반도체 장치.25. The semiconductor device of claim 24, wherein the strain induced liner and the strain induced wells comprise internal compressive strain, the strain induced wells comprise SiGe, and the uniaxial strain is in a compressed state. 반도체 장치에 있어서,In a semiconductor device, 2축 스트레인드 반도체 표면을 가진 제1 장치 영역 및 완화 반도체 표면을 가진 제2 장치 영역을 포함하는 기판과;A substrate comprising a first device region having a biaxial strained semiconductor surface and a second device region having a relaxed semiconductor surface; 상기 2축 스트레인드 반도체 표면의 장치 채널 부분 위에 게이트 전도체를 가진, 상기 2축 스트레인드 반도체 표면 상의 적어도 하나의 게이트 영역과;At least one gate region on the biaxial strained semiconductor surface having a gate conductor over a device channel portion of the biaxial strained semiconductor surface; 상기 완화 반도체 표면의 장치 채널 부분 위에 게이트 전도체를 가진, 상기 완화 반도체 표면 상의 적어도 하나의 게이트 영역과;At least one gate region on said relaxed semiconductor surface having a gate conductor over a device channel portion of said relaxed semiconductor surface; 상기 완화 반도체 표면 위의 상기 적어도 하나의 게이트 영역 위 및 상기 2축 스트레인드 반도체 표면 위의 상기 적어도 하나의 게이트 영역 위의 스트레인 유도 라이너와;A strain induced liner over the at least one gate region over the relaxed semiconductor surface and over the at least one gate region over the biaxial strained semiconductor surface; 상기 완화 반도체 표면 위의 상기 적어도 하나의 게이트 영역 부근의 스트레인 유도 웰-상기 스트레인 유도 웰은 상기 스트레인 유도 라이너와 함께 상기 제2 장치 영역에서 상기 완화 반도체 표면의 상기 장치 채널 부분에 평행한 방향으로 단일축 스트레인을 생성하고, 상기 2축 스트레인드 반도체 표면은 상기 스트레인 유도 라이너와 함께 상기 제1 장치 영역에서 상기 2축 반도체 표면의 상기 장치 채널 부분에 평행한 방향으로 단일축 스트레인을 생성하는 것임-을 포함하는 반도체 장치.Strain induced wells near the at least one gate region on the relaxed semiconductor surface—the strain induced wells together with the strain induced liner in a direction parallel to the device channel portion of the relaxed semiconductor surface in the second device region. Creating an axial strain, wherein the biaxial strained semiconductor surface is to produce a monoaxial strain in a direction parallel to the device channel portion of the biaxial semiconductor surface in the first device region with the strain inducing liner. A semiconductor device comprising. 제27항에 있어서, 상기 스트레인 유도 웰은 상기 제1 장치 영역 및 상기 제2 장치 영역 내에 존재하는 것인 반도체 장치.28. The semiconductor device of claim 27, wherein the strain induced wells are in the first device region and the second device region. 반도체 장치에 있어서,In a semiconductor device, 2축 스트레인드 반도체 표면을 가진 제1 장치 영역 및 완화 반도체 표면을 가진 제2 장치 영역을 포함하는 기판과;A substrate comprising a first device region having a biaxial strained semiconductor surface and a second device region having a relaxed semiconductor surface; 상기 2축 스트레인드 반도체 표면의 장치 채널 부분 위에 게이트 전도체를 가진, 상기 2축 스트레인드 반도체 표면 상의 적어도 하나의 게이트 영역과;At least one gate region on the biaxial strained semiconductor surface having a gate conductor over a device channel portion of the biaxial strained semiconductor surface; 상기 완화 반도체 표면의 장치 채널 부분 위에 게이트 전도체를 가진, 상기 완화 반도체 표면 상의 적어도 하나의 게이트 영역과;At least one gate region on said relaxed semiconductor surface having a gate conductor over a device channel portion of said relaxed semiconductor surface; 상기 완화 반도체 표면 위의 상기 적어도 하나의 게이트 영역 부근 및 상기 2축 스트레인드 반도체 표면 위의 상기 적어도 하나의 게이트 영역 부근의 스트레인 유도 웰과;Strain induced wells near the at least one gate region on the relaxed semiconductor surface and near the at least one gate region on the biaxial strained semiconductor surface; 상기 완화 반도체 표면 위의 상기 적어도 하나의 게이트 영역 위의 스트레인 유도 라이너-상기 스트레인 유도 라이너는 상기 스트레인 유도 웰과 함께 상기 제2 장치 영역에서 상기 완화 반도체 표면의 상기 장치 채널 부분에 평행한 방향으로 단일축 스트레인을 생성하고, 상기 2축 스트레인드 반도체 표면은 상기 스트레인 유도 웰과 함께 상기 제1 장치 영역에서 상기 2축 반도체 표면의 상기 장치 채널 부분에 평행한 방향으로 단일축 스트레인을 생성하는 것임-를 포함하는 반도체 장치.Strain induced liner over the at least one gate region over the relaxed semiconductor surface—the strain induced liner is united with the strain induced well in a direction parallel to the device channel portion of the relaxed semiconductor surface in the second device region. Creating an axial strain, wherein the biaxial strained semiconductor surface is to produce a uniaxial strain in a direction parallel to the device channel portion of the biaxial semiconductor surface in the first device region with the strain inducing well. A semiconductor device comprising. 반도체 구조물 제조 방법에 있어서,In the method of manufacturing a semiconductor structure, 적어도 하나의 스트레인드 반도체 표면을 가진 기판을 제공하는 단계-상기 적어도 하나의 스트레인드 반도체 표면은 제1 방향 및 제2 방향으로 동일 크기를 가진 내부 스트레인을 가지며, 상기 제1 방향은 동일 평면 내에서 상기 제2 방향에 수직한 것임-와;Providing a substrate having at least one strained semiconductor surface, the at least one strained semiconductor surface having internal strains of equal magnitude in a first direction and a second direction, the first direction being within the same plane Perpendicular to the second direction; 상기 적어도 하나의 스트레인드 반도체 표면 상에 적어도 하나의 반도체 장치를 생성하는 단계-상기 적어도 하나의 반도체 장치는 상기 반도체 표면의 장치 채널 부분 위에 게이트 전도체를 포함하고, 상기 장치 채널은 소스와 드레인 영역을 분리하는 것임-와;Creating at least one semiconductor device on the at least one strained semiconductor surface, the at least one semiconductor device including a gate conductor over a device channel portion of the semiconductor surface, the device channel defining a source and a drain region; To separate; 상기 적어도 하나의 게이트 영역 위에 스트레인 유도 라이너를 형성하는 단계-상기 스트레인 유도 라이너는 상기 적어도 하나의 반도체 표면 내에서 상기 장치 채널에 평행한 방향으로 단일축 스트레인을 생성하고, 상기 제1 방향의 스트레인의 크기는 상기 제2 방향과 다른 것임-를 포함하는 반도체 구조물 제조 방법.Forming a strain induced liner over the at least one gate region—the strain induced liner creates a uniaxial strain in a direction parallel to the device channel within the at least one semiconductor surface, the strain induced liner Wherein the size is different from the second direction. 제30항에 있어서, 상기 적어도 하나의 스트레인드 반도체 층을 가진 상기 기판을 제공하는 단계는 SiGe 층 위에 에피택셜적으로 성장된 인장 스트레인드 반도체 층을 제공하는 단계를 포함하고, 상기 SiGe 층은 약 5% 내지 약 30% 범위의 농도로 존재하는 Ge를 가지며;31. The method of claim 30, wherein providing the substrate with the at least one strained semiconductor layer comprises providing a tensile strained semiconductor layer epitaxially grown over a SiGe layer, wherein the SiGe layer is about Has Ge present at a concentration ranging from 5% to about 30%; 상기 스트레인 유도 라이너는 압축 응력 또는 인장 응력을 생성하는 조건하에 화학 기상 증착을 이용하여 증착된 산화물, 질화물, 도핑 산화물, 또는 이들의 조합을 포함하는 것인 반도체 구조물 제조 방법.Wherein the strain inducing liner comprises an oxide, nitride, doped oxide, or combination thereof deposited using chemical vapor deposition under conditions that produce compressive or tensile stresses. 제30항에 있어서, 상기 적어도 하나의 스트레인드 반도체 층을 가진 상기 기판을 제공하는 단계는 탄소가 도핑된 실리콘 층 위에 에피택셜적으로 성장된 압축 스트레인드 반도체 층을 제공하는 단계를 포함하고, 상기 탄소가 도핑된 실리콘 층은 약 0.5% 내지 약 6% 범위의 농도로 존재하는 탄소를 가지며;31. The method of claim 30, wherein providing the substrate with the at least one strained semiconductor layer comprises providing a compressive strained semiconductor layer epitaxially grown over a carbon doped silicon layer, The carbon doped silicon layer has carbon present at a concentration ranging from about 0.5% to about 6%; 상기 스트레인 유도 라이너는 압축 응력 또는 인장 응력을 생성하는 조건하에 화학 기상 증착을 이용하여 증착된 산화물, 질화물, 도핑 산화물, 또는 이들의 조합을 포함하는 것인 반도체 구조물 제조 방법.Wherein the strain inducing liner comprises an oxide, nitride, doped oxide, or combination thereof deposited using chemical vapor deposition under conditions that produce compressive or tensile stresses. 반도체 구조물 제조 방법에 있어서,In the method of manufacturing a semiconductor structure, 적어도 하나의 스트레인드 반도체 표면을 가진 기판을 제공하는 단계-상기 적어도 하나의 스트레인드 반도체 표면은 제1 방향 및 제2 방향으로 동일 크기를 가진 내부 스트레인을 가지며, 상기 제1 방향은 동일 평면 내에서 상기 제2 방향에 수직한 것임-와;Providing a substrate having at least one strained semiconductor surface, the at least one strained semiconductor surface having internal strains of equal magnitude in a first direction and a second direction, the first direction being within the same plane Perpendicular to the second direction; 상기 적어도 하나의 스트레인드 반도체 표면 상에 적어도 하나의 반도체 장치를 생성하는 단계-상기 적어도 하나의 반도체 장치는 상기 반도체 표면의 장치 채널 부분 위에 게이트 전도체를 포함하고, 상기 장치 채널은 소스와 드레인 영역을 분리하는 것임-와;Creating at least one semiconductor device on the at least one strained semiconductor surface, the at least one semiconductor device including a gate conductor over a device channel portion of the semiconductor surface, the device channel defining a source and a drain region; To separate; 상기 적어도 하나의 게이트 영역 부근에 스트레인 유도 웰를 형성하는 단계-상기 스트레인 유도 웰은 상기 적어도 하나의 스트레인드 반도체 표면 내에서 상기 장치 채널에 평행한 방향으로 단일축 스트레인을 생성하고, 상기 제1 방향의 스트레인의 크기는 상기 제2 방향과 다른 것임-를 포함하는 반도체 구조물 제조 방법.Forming a strain induced well near the at least one gate region—the strain induced well generates a uniaxial strain in a direction parallel to the device channel within the at least one strained semiconductor surface, Wherein the size of the strain is different from the second direction. 제33항에 있어서, 상기 스트레인 유도 웰을 형성하는 단계는 오목부를 제공하도록 상기 스트레인드 성장 반도체 표면의 표면을 에칭하고 상기 오목부 내에 실리콘 함유 스트레인 유도 물질을 에피택셜적으로 성장시키는 단계를 포함하고, 상기 오목부 내에서 약 0.5% 내지 6% 범위의 농도로 탄소가 도핑된 실리콘은 인장 스트레인 유도 웰을 제공하며 상기 오목부 내에서 Ge가 약 5% 내지 약 50% 범위의 농도로 존재하는 실리콘 게르마늄은 압축 스트레인 유도 웰을 제공하는 것인 반도체 구조물 제조 방법.34. The method of claim 33, wherein forming the strain inducing well comprises etching a surface of the strained growth semiconductor surface to provide a recess and epitaxially growing a silicon-containing strain induced material in the recess. And silicon doped with carbon in a concentration ranging from about 0.5% to 6% in the recess provides a tensile strain induction well and in which the Ge is present in a concentration ranging from about 5% to about 50%. Germanium is to provide a compression strain induction well. 제34항에 있어서, 상기 에칭을 형성하는 단계는 방향성 및 무지향성 에칭을 포함한 에칭 처리를 포함하고, 상기 오목부는 상기 적어도 하나의 게이트 영역 부근에서 스페이서를 언더커트하는 것인 반도체 구조물 제조 방법.35. The method of claim 34, wherein forming the etch includes an etching process including directional and omnidirectional etching, wherein the recess undercuts the spacer near the at least one gate region. 반도체 구조물 제조 방법에 있어서,In the method of manufacturing a semiconductor structure, 완화 기판을 제공하는 단계와;Providing a mitigating substrate; 상기 완화 기판 위에 적어도 하나의 반도체 장치를 생성하는 단계-상기 적어도 하나의 반도체 장치는 상기 반도체 표면의 장치 채널 부분 위에 게이트 전도체를 포함하고, 상기 장치 채널은 소스와 드레인 영역을 분리하는 것임-와;Creating at least one semiconductor device over the relaxed substrate, the at least one semiconductor device including a gate conductor over a device channel portion of the semiconductor surface, the device channel separating a source and a drain region; 상기 장치 채널 부근에 스트레인 유도 웰을 형성하는 단계와;Forming a strain induced well near said device channel; 상기 적어도 하나의 게이트 영역 위에 스트레인 유도 라이너를 형성하는 단계-상기 스트레인 유도 라이너 및 상기 스트레인 유도 웰은 상기 장치 채널에 단일축 스트레인을 제공하는 것임-를 포함하는 반도체 구조물 제조 방법.Forming a strain induced liner over the at least one gate region, wherein the strain induced liner and the strain induced wells provide uniaxial strain in the device channel. 반도체 구조물 제조 방법에 있어서,In the method of manufacturing a semiconductor structure, 제1 장치 영역 및 제2 장치 영역을 가진 기판을 제공하는 단계와;Providing a substrate having a first device region and a second device region; 상기 제1 장치 영역 및 상기 제2 장치 영역에서 상기 기판의 장치 채널 부분 위에 적어도 하나의 반도체 장치를 생성하는 단계와;Creating at least one semiconductor device over a device channel portion of the substrate in the first device region and the second device region; 상기 제1 장치 영역 및 제2 장치 영역에서 상기 제1 장치 영역 및 상기 제2 장치 영역의 상기 장치 채널에 평행한 방향으로 단일축 스트레인을 생성하는 단계를 포함하는 반도체 구조물 제조 방법.Generating uniaxial strain in the first device region and in the second device region in a direction parallel to the device channels of the first device region and the second device region. 제37항에 있어서, 상기 제1 장치 영역 및 상기 제2 장치 영역의 상기 단일축 스트레인은 인장 상태 또는 압축 상태에 있고, 상기 제1 장치 영역의 상기 단일축 스트레인은 상기 제2 장치 영역과 동일하거나 다른 것인 반도체 구조물 제조 방법.38. The method of claim 37, wherein the single axial strain of the first device region and the second device region is in a tensioned or compressed state, and the single axial strain of the first device region is the same as the second device region or Another method for producing a semiconductor structure. 제38항에 있어서, 상기 제1 장치 영역 및 상기 제2 장치 영역에서 단일축 스트레인을 생성하는 단계는:The method of claim 38, wherein generating a single axis strain in the first device region and the second device region comprises: 상기 적어도 하나의 반도체 장치 아래의 2축 스트레인드 반도체 표면 및 상기 적어도 하나의 반도체 장치 위의 스트레인 유도 라이너의 제1 조합, 상기 적어도 하나의 반도체 장치 아래의 상기 2축 스트레인드 반도체 표면 및 상기 적어도 하나의 반도체 장치 부근의 스트레인 유도 웰을 포함한 제2 조합, 상기 적어도 하나의 반도체 장치 아래의 상기 2축 스트레인드 반도체 표면, 상기 적어도 하나의 반도체 장치 위의 스트레인 유도 라이너 및 상기 적어도 하나의 반도체 장치 부근의 스트레인 유도 웰을 포함한 제3 조합, 또는 상기 적어도 하나의 반도체 장치 아래의 완화 기판, 완화 표면 상의 상기 적어도 하나의 반도체 장치 위의 상기 스트레인 유도 라이너 및 상기 적어도 하나의 반도체 장치 부근의 상기 스트레인 유도 웰을 포함한 제4 조합을 포함한 스트레인 유도 구조물의 조합을 제공하도록 상기 제1 장치 영역 및 상기 제2 장치 영역을 처리하는 단계를 더 포함하고, 상기 제1 장치 영역 내의 상기 스트레인 유도 구조물의 조합은 상기 제2 장치 영역 내의 상기 스트레인 유도 구조물의 조합과 같거나 상이한 것인 반도체 구조물 제조 방법.A first combination of a biaxial strained semiconductor surface below the at least one semiconductor device and a strain induction liner above the at least one semiconductor device, the biaxial strained semiconductor surface below the at least one semiconductor device and the at least one A second combination comprising a strain induction well near a semiconductor device of said biaxial strain semiconductor surface below said at least one semiconductor device, a strain induction liner above said at least one semiconductor device and a vicinity of said at least one semiconductor device A third combination including strain induced wells, or a relaxation substrate under the at least one semiconductor device, the strain induction liner above the at least one semiconductor device on the relief surface and the strain induction wells near the at least one semiconductor device. Including the fourth combination Processing the first device region and the second device region to provide a combination of strain inducing structures, wherein the combination of the strain inducing structure in the first device region comprises inducing the strain in the second device region. A method of making a semiconductor structure that is the same as or different from the combination of structures. 제37항에 있어서, 상기 제1 장치 영역의 상기 단일축 스트레인은 인장 상태에 있고 적어도 하나의 nFET를 포함하며, 상기 제2 장치 영역은 압축 상태에 있고 적어도 하나의 pFET를 포함하는 것인 반도체 구조물 제조 방법.38. The semiconductor structure of claim 37 wherein the uniaxial strain of the first device region is in a tension state and includes at least one nFET and the second device region is in a compressed state and includes at least one pFET. Manufacturing method.
KR1020067024391A 2004-06-24 2005-04-07 Improved strained-silicon cmos device and method KR100956575B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US58267804P 2004-06-24 2004-06-24
US60/582,678 2004-06-24
US10/930,404 2004-08-31
US10/930,404 US7227205B2 (en) 2004-06-24 2004-08-31 Strained-silicon CMOS device and method
PCT/US2005/011661 WO2006006972A1 (en) 2004-06-24 2005-04-07 Improved strained-silicon cmos device and method

Publications (2)

Publication Number Publication Date
KR20070030788A true KR20070030788A (en) 2007-03-16
KR100956575B1 KR100956575B1 (en) 2010-05-07

Family

ID=43655307

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067024391A KR100956575B1 (en) 2004-06-24 2005-04-07 Improved strained-silicon cmos device and method

Country Status (1)

Country Link
KR (1) KR100956575B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100948298B1 (en) * 2007-12-26 2010-03-17 주식회사 동부하이텍 Semiconductor Device and Method for Manufacturing the Same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102501097B1 (en) 2018-06-21 2023-02-16 삼성전자주식회사 Semiconductor device and method for fabricating the semiconductor device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6544854B1 (en) * 2000-11-28 2003-04-08 Lsi Logic Corporation Silicon germanium CMOS channel
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
KR100487922B1 (en) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 A transistor of a semiconductor device and a method for forming the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100948298B1 (en) * 2007-12-26 2010-03-17 주식회사 동부하이텍 Semiconductor Device and Method for Manufacturing the Same

Also Published As

Publication number Publication date
KR100956575B1 (en) 2010-05-07

Similar Documents

Publication Publication Date Title
US7227205B2 (en) Strained-silicon CMOS device and method
US7808081B2 (en) Strained-silicon CMOS device and method
US6882025B2 (en) Strained-channel transistor and methods of manufacture
US7494902B2 (en) Method of fabricating a strained multi-gate transistor
US7101742B2 (en) Strained channel complementary field-effect transistors and methods of manufacture
US7405436B2 (en) Stressed field effect transistors on hybrid orientation substrate
US8853746B2 (en) CMOS devices with stressed channel regions, and methods for fabricating the same
US7423303B2 (en) Strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US8368149B2 (en) Semidonductor device having stressed metal gate and methods of manufacturing same
US7968946B2 (en) Higher performance CMOS on (110) wafers
JP5160080B2 (en) Method for manufacturing strained multi-gate transistor and device obtained therefrom
KR100956575B1 (en) Improved strained-silicon cmos device and method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130327

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee